CN1967777A - 使导体露出的刻蚀方法 - Google Patents

使导体露出的刻蚀方法 Download PDF

Info

Publication number
CN1967777A
CN1967777A CNA2006101542027A CN200610154202A CN1967777A CN 1967777 A CN1967777 A CN 1967777A CN A2006101542027 A CNA2006101542027 A CN A2006101542027A CN 200610154202 A CN200610154202 A CN 200610154202A CN 1967777 A CN1967777 A CN 1967777A
Authority
CN
China
Prior art keywords
cubic centimeter
standard cubic
layer
etching
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006101542027A
Other languages
English (en)
Inventor
J·J·梅扎佩莱
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1967777A publication Critical patent/CN1967777A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

公开了在导体上刻蚀介电层及覆盖层以露出导体的刻蚀方法。在一个实施方案中,该方法包括使用含有八氟环丁烷(C4F8)的化学物质刻蚀二氧化硅(SiO2),和含有四氟甲烷(CF4)的化学物质刻蚀氮化钛(TiN)。该方法可阻止刻蚀速率的下降,并表现出减少的静电释放缺陷(ESD)。

Description

使导体露出的刻蚀方法
                       发明背景
技术领域
本发明一般涉及半导体加工,尤其是使用八氟环丁烷(C4F8)刻蚀二氧化硅(SiO2)和使用四氟甲烷(CF4)刻蚀氮化钛(TiN)的刻蚀方法。
背景技术
在半导体工业中,利用反应离子刻蚀(RIE)技术在半导体芯片上打开电路路径。用反应离子刻蚀技术形成的一种结构例如是将不同的层中导体进行电连接的通路。反应离子刻蚀技术是等离子(气态)刻蚀的一种变体,其中半导体晶片置于一个射频(RF)电极上,然后将刻蚀物质从等离子抽取出来并向待刻蚀物体表面加速。化学刻蚀反应的发生可以去除该表面的部分物质。反应离子刻蚀在半导体加工中是一种最为常用的刻蚀技术。
参见图1,显示了一种传统的半导体结构10,它包括刻蚀前的层12和层14。结构10包含:导体层14,它包含围绕着导体层18(例如,铜或铝)的介电层16(例如,二氧化硅(SiO2)层);位于导体层14的上面的覆盖层20(例如,氮化钛(TiN)层);位于覆盖层20上面的介电层22(例如,二氧化硅(SiO2)层);位于介电层22上面的另一介电层24(例如,氮化硅(Si3N4)层);和已图形化的光刻胶层26。
典型的反应离子刻蚀方法在一个等离子腔中进行,该等离子腔包含两种射频设置,例如,约2MHz(底部射频源电极)和约27MHz(顶部偏压功率电极)。下面描述一种用于叠层的反应离子刻蚀方法,该叠层具有以下厚度:6μm的光刻胶层26(例如,Gpoly),4000的氮化硅介电层24,4500的二氧化硅介电层22和250-350的氮化钛覆盖层20。传统的反应离子刻蚀方法可能包括以下步骤:使用氩气(Ar)、四氟甲烷(CF4)和一氧化碳(CO),去除污垢,刻蚀介电层24,刻蚀介电层22,然后两步刻蚀覆盖层20。第一个覆盖层刻蚀步骤可能使用氩(Ar),八氟环丁烷(C4F8),氧(O2)和三氟甲烷(CHF3),第二个覆盖层刻蚀步骤可能使用氩(Ar),三氟化氮(NF3)。最后,进行氧(O2)等离子化学处理(灰化)将导体18上残余的反应离子刻蚀聚合物去除。
在介电刻蚀工具中去除氮化钛(TiN)的常规反应离子刻蚀工艺会遇到许多问题。首先,会使工具老化,更特殊的是会使刻蚀速率下降,使随后的反应离子刻蚀处理的一致性降低,从而降低产量。其次,典型的等离子处理会使晶片剥蚀。例如,上述方法显示了在晶片的切口处增高的静电放电缺陷(ESD)。一种针对这种情况的解决办法是采用金属刻蚀体系,而不是介电刻蚀体系。然而,这些体系会引起覆盖层20下保留的导电层18(如Al)的轮廓产生缺陷。也就是说,它们对铝不具有选择性。
综上所述,该领域中存在对改良反应离子刻蚀工艺的需求,该技术又不会受相关技术问题的影响。
发明内容
本发明包含刻蚀介电层和位于导体上的覆盖层以使导体露出的方法。在一个实施方案中,该方法包含:使用含有八氟环丁烷(C4F8)的刻蚀二氧化硅(SiO2)的化学物质,和含有四氟甲烷(CF4)的用于刻蚀氮化钛(TiN)的化学物质。该方法可阻止刻蚀速率的劣化,并表现出减少的静电放电缺陷。
本发明的第一个方面涉及露出导体的刻蚀方法,该方法包括如下步骤:以含有八氟环丁烷(C4F8)的化学物质刻穿含二氧化硅(SiO2)的第一介电层;以含四氟甲烷(CF4)的化学物质刻穿含氮化钛(TiN)的覆盖层,以使导体露出。
本发明的第二方面包含一种用于露出导体的刻蚀方法,该方法包括以下步骤:刻穿含有氮化硅(Si3N4)的第一介电层;以含有约13-17标准立方厘米(sccm)八氟环丁烷(C4F8)气流的化学物质刻穿含有二氧化硅(SiO2)的第二介电层;以含有135-165标准立方厘米四氟甲烷(CF4)气流的化学物质刻穿含氮化钛(TiN)的覆盖层,以使导体露出。
本发明的第三个方面涉及露出叠层下面的导体的方法,该叠层由上至下包括图形化的光刻胶层、氮化硅(Si3N4)层、二氧化硅(SiO2)层、氮化钛(TiN)覆盖层,该方法包括以下步骤:刻穿所述氮化硅(Si3N4);采用以下列条件所述刻穿二氧化硅(SiO2):约90-110mTorr(mT)的压力,在大约27MHz及2MHz下约950-1050W的射频能量,约375-425标准立方厘米的Ar气气流,约13-17标准立方厘米的八氟环丁烷(C4F8)及约5-7标准立方厘米的O2;采用以下列条件刻穿含氮化钛(TiN)的氮化钛层:约255-285mT的压力,在约27MHz条件下的约1350-1450W的射频能量及在约2MHz下的约650-750W的射频能量,约135-165标准立方厘米的四氟甲烷(CF4)及约90-110标准立方厘米的N2气流。
从下面的本发明的实施方案的详细说明中将清楚地了解本发明的前述及其它特性。
附图说明
本发明的实施方案将根据下面的附图进行详细描述,其中相同的标记指示相同的部件,其中:
图1显示了一种在刻蚀前含有介电层的常规半导体结构。
图2-6显示了根据本发明的刻蚀方法的一个实施方案。
应当指出,该发明的附图不是按比例绘制的。附图的目的仅仅是为了描述发明的一些典型特征,因此不应认为是限制本发明的范围。附图中,相同的编号代表着各图间的相同部件。
具体实施方式
参考附图,图2-6显示了根据本发明形成开口以露出导体的刻蚀方法的一个实施方案。通过光刻胶提供开口的图形。该法改进了传统的方法,从而减少了静电放电缺陷(ESD)并且不降低刻蚀速率。该方法在典型的电介质反应离子刻蚀(RIE)工具中进行,而不是在一种金属反应离子刻蚀(RIE)工具中进行。所使用的反应离子刻蚀腔能够采用两种射频设置,即约2MHz(底部射频源电极)和约27MHz(顶部偏压功率电极)。
该方法从传统的半导体结构100开始,该结构100包括含有介电层112的叠层(有时指大通路垫叠层(large-via pad stack)),与图1所示相似。结构100包括导体层114,该导体层包含围绕着导体118(例如铜或铝的导体)的介电层116(例如,二氧化硅(SiO2),或任何其它合适的介电材料的层)。导体118上叠层包括覆盖层120,该层包括位于导体层114上的氮化钛(TiN);介电层122,它包括位于覆盖层120上的二氧化硅(SiO2);另一介电层124,它包括位于介电层122上的氮化硅(Si3N4)层;和图形化的光刻胶126。图形化的光刻胶126包括待形成所述开口以露出导体118的图形。介电层122可包括任何二氧化硅(SiO2)型材料,比如氢化的氧碳化硅(SiCOH),Novellus公司的CORALTM,硅酸四乙酯(Si(OC2H5)4)(TEOS),掺F的TEOS(FTEOS),掺F的硅酸盐玻璃(FSG),未掺杂硅酸盐玻璃(USG),硼磷硅酸盐玻璃(BPSG)等。覆盖层120可包括任何典型的氮化钛基覆盖材料。
采用具有以下厚度的叠层描述本发明:6μm的光刻胶126(例如Gpoly),4000的氮化硅介电层124,4500的包含二氧化硅的介电层122和250-350含氮化钛的覆盖层120。应当认识到,当叠层厚度不同时,至少刻蚀时间可适当变化。
如图2所示,该方法的初始步骤包括清除污垢200。该清除污垢步骤可去除刻蚀前的光刻步骤中留下的残留物,这将允许对氮化硅表面更均匀的刻蚀。在一个实施方案中,通过N2和H2气流进行污垢去除。本说明书及权利要求中,将会用到术语“约X-Y”。该近似要理解为适用于所述范围中的下限值及上限值。去除污垢步骤将需约8-12秒。该去除污垢步骤也可以包括使用如下附加条件:固定晶片的卡盘90(图2-6),压力约为18-22Torr的He,卡盘温度可为约18-22℃。
如图3所示,随后是穿透介电层124如氮化硅的刻蚀202。所用的刻蚀条件202可以是任何常规的方法的。例如,刻蚀条件202可包括使用氩(Ar),四氟甲烷(CF4),三氟甲烷(CHF3)及氧(O2)等的气流。举例来说,可以使用Dupont的商品名为Freon23的三氟甲烷(CHF3)(也称为氟仿)。刻蚀202可以持续约40-50秒。卡盘90压力可以是例如约18-20Torr的氦(He),卡盘90的温度可以是约18-20℃。
然后,如图4所示,刻蚀含有二氧化硅(SiO2)的介电层122。在一个实施方案中,刻蚀化学处理204包括采用下列条件:约90-110mTorr的压力,在27MHz及2MHz频率下约950-1050W的射频能量,约375-425标准立方厘米的氩(Ar)气流,约13-17标准立方厘米的八氟环丁烷(C4F8)及约5-7标准立方厘米的氧(O2)。刻蚀204可以持续约80-95秒。刻蚀204还可以采用下列条件:固定晶片的卡盘90压力约为18-22Torr的He,卡盘温度可以为约18-22℃。
参照图5,下一步包括刻蚀含有氮化钛(TiN)的覆盖层120。刻蚀206可以采用以下条件:约255-285mTorr的压力,在约27MHz频率下1350-1450W的射频能量及在2MHz频率下约650-750W的射频能量,约135-165标准立方的四氟甲烷(CF4)及约90-110标准立方厘米的氮(N2)气流。刻蚀206可以持续约85-100秒。刻蚀206也可以采用以下条件:固定晶片卡盘90压力约为18-22Torr的He,卡盘温度可以为约18-22℃。
图6显示了下一步,包括进行灰化步骤208以从导体118上去除残留的反应离子刻蚀聚合物。灰化步骤208可以包括任何目前已知或今后开发出的基于氧的灰化化学处理。
上述的发明提供了刻蚀二氧化硅(SiO2)及氮化钛(TiN)两步法。该方法与传统反应离子刻蚀方法相比,对Al具有选择性,并且耗费更少的光刻胶126。该法改进了传统的工艺,使静电放电缺陷(ESD)减少,而刻蚀速率则不会劣化。此外,该方法在典型的绝缘反应离子刻蚀工具中进行,而不是在金属反应离子工具中进行。
尽管结合上述的特定实施方案对本发明进行了描述,然而许多替换、修改及变化对那该领域的技术人员来说是很明显的。相应地,本发明中上述提及的实施方案是为了举例说明,而不是有所限制。根据下面的权利要求,在不背离本发明的精神和范围的情况下,可以做出多种变化。

Claims (20)

1.一种露出导体的刻蚀方法,该方法包括以下步骤:
使用含有八氟环丁烷(C4F8)的化学物质刻蚀穿含有二氧化硅(SiO2)的第一介电层;
使用含有四氟甲烷(CF4)的化学物质刻穿含有氮化钛(TiN)的覆盖层,以露出导体。
2.权利要求1的方法,其中第一介电层刻蚀步骤包括采用以下条件:约90-110mTorr的压力,在约27MHz和约2MHz下的950-1050W的射频能量,约375-425标准立方厘米(sccm)的氩(Ar)气流,约13-17标准立方厘米的八氟环丁烷(C4F8),及约5-7标准立方厘米的氧(O2)。
3.权利要求1的方法,其中覆盖层刻蚀步骤包括采用下列条件:约255-285mT的压力,在约27MHz下1350-1450W的射频能量及在约2MHz下约650-750W的射频能量,约135-165标准立方厘米的四氟甲烷(CF4)和约90-110标准立方厘米的氮(N2)的气流。
4.权利要求1的方法,其中每一个刻蚀步骤均在反应离子刻蚀工具中进行。
5.权利要求1的方法,其中每一个刻蚀步骤均包括采用下列条件:固定晶片的卡盘压力约为18-22Torr的氦(He),卡盘温度约为18-22℃。
6.权利要求1的方法,该方法进一步包括刻蚀步骤前的去除污垢步骤。
7.权利要求6的方法,其中去除污垢步骤包括采用含氮(N2)及氢(H2)的气流。
8.权利要求1的方法,该方法进一步包括在刻蚀第一介电层前,刻穿含有氮化硅(Si3N4)的第二介电层,第二介电层位于第一介电层之上。
9.权利要求1的方法,该方法进一步包括刻蚀覆盖层之后的灰化步骤。
10.一种露出导体的刻蚀方法,该方法包括以下步骤:
刻穿含有氮化硅(Si3N4)的第一介电层;
采用含有约13-17标准立方厘米八氟环丁烷(C4F8)气流的化学处理刻穿含有二氧化硅(SiO2)的第二介电层;和
采用约135-165标准立方厘米四氟甲烷(CF4)气流的化学处理刻穿含有氮化钛(TiN)的覆盖层,以露出导体。
11.权利要求10的方法,其中第二介电层刻蚀步骤包括采用以下条件:约90-110mTorr的压力,在约27MHz及约2MHz下约950-1050W的射频能量,所述气流还包含约375-425标准立方厘米的氩(Ar)和约5-7标准立方厘米的氧(O2)。
12.权利要求10的方法,其中覆盖层刻蚀步骤包括采用以下条件:约255-285mTorr的压力,在约27MHz下1350-1450W的射频能量及在2MHz下约650-750W的射频能量,且该气流进一步包括约90-110标准立方厘米的氮(N2)。
13.权利要求10的方法,其中每一个刻蚀步骤均在反应离子刻蚀工具中进行。
14.权利要求10的方法,其中每一个刻蚀步骤均包括采用以下条件:晶片固定卡盘压力约为18-22Torr的氦(He),卡盘温度为约18-22℃。
15.权利要求10的方法,该方法进一步包括第一次刻蚀步骤前的去除污垢步骤,去除污垢步骤包括使用氮(N2)和氢(H2)的气流。
16.权利要求15的方法,其中去除污垢步骤包括采用以下附加条件:晶片固定卡盘压力约为18-22Torr的氦(He),卡盘温度为约18-22℃。
17.权利要求10的方法,该方法进一步包括刻蚀覆盖层后的灰化步骤。
18.一种露出叠层下的导体的方法,该叠层从上至下包括图形化的光刻胶、氮化硅(Si3N4)层、二氧化硅(SiO2)层、氮化钛(TiN)覆盖层,该方法包括以下步骤:
刻穿氮化硅(Si3N4)层;
用以下条件刻穿二氧化硅(SiO2)层:约90-110mTorr的压力,在约27MHz及约2MHz下约950-1050W的射频能量,约375-425标准立方厘米的氩(Ar)、约13-17标准立方厘米的八氟环丁烷(C4F8)及约5-7标准立方厘米的氧(O2)的气流;
用以下条件刻穿氮化钛(TiN)层:约255-285mTorr的压力,在约27MHz下约1350-1450W的能量及在2MHz下约650-750W的能量,约135-165标准立方四氟甲烷(CF4)和约90-110标准立方厘米的氮(N2)的气流。
19.权利要求18的方法,其中该刻蚀步骤在去除污垢步骤之后,而位于灰化步骤之前。
20.权利要求18的方法,其中每一个刻蚀步骤包括采用以下条件:晶片固定卡盘压力约为18-22Torr的氦(He),卡盘温度可以为约18-22℃。
CNA2006101542027A 2005-11-01 2006-09-13 使导体露出的刻蚀方法 Pending CN1967777A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/163,836 2005-11-01
US11/163,836 US7276450B2 (en) 2005-11-01 2005-11-01 Etching processes using C4F8 for silicon dioxide and CF4 for titanium nitride

Publications (1)

Publication Number Publication Date
CN1967777A true CN1967777A (zh) 2007-05-23

Family

ID=37994900

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2006101542027A Pending CN1967777A (zh) 2005-11-01 2006-09-13 使导体露出的刻蚀方法

Country Status (3)

Country Link
US (1) US7276450B2 (zh)
JP (1) JP2007129219A (zh)
CN (1) CN1967777A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104882408A (zh) * 2015-05-20 2015-09-02 中国航天科技集团公司第九研究院第七七一研究所 一种降低集成电路多层金属间孔链电阻的通孔刻蚀方法
CN105977149A (zh) * 2016-05-11 2016-09-28 上海华虹宏力半导体制造有限公司 钝化层刻蚀方法及焊盘、半导体器件的制造方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5309601B2 (ja) * 2008-02-22 2013-10-09 富士通セミコンダクター株式会社 半導体装置の製造方法
US20110174774A1 (en) * 2010-01-21 2011-07-21 Ying-Chih Lin Method of descumming patterned photoresist
US20120289043A1 (en) * 2011-05-12 2012-11-15 United Microelectronics Corp. Method for forming damascene trench structure and applications thereof
US8772558B2 (en) 2011-07-26 2014-07-08 Uop Llc Methods and apparatuses for producing aromatic hydrocarbon-containing effluent
US9059250B2 (en) 2012-02-17 2015-06-16 International Business Machines Corporation Lateral-dimension-reducing metallic hard mask etch
US8999184B2 (en) * 2012-08-03 2015-04-07 Lam Research Corporation Method for providing vias
US9269678B2 (en) * 2012-10-25 2016-02-23 United Microelectronics Corp. Bond pad structure and method of manufacturing the same
US9287109B2 (en) * 2013-03-13 2016-03-15 Globalfoundries Inc. Methods of forming a protection layer to protect a metal hard mask layer during lithography reworking processes
RU2533740C1 (ru) * 2013-07-04 2014-11-20 Федеральное государственное автономное образовательное учреждение высшего профессионального образования "Национальный исследовательский университет "МИЭТ" (МИЭТ) Способ реактивного ионного травления слоя нитрида титана селективно к двуокиси кремния, поликремнию и вольфраму

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5419805A (en) * 1992-03-18 1995-05-30 Northern Telecom Limited Selective etching of refractory metal nitrides
US5930664A (en) * 1997-07-24 1999-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Process for preventing corrosion of aluminum bonding pads after passivation/ARC layer etching
US6297167B1 (en) * 1997-09-05 2001-10-02 Advanced Micro Devices, Inc. In-situ etch of multiple layers during formation of local interconnects
US20020076935A1 (en) * 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
US6214742B1 (en) * 1998-12-07 2001-04-10 Advanced Micro Devices, Inc. Post-via tin removal for via resistance improvement
US6096579A (en) * 1999-03-25 2000-08-01 Vanguard International Semiconductor Corporation Method for controlling the thickness of a passivation layer on a semiconductor device
US6383945B1 (en) * 1999-10-29 2002-05-07 Advanced Micro Devices, Inc. High selectivity pad etch for thick topside stacks
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US6479411B1 (en) * 2000-03-21 2002-11-12 Angela T. Hui Method for forming high quality multiple thickness oxide using high temperature descum
US6890863B1 (en) * 2000-04-27 2005-05-10 Micron Technology, Inc. Etchant and method of use
US6350700B1 (en) * 2000-06-28 2002-02-26 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6531404B1 (en) * 2000-08-04 2003-03-11 Applied Materials Inc. Method of etching titanium nitride
US6746961B2 (en) * 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
US6713402B2 (en) * 2002-05-31 2004-03-30 Texas Instruments Incorporated Methods for polymer removal following etch-stop layer etch
KR100540475B1 (ko) * 2003-04-04 2006-01-10 주식회사 하이닉스반도체 미세 패턴 형성이 가능한 반도체 장치 제조 방법
JP2006024811A (ja) * 2004-07-09 2006-01-26 Sony Corp 半導体装置の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104882408A (zh) * 2015-05-20 2015-09-02 中国航天科技集团公司第九研究院第七七一研究所 一种降低集成电路多层金属间孔链电阻的通孔刻蚀方法
CN105977149A (zh) * 2016-05-11 2016-09-28 上海华虹宏力半导体制造有限公司 钝化层刻蚀方法及焊盘、半导体器件的制造方法

Also Published As

Publication number Publication date
JP2007129219A (ja) 2007-05-24
US7276450B2 (en) 2007-10-02
US20070095787A1 (en) 2007-05-03

Similar Documents

Publication Publication Date Title
CN1967777A (zh) 使导体露出的刻蚀方法
JP5134363B2 (ja) プラズマ加工システムによる基板エッチング法
CN101536155B (zh) 一种具有原位背侧聚合物去除的等离子体蚀刻工艺
US7265060B2 (en) Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
TWI651805B (zh) 具有高角落選擇性的自我對準接觸窗/導通孔之形成方法
US20020111041A1 (en) Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US7244313B1 (en) Plasma etch and photoresist strip process with intervening chamber de-fluorination and wafer de-fluorination steps
US7030028B2 (en) Etching method
WO2002080230A2 (en) Method of plasma etching low-k organosilicate materials
CN102792423A (zh) 用于低k电介质的低损害光致抗蚀剂剥离方法
JP2004502319A (ja) エッチング工程後に水素を用いてフォトレジストを剥離させるためのプロセス
WO2000003432A1 (en) Plasma etch process of a dielectric multilayer structure particularly useful for dual damascene
US20030054656A1 (en) Method for manufacturing semiconductor device including two-step ashing process of N2 plasma gas and N2/H2 plasma gas
US5849641A (en) Methods and apparatus for etching a conductive layer to improve yield
KR20060123144A (ko) 포토레지스트 스트립 동안의 배리어 재료의 손실 최소화
US6554002B2 (en) Method for removing etching residues
US7265053B2 (en) Trench photolithography rework for removal of photoresist residue
US7045464B1 (en) Via reactive ion etching process
KR101068062B1 (ko) 도핑된 실리콘 카바이드에 대해 오르가노실리케이트유리를 선택적으로 에칭하는 방법
KR100395663B1 (ko) SiLK 이중 다마신 공정
US6495472B2 (en) Method for avoiding erosion of conductor structure during removing etching residues
CN118299252B (zh) 大马士革结构的制造方法及大马士革结构、芯片
KR20040057964A (ko) 반도체 장치의 제조 방법
KR100332647B1 (ko) 반도체소자의콘택홀형성방법
TW200807619A (en) Method of fabricating dual damascene structure

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication