CN1797192A - 反射光掩模及其制造方法 - Google Patents

反射光掩模及其制造方法 Download PDF

Info

Publication number
CN1797192A
CN1797192A CN200510124778.4A CN200510124778A CN1797192A CN 1797192 A CN1797192 A CN 1797192A CN 200510124778 A CN200510124778 A CN 200510124778A CN 1797192 A CN1797192 A CN 1797192A
Authority
CN
China
Prior art keywords
ion
photomasks
reflection horizon
absorbing agent
section
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN200510124778.4A
Other languages
English (en)
Inventor
金锡必
宋利宪
金元柱
张丞爀
金勋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN1797192A publication Critical patent/CN1797192A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/067Construction details

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

提供一种反射光掩模,允许在光刻中将用于吸收EUV射线的吸收剂图形的设计形状正确转移到硅晶片,所述光掩模包括:衬底,由反射EUV射线的材料构成、形成在衬底上的反射层,以及通过使用离子注入注入吸收EUV射线的吸收剂的离子形成在反射层上的具有预定图形的离子区段。本发明还提供一种制造反射光掩模的方法。

Description

反射光掩模及其制造方法
技术领域
本发明涉及反射光掩模以及其制造方法,以及更具体涉及在半导体制造工序中使用远紫外射线(EUV射线)的高分辨率光刻术中可以容易地使用的光掩模及其制造方法。
背景技术
EUV射线可以用于光刻工艺,以制造具有小于100nm的超小图形尺寸的半导体器件。
在EUV区中,由于在该区域中大多数材料吸收光,因此使用反射光掩模。常规的EUV光掩模具有在EUV区域中在具有高反射率的反射镜上吸收EUV光的吸收剂图形。通过在镜面上涂敷吸收剂物质制成吸收剂图形。
图1是说明常规反射光掩模的结构的剖面图。
参考图1,常规反射光掩模1包括由硅或玻璃形成的衬底2、形成在衬底2上的反射层3、以及形成在反射层3上的吸收剂图形4。参考数字5指硅晶片5。
反射层3具有多层结构,其中叠层了不同种类的薄膜,如钼-硅(Mo/Si)和铍-硅(Be/Si)。吸收剂图形4由吸收EUV射线的氮化钽(TaN)膜形成。
当反射光掩模1暴露于EUV射线时,吸收剂图形4的尺寸不同于在硅晶片5上形成的图形的尺寸。这些将参考方程式1和2来描述。方程式1示出了吸收剂图形4的每个图形之间的长度(临界尺寸(CD)的设计间隔)和对应于吸收剂图形4的硅晶片5上形成的图形之间的长度(印刷间隔CD)之间的关系。方程式2示出了吸收剂图形4的图形的长度(设计线CD)和对应于吸收剂图形4的硅晶片5上形成的图形的长度(印刷线CD)之间的关系。
[方程式1]
印刷间隔CD=设计间隔CD+2d×tanθ×M
[方程式2]
印刷线CD=设计线CD+2d×tanθ×M
其中d表示从反射层3向上突出的吸收剂图形4的厚度,θ指EUV射线的入射角,基于至吸收剂图形4的法线,以及M指减缩系数。
在用于半导体制造的光刻工艺中,由于吸收剂图形4的侧表面是垂直的以及θ是预定的,因此方程式1和2中的2d×tanθ×M具有预定值。由此,吸收剂图形4的元件之间的距离(设计间隔CD)不同于硅晶片5上形成的相应距离(印刷间隔CD)。此外,吸收剂图形4的元件的宽度(设计线CD)不同于硅晶片5上形成的图形的相应宽度(印刷线CD)。因此,吸收剂图形4的设计形状不能正确地转移到硅晶片5。
发明内容
本发明提供一种反射光掩模,允许在光刻中将用于吸收EUV射线的吸收剂图形的设计形状正确转移到硅晶片,以及提供一种制造吸收剂图形的方法。
反射光掩模包括衬底,由反射EUV射线的材料构成、形成在衬底上的反射层,以及通过使用离子注入注入吸收EUV射线的吸收剂的离子形成在反射层上的具有预定图形的离子区段。
根据本发明的一方面,提供一种制造反射光掩模的方法,该方法包括:制备衬底;使用可以反射EUV射线的材料在衬底上形成反射层;以及通过使用离子注入注入可以吸收EUV射线的吸收剂的离子在反射层上形成离子区段。
附图说明
通过参考附图对其优选示例性实施例的详细描述将使本发明的上述及其他特点和优点变得更明显,其中:
图1是说明常规反射光掩模的结构的剖面图;
图2是根据本发明的实施例的光掩模结构的剖面图;
图3A至3F是说明根据本发明的实施例制造反射光掩模的方法的剖面图;以及
图4示出了根据本发明的实施例反射光掩模上的实验结果的图形。
具体实施方式
现在将参考附图更完全地描述本发明,其中示出本发明的示例性实施例。在图中,为了清楚放大了层和区域的厚度。在整个图中相同的参考数字表示相同的元件。当提及一元件,如层、区域或衬底形成在另一元件上时,这表示该元件可以直接形成在另一元件上或表示在该元件之间可以插入第三元件。
图2是根据本发明的实施例的光掩模结构的剖面图。
参考图2,根据本发明的实施例的反射光掩模10包括由硅或玻璃形成的衬底11、形成在衬底11上的反射层12,以及形成在反射层12上的离子区段20。参考数字30指硅晶片。
反射层12是叠层,包括与多个硅膜交替的多个钼膜。反射层12的最上层可以是钼或硅,但是优选是硅,由于在硅表面上形成更稳定的自然氧化膜。钼或硅的单个薄膜的厚度是几nm,以及可以层叠数十层。
用于形成反射层12的材料可以是硅酸钼、碳酸钼、铍(Be)、碳、碳酸硼和氧化硅以及钼和硅。
根据本发明,反射光掩模10包括离子区段20。通过形成EUV射线吸收材料的预定图形,离子区段20构成EUV射线吸收区。
离子区段20通过离子注入方法形成,之后将参考图3A至3F描述。
反射光掩模10的上部暴露于EUV射线。因此,离子区段20优选形成在直接暴露于EUV射线的反射层12的表面上。用于形成离子区段20的材料可以是氧,其具有高的EUV射线吸收。氧具体化为例子,但本发明不限于此,可使用任何具有高EUV射线吸收的材料形成离子区段20。
如果以此方式形成离子区段20,那么当EUV射线被照射在反射光掩模10上时,硅晶片30上形成的图形的尺寸等于离子区段20上形成的图形的尺寸,如由方程式3和4所示。
[方程式3]
印刷间隔CD=设计间隔CD
[方程式4]
印刷线CD=设计线CD
方程式3和4表明硅晶片30上形成的图形的尺寸(印刷间隔CD和印刷线CD)等于离子区段20的尺寸(设计间隔CD和设计线CD)。
在本发明中,离子区段20形成在反射层12的上表面。因此,离子区段20不从反射层12向上突出。因此,由于不再有任意部分对应于常规吸收剂图形4的厚度d,方程式1和2的术语‘2d×tanθ×M’被除去。由此,离子区段20的设计形状被正确地转移到硅晶片30。
图3A至3F是说明根据本发明的实施例制造反射光掩模的方法的剖面图。这里,使用氧气作为EUV射线的吸收剂。
参考图3A,制备衬底11。接下来,如图3B所绘,在衬底11上形成由钼和硅的多个层构成的反射层12。此时,可以使用RF磁控溅射或离子束溅射形成反射层12。溅射条件被调整为适合使用的设备。
接下来,如图3C所绘,在反射层12上形成光刻胶层13。
如图3D所绘,通过将光刻胶层13暴露于能量如电子束,形成光刻胶图形14。光刻胶图形14是用于在离子区段20上形成预定图形的掩模。
接下来,如图3E所绘,在反射层12上形成离子区段20。此时,通过离子注入形成离子区段20。更具体地说,在将氧气转变为电离状态之后,使用离子注入设备加速氧离子至数十或数百keV。氧离子通过氧离子束照射在反射层12的表面上。通过将氧离子注入反射层12的上表面形成离子区段20。
接下来,如图3F所绘,通过刻蚀除去光刻胶图形14。因此,完成根据本发明的反射光掩模10的制造。
图4示出了根据本发明的实施例反射光掩模上的实验结果的图形。
在实验中,使用由4.1nm厚度的硅膜和2.8nm厚度的钼膜的叠层构成的反射层12。通过离子注入SiO2膜和MoO膜的叠层形成离子区段20,SiO2膜每个具有5.2nm厚度,MoO膜每个具有3.1nm厚度。反射层12总共使用40层,以及离子区段20总共使用1至10层,是反射层12的一部分。
图4示出根据离子区段20中的掺杂层数目的反射率和对比度。这里,反射率是离子区段20的入射强度和反射的EUV射线强度之间的比率。根据方程式5计算对比度。
[方程式5]
对比度=(RML-RAb)/RML
其中RML表示反射层12的反射率(%),以及RAb表示离子区段20的反射率(%)。参考数字41表示根据离子区段20的注入层数目的反射率的变化,以及参考数字42表示根据离子区段20的注入层数目的对比度的变化。
根据实验结果,当一个层被注入,以形成离子区段20时,离子区段20的反射率接近58%以及对比度接近25%。当十层被注入,以形成离子区段20时,离子区段20的反射率接近6%以及对比度接近90%。亦即,因为更多层被注入以形成离子区段20,离子区段20的反射率减小和对比度增加。随着更多层被注入,EUV射线的反射区和吸收区被清楚地区分。因此,反射光掩模10是用于光刻的改进掩模。
根据本发明的反射光掩模和制造反射光掩模的方法通过在反射层上形成离子区段,允许设计的图形形状被正确地转移到用于光刻的硅晶片的表面。
此外,根据本发明,反射光掩模是用于光刻的改进掩模,由于层被注入以形成离子区段时对比度增加。
此外,根据本发明,可以形成用于吸收EUV射线的吸收区,而没有淀积或刻蚀工序,由此简化制造工序。
尽管参考其示例性实施例具体展示和描述了本发明,但是本领域的普通技术人员应当明白在不脱离附加权利要求所限定的本发明的精神和范围的条件下,在形式上和细节上可以进行各种改变。

Claims (11)

1.一种反射光掩模,包括:
衬底;
由反射远紫外射线的材料构成、形成在所述衬底上的反射层;以及
通过使用离子注入注入吸收远紫外射线的吸收剂的离子在所述反射层上形成的具有预定图形的离子区段。
2.根据权利要求1的反射光掩模,其中通过注入离子在反射层的表面上形成离子区段。
3.根据权利要求1的反射光掩模,其中通过离子注入注入的以吸收远紫外射线的吸收剂包括氧。
4.根据权利要求1的反射光掩模,其中通过注入预定数目的离子层形成所述离子区段。
5.根据权利要求4的反射光掩模,其中所述离子被注入超过八层。
6.一种制造反射光掩模的方法,包括:
制备衬底;
使用可以反射远紫外射线的材料,在所述衬底上形成反射层;以及
在反射层上通过使用离子注入注入可以吸收远紫外射线的吸收剂的离子形成离子区段。
7.根据权利要求6的方法,其中形成离子区段包括:
在反射层上形成光刻胶层;
通过构图所述光刻胶层形成光刻胶图形;
使用所述光刻胶图形将吸收剂的离子注入所述反射层中;以及
除去所述光刻胶图形。
8.根据权利要求6的方法,其中形成离子区段包括将吸收剂的离子注入所述反射层的表面中。
9.根据权利要求6的方法,其中使用氧作为用于吸收远紫外射线的吸收剂形成离子区段。
10.根据权利要求6的方法,其中形成离子区段包括注入预定数目的吸收剂离子层。
11.根据权利要求10的方法,其中注入预定数目的层意味着注入大于八个离子层。
CN200510124778.4A 2004-12-29 2005-11-15 反射光掩模及其制造方法 Pending CN1797192A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR115074/04 2004-12-29
KR1020040115074A KR100630728B1 (ko) 2004-12-29 2004-12-29 반사 포토마스크 및 그 제조 방법

Publications (1)

Publication Number Publication Date
CN1797192A true CN1797192A (zh) 2006-07-05

Family

ID=36612032

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200510124778.4A Pending CN1797192A (zh) 2004-12-29 2005-11-15 反射光掩模及其制造方法

Country Status (4)

Country Link
US (1) US20060141370A1 (zh)
JP (1) JP2006191076A (zh)
KR (1) KR100630728B1 (zh)
CN (1) CN1797192A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114859651A (zh) * 2022-07-05 2022-08-05 上海传芯半导体有限公司 反射型掩模基板及制备方法、反射型掩模版及制备方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2894346B1 (fr) * 2005-12-02 2012-03-30 Commissariat Energie Atomique Masque de photolithographie en extreme ultra-violet, a cavites absorbantes
JP5521714B2 (ja) * 2010-04-06 2014-06-18 凸版印刷株式会社 Euv用反射型マスク製造方法
KR101727783B1 (ko) 2010-06-15 2017-04-17 칼 짜이스 에스엠테 게엠베하 Euv 리소그래피를 위한 마스크, euv 리소그래피 시스템 그리고 마스크의 결상을 최적화하는 방법
DE102011003357A1 (de) * 2011-01-31 2012-08-02 Carl Zeiss Smt Gmbh Spiegel für den EUV-Wellenlängenbereich, Herstellungsverfahren für einen solchen Spiegel, Projektionsobjektiv für die Mikrolithographie mit einem solchen Spiegel und Projektionsbelichtungsanlage für die Mikrolithographie mit einem solchen Projektionsobjektiv
WO2013156328A2 (en) * 2012-04-18 2013-10-24 Asml Netherlands B.V. Mask for lithographic apparatus and methods of inspection
TWI835896B (zh) * 2018-10-26 2024-03-21 美商應用材料股份有限公司 具有後側塗層的極紫外線掩模

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6346352B1 (en) * 2000-02-25 2002-02-12 International Business Machines Corporation Quartz defect removal utilizing gallium staining and femtosecond ablation
DE10134231B4 (de) * 2001-07-13 2006-06-14 Infineon Technologies Ag EUV-Reflektionsmaske
US20040159538A1 (en) * 2003-02-13 2004-08-19 Hans Becker Photo mask blank, photo mask, method and apparatus for manufacturing of a photo mask blank

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114859651A (zh) * 2022-07-05 2022-08-05 上海传芯半导体有限公司 反射型掩模基板及制备方法、反射型掩模版及制备方法

Also Published As

Publication number Publication date
US20060141370A1 (en) 2006-06-29
JP2006191076A (ja) 2006-07-20
KR20060076599A (ko) 2006-07-04
KR100630728B1 (ko) 2006-10-02

Similar Documents

Publication Publication Date Title
CN1797192A (zh) 反射光掩模及其制造方法
CN1289965C (zh) 液体浸润式微影制程中具有抗腐蚀层的透镜及其应用
DE112009000965B4 (de) Reflektive Maske und Verfahren zum Herstellen einer reflektiven Maske
US7960076B2 (en) Reflective-type mask
CN1294623C (zh) 使用光束成型获取椭圆及圆化形状之方法
CN1869811A (zh) 用于极远紫外光刻的反射掩模和制作该反射掩模的方法
CN1695093A (zh) 金属镶嵌极端远紫外线光刻技术用光掩模及其制造方法
US8158305B2 (en) Photomask for extreme ultraviolet lithography and method for fabricating the same
CN1992155A (zh) 制造半导体装置的方法
CN1516827A (zh) 金属镶嵌极端远紫外线光刻术用交替型相移光掩膜及制造方法
US7588867B2 (en) Reflection mask, use of the reflection mask and method for fabricating the reflection mask
US6723475B2 (en) Reflection-type mask for use in pattern exposure, manufacturing method therefor, exposure apparatus, and method of manufacturing a device
JP2006352134A (ja) Euvマスクおよびその製造方法
CN1483157A (zh) 衰减极端紫外相移掩模的制造方法
US20140256158A1 (en) Imprint mask, method for manufacturing the same, and method for manufacturing semiconductor device
WO2013046641A1 (ja) 反射型マスクブランク及び反射型マスク、その製造方法
US8163445B2 (en) Extreme ultraviolet mask and method for fabricating the same
KR100447990B1 (ko) 스텐실마스크
CN1821868A (zh) 光掩模及其制造方法
CN1992156A (zh) 制造半导体装置的方法
CN1269532A (zh) 用于改善临界尺寸控制的抗反射涂层
JPH02251962A (ja) 微細パターン形成材料およびパターン形成方法
US20090191469A1 (en) Reflection photolithography mask, and process for fabricating this mask
CN1959527A (zh) 相移式掩模及其制备方法与制备半导体元件的方法
KR20190129661A (ko) 극자외선 리소그래피용 블랭크마스크, 포토마스크 및 이의 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20060705