CN1731286A - Method of through hole etching for RF device products - Google Patents

Method of through hole etching for RF device products Download PDF

Info

Publication number
CN1731286A
CN1731286A CN 200410053418 CN200410053418A CN1731286A CN 1731286 A CN1731286 A CN 1731286A CN 200410053418 CN200410053418 CN 200410053418 CN 200410053418 A CN200410053418 A CN 200410053418A CN 1731286 A CN1731286 A CN 1731286A
Authority
CN
China
Prior art keywords
etching
hole
backside helium
pressure
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN 200410053418
Other languages
Chinese (zh)
Other versions
CN100474113C (en
Inventor
吕煜坤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shanghai Huahong Grace Semiconductor Manufacturing Corp
Original Assignee
Shanghai Hua Hong NEC Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shanghai Hua Hong NEC Electronics Co Ltd filed Critical Shanghai Hua Hong NEC Electronics Co Ltd
Priority to CNB2004100534185A priority Critical patent/CN100474113C/en
Publication of CN1731286A publication Critical patent/CN1731286A/en
Application granted granted Critical
Publication of CN100474113C publication Critical patent/CN100474113C/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

The invention discloses a through-hole erosion method of a radio-frequency transformer product. It mainly comprises: first step, doing top layer silicon nitride oxide erosion and etching-clean the film; second step, doing main etching of the oxide film, adding 50% etching so as to ascertain each touch hole on the silicon side has been opened; the third step, doing etching to the bottom silicon oxide and adjusting the ration of trifuoromethane and oxygen, adding argon gas to dilute them, choosing etching speed choosing rate with high oxide film to erase silicon nitride oxide so that the hole can on the lower layer titanium nitride.

Description

The etching method for forming through hole of radio-frequency devices product
Technical field
The present invention relates to the lithographic method of radio-frequency devices product, especially relate to the etching method for forming through hole of radio-frequency devices product.
Background technology
At present, in radio-frequency devices technology, need when doing second layer aluminum wiring, need do metal capacitance (MIM).The method for making of metal capacitance is as follows: promptly after the deposit of second layer aluminium, deposit one deck and coats photoresist and exposure as the silicon nitride of dielectric layer with as the nitride metal titanium of upper electrode successively again, carries out the dry etching of silicon nitride.In order to prevent electric leakage, and consider process window and technology stability, require when silicon nitride etch, can only carve half.
So after the second time 2 kinds of holes that the degree of depth is different are arranged during via etch, that is, and through-hole pattern 1 and through-hole pattern 22 (as shown in Figure 1).
1) on a kind of titanium nitride that is carved into upper electrode, the depth as shallow in hole some, promptly through-hole pattern 1;
2) another kind is carved on the top titanium nitride of second layer aluminum wiring, and the degree of depth in hole is dark, and also has the remaining silicon nitride of one deck on aluminum wiring, and promptly through-hole pattern 22.
Problem common in the existing etching process is:
1, for the structure of through-hole pattern 22; owing to need the depth ratio structure of etching dark; and on the metal aluminum steel, also has one deck silicon nitride; so required etching time is long; consider the Thickness Variation and the interior unevenness of silicon chip face of film-forming machine growth oxide film; also have etching machine engraving erosion rate variations, can add certain over etching time usually to prevent that etching is not enough and cause circuit obstructed.The speed of etch silicon nitride is low in addition, just needs longer etching time, therefore causes through hole that the top titanium nitride etch amount is too much caused electric leakage easily.
2, because when undersized designs, for save area, improve integrated level, designed non-boundary through hole-Board less via (edge of edge, hole and metal connecting line aluminum steel joins), in actual production, often have the exposure position skew, when the through hole over etching, through hole can down etching be very dark along the edge of aluminum steel; When next step deposition preventing metal level, can not cover fully because of coverage rate is bad, cause when depositing metal tungsten, it is unusual to react, and finally makes circuit turn-on bad.(as shown in Figure 2).
Summary of the invention
The objective of the invention is to above-mentioned deficiency, propose the etching method for forming through hole of the high and effective radio-frequency devices product of a kind of etching efficient at prior art.
Above-mentioned purpose of the present invention is achieved through the following technical solutions: the first step, carry out the etching of top layer silicon oxynitride, and this tunic etching is clean; Second goes on foot, and carries out the main etching of oxide film, appends 50% over etching, to guarantee that each contact hole is all opened in the silicon chip face; The 3rd step, the bottom silicon nitride is carried out etching, adjust the ratio of fluoroform and oxygen, add argon-dilution, select the high etch rate of oxide film is selected than removing silicon oxynitride the hole to be parked in lower floor's titanium nitride.
When the first step is carried out the etching of top layer silicon oxynitride, select top and the bottom electrode separation 15-19mm; Chamber pressure 55-65 millitorr; Top power 900-1100 watt; Bottom power 900-1100 watt; Argon gas 180-220sccm; Fluoroform 15-25sccm; Oxygen 12-20sccm; Backside helium Center of Pressure 5-15 holder; Backside helium pressure edge 15-25 holder; When the first step is carried out the etching of top layer silicon oxynitride, select top and the bottom electrode separation 17mm, chamber pressure 60 millitorrs, 1000 watts of top power, 1000 watts of bottom power, argon gas 200sccm, fluoroform 20sccm, oxygen 15sccm, 10 holders of backside helium pressure middle part, 20 holders of backside helium pressure edge; When carrying out the main etching of oxide film, select top and the bottom electrode separation 15-19mm in second step; Chamber pressure 20-30 millitorr; Top power 1600-2000 watt; Bottom power 1250-1650 watt; Octafluoroization four carbon (C4F8) 15-25sccm; Argon gas 500-600sccm; Oxygen 10-15sccm; Backside helium Center of Pressure 5-15 holder; Backside helium pressure edge 15-25 holder; When carrying out the main etching of oxide film in second step, select top and the bottom electrode separation 17mm, chamber pressure 25 millitorrs, 1800 watts of top power, 1450 watts of bottom power, octafluoroization four carbon (C4F8) 18sccm, argon gas 550sccm, oxygen 12sccm, 10 holders of backside helium pressure middle part, 20 holders of backside helium pressure edge; The 3rd step when the bottom silicon nitride is carried out etching, select top and the bottom electrode separation 15-25mm; Chamber pressure 35-45 millitorr; Top power 900-1100 watt; Bottom power 150-300 watt; Argon gas 180-220sccm; Fluoroform 12-20sccm; Oxygen 12-20sccm; Backside helium Center of Pressure 10-20 holder; Backside helium pressure edge 5-15 holder; The 3rd step when the bottom silicon nitride is carried out etching, select top and the bottom electrode separation 20mm, chamber pressure 40 millitorrs, 1000 watts of top power, 200 watts of bottom power, argon gas 200sccm, fluoroform 15sccm, oxygen 15sccm, 15 holders of backside helium pressure middle part, 5 holders of backside helium pressure edge.
Compare with prior art, the present invention has following beneficial effect:
1, when second goes on foot the oxide film main etching, only need assurance that the oxide film etching is clean, need not add merely and cause over etching for a long time;
2, when the 3rd goes on foot silicon nitride etch, because the high etch rate of oxide film is selected to guarantee on the silicon nitride than (greater than 5), and etching is clean, even during the skew of exposure occurrence positions Offset portion is parked in the oxide film, can be not dark excessively along the downward etching in aluminum steel edge.
Description of drawings
The structural representation of etch layer when Fig. 1 is via etch;
Fig. 2 is the structural representation of the through hole of prior art institute etching;
Fig. 3 is the structural representation of the through hole of institute of the present invention etching.
Wherein, 1 is through-hole pattern one; 2 is through-hole pattern two.
Embodiment
Below in conjunction with drawings and Examples the present invention is further described.
Because the etching of through hole will be carved SiON/TEOS oxide/ (Si3N4) (as shown in Figure 1) from top to bottom successively, so, use corresponding etching condition at the film of different materials.
The first step: the etching of top layer silicon oxynitride.If this tunic is not carved clean (residual silicon oxynitride is arranged), will have influence on the etching of oxide film, the perforate Halfway Stopping takes place.
Major parameter: top and the bottom electrode separation 15-19mm, preferred 17mm; Chamber pressure 55-65 millitorr, preferred 60 millitorrs; Top power 900-1100 watt, preferred 1000 watts; Bottom power 900-1100 watt, preferred 1000 watts; Argon gas 180-220sccm, preferred 200sccm; Fluoroform 15-25sccm, preferred 20sccm; Oxygen 12-20sccm, preferred 15sccm; Backside helium Center of Pressure 5-15 holder, preferred 10 holders; Backside helium pressure edge 15-25 holder, preferred 20 holders.
Second step: the main etching of oxide film.Consider the Thickness Variation and the interior unevenness of face of oxide film deposit, and unevenness in dry etching rate variation and the face, append 50% over etching, can guarantee that each contact hole is all opened in the silicon chip face.
Major parameter: top and the bottom electrode separation 15-19mm, preferred 17mm; Chamber pressure 20-30 millitorr, preferred 25 millitorrs; Top power 1600-2000 watt, preferred 1800 watts; Bottom power 1250-1650 watt, preferred 1450 watts; Octafluoroization four carbon (C4F8) 15-25sccm, preferred 18sccm; Argon gas 500-600sccm, preferred 550sccm; Oxygen 10-15sccm, preferred 12sccm; Backside helium Center of Pressure 5-15 holder, preferred 10 holders; Backside helium pressure edge 15-25 holder, preferred 20 holders.
The 3rd step: the etching of bottom silicon nitride.Adjust the ratio of fluoroform and oxygen, add argon-dilution, obtain the condition of higher silicon nitride, remove silicon oxynitride, the hole is parked in lower floor's titanium nitride the etching selection ratio of oxide film.Employing is selected ratio to the high etch rate of oxide film, even when the hole exposure takes place just to move, owing to the etch rate low to oxide film, makes in the oxide layer that through hole can be parked in, and down etching is dark excessively along the aluminum steel sidewall can not make through hole.As shown in Figure 3.
Major parameter: top and the bottom electrode separation 15-25mm, preferred 20mm; Chamber pressure 35-45 millitorr, preferred 40 millitorrs; Top power 900-1100 watt, preferred 1000 watts; Bottom power 150-300 watt, preferred 200 watts; Argon gas 180-220sccm, preferred 200sccm; Fluoroform 12-20sccm, preferred 15sccm; Oxygen 12-20sccm, preferred 15sccm; Backside helium Center of Pressure 10-20 holder, preferred 15 holders; Backside helium pressure edge 5-15 holder, preferred 5 holders.

Claims (7)

1, a kind of etching method for forming through hole of radio-frequency devices product is characterized in that:
The first step is carried out the etching of top layer silicon oxynitride, and this tunic etching is clean;
Second goes on foot, and carries out the main etching of oxide film, appends 50% over etching, to guarantee that each contact hole is all opened in the silicon chip face;
The 3rd step, the bottom silicon nitride is carried out etching, adjust the ratio of fluoroform and oxygen, add argon-dilution, select the high etch rate of oxide film is selected than removing silicon oxynitride the hole to be parked in lower floor's titanium nitride.
2, the etching method for forming through hole of radio-frequency devices product according to claim 1 is characterized in that: when the first step is carried out the etching of top layer silicon oxynitride, select top and the bottom electrode separation 15-19mm; Chamber pressure 55-65 millitorr; Top power 900-1100 watt; Bottom power 900-1100 watt; Argon gas 180-220sccm; Fluoroform 15-25sccm; Oxygen 12-20sccm; Backside helium Center of Pressure 5-15 holder; Backside helium pressure edge 15-25 holder.
3, the etching method for forming through hole of radio-frequency devices product according to claim 2, it is characterized in that: when the first step is carried out the etching of top layer silicon oxynitride, select top and the bottom electrode separation 17mm, chamber pressure 60 millitorrs, 1000 watts of top power, 1000 watts of bottom power, argon gas 200sccm, fluoroform 20sccm, oxygen 15sccm, 10 holders of backside helium pressure middle part, 20 holders of backside helium pressure edge.
4, the etching method for forming through hole of radio-frequency devices product according to claim 1 is characterized in that: when carrying out the main etching of oxide film in second step, select top and the bottom electrode separation 15-19mm; Chamber pressure 20-30 millitorr; Top power 1600-2000 watt; Bottom power 1250-1650 watt; Octafluoroization four carbon (C4F8) 15-25sccm; Argon gas 500-600sccm; Oxygen 10-15sccm; Backside helium Center of Pressure 5-15 holder; Backside helium pressure edge 15-25 holder.
5, the etching method for forming through hole of radio-frequency devices product according to claim 4, it is characterized in that: when carrying out the main etching of oxide film in second step, select top and the bottom electrode separation 17mm, chamber pressure 25 millitorrs, 1800 watts of top power, 1450 watts of bottom power, octafluoroization four carbon (C4F8) 18sccm, argon gas 550sccm, oxygen 12sccm, 10 holders of backside helium pressure middle part, 20 holders of backside helium pressure edge.
6, the etching method for forming through hole of radio-frequency devices product according to claim 1 is characterized in that: the 3rd step when the bottom silicon nitride is carried out etching, select top and the bottom electrode separation 15-25mm; Chamber pressure 35-45 millitorr; Top power 900-1100 watt; Bottom power 150-300 watt; Argon gas 180-220sccm; Fluoroform 12-20sccm; Oxygen 12-20sccm; Backside helium Center of Pressure 10-20 holder; Backside helium pressure edge 5-15 holder.
7, the etching method for forming through hole of radio-frequency devices product according to claim 6, it is characterized in that: the 3rd step when the bottom silicon nitride is carried out etching, select top and the bottom electrode separation 20mm, chamber pressure 40 millitorrs, 1000 watts of top power, 200 watts of bottom power, argon gas 200sccm, fluoroform 15sccm, oxygen 15sccm, 15 holders of backside helium pressure middle part, 5 holders of backside helium pressure edge.
CNB2004100534185A 2004-08-04 2004-08-04 Method of through hole etching for RF device products Expired - Fee Related CN100474113C (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CNB2004100534185A CN100474113C (en) 2004-08-04 2004-08-04 Method of through hole etching for RF device products

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CNB2004100534185A CN100474113C (en) 2004-08-04 2004-08-04 Method of through hole etching for RF device products

Publications (2)

Publication Number Publication Date
CN1731286A true CN1731286A (en) 2006-02-08
CN100474113C CN100474113C (en) 2009-04-01

Family

ID=35963665

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100534185A Expired - Fee Related CN100474113C (en) 2004-08-04 2004-08-04 Method of through hole etching for RF device products

Country Status (1)

Country Link
CN (1) CN100474113C (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101452213B (en) * 2007-12-06 2011-03-23 上海华虹Nec电子有限公司 Secondary image exposure method of contact hole
CN101393842B (en) * 2007-09-20 2011-08-17 中芯国际集成电路制造(上海)有限公司 Slot forming method
CN103367104A (en) * 2012-03-26 2013-10-23 上海宏力半导体制造有限公司 Etching method of metal capacitor top electrode
CN112582263A (en) * 2019-09-30 2021-03-30 扬州扬杰电子科技股份有限公司 Improvement method for punctiform residues

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101393842B (en) * 2007-09-20 2011-08-17 中芯国际集成电路制造(上海)有限公司 Slot forming method
CN101452213B (en) * 2007-12-06 2011-03-23 上海华虹Nec电子有限公司 Secondary image exposure method of contact hole
CN103367104A (en) * 2012-03-26 2013-10-23 上海宏力半导体制造有限公司 Etching method of metal capacitor top electrode
CN112582263A (en) * 2019-09-30 2021-03-30 扬州扬杰电子科技股份有限公司 Improvement method for punctiform residues
CN112582263B (en) * 2019-09-30 2024-04-26 扬州扬杰电子科技股份有限公司 Punctiform residue improvement method

Also Published As

Publication number Publication date
CN100474113C (en) 2009-04-01

Similar Documents

Publication Publication Date Title
TW507293B (en) Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
KR100946020B1 (en) Formation of metal-insulator-metal capacitor simultaneously with aluminum metal wiring level using a hardmask
JPH0629253A (en) Method for etching of fire-resistant metal layer at inside of parallel-plate reactor during manufacture of device on semiconductor substrate
IL169135A0 (en) A method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
US20080166851A1 (en) Metal-insulator-metal (mim) capacitor and method for fabricating the same
CN1731286A (en) Method of through hole etching for RF device products
CN100359646C (en) Etching method for contact hole for imaging sensor
CN1099700C (en) Method for forming of semi-conductor device
US7341955B2 (en) Method for fabricating semiconductor device
KR100364260B1 (en) A method for preparing of integrated circuit of semiconductor
KR20000026283A (en) Method for manufacturing capacitor
KR100688786B1 (en) Method for manufacturing metal insulator metal capacitor
KR100607781B1 (en) Method for manufacturing metal insulator metal capacitor
CN101217129B (en) A formation method of interlayer capacitor
CN1731565A (en) Etching method for 0.18 micrometre contact hole
KR100607778B1 (en) Method for manufacturing metal insulator metal capacitor
TW475219B (en) Method to remove the re-depositions on a wafer
US20030013251A1 (en) Method for manufacturing a semiconductor device
KR100319876B1 (en) Semiconductor device with cylindrical capacitor and its manufacturing method
KR20030083086A (en) Method of manufacturing a capacitor in a semiconductor device
KR19980037959A (en) How to form a storage electrode of a capacitor
KR20030002373A (en) Method for forming the semiconductor memory device
JPH04100218A (en) Manufacture of semiconductor device
KR20040003524A (en) Damascene bit line compatible to SAC and method for forming the same
JPH08321542A (en) Forming method of connection structure and manufacture of semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171225

Address after: 201203 Shanghai city Zuchongzhi road Pudong New Area Zhangjiang hi tech Park No. 1399

Patentee after: Shanghai Huahong Grace Semiconductor Manufacturing Corp.

Address before: No. 1188, Chuan Qiao Road, Pudong, Shanghai

Patentee before: Shanghai Hua Hong NEC Electronics Co.,Ltd.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090401

Termination date: 20210804

CF01 Termination of patent right due to non-payment of annual fee