CN1705948A - 提供前馈首要原则的制造控制方法及装置 - Google Patents

提供前馈首要原则的制造控制方法及装置 Download PDF

Info

Publication number
CN1705948A
CN1705948A CNA2003801017940A CN200380101794A CN1705948A CN 1705948 A CN1705948 A CN 1705948A CN A2003801017940 A CNA2003801017940 A CN A2003801017940A CN 200380101794 A CN200380101794 A CN 200380101794A CN 1705948 A CN1705948 A CN 1705948A
Authority
CN
China
Prior art keywords
workpiece
data
emulation
processing
relevant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2003801017940A
Other languages
English (en)
Inventor
D·卡多什
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of CN1705948A publication Critical patent/CN1705948A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32097Recipe programming for flexible batch
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32198Feedforward inspection data for calibration, manufacturing next stage
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32364Simulate batch processing
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P80/00Climate change mitigation technologies for sector-wide applications
    • Y02P80/40Minimising material used in manufacturing processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Factory Administration (AREA)

Abstract

一种方法,包括在制造系统(10)中处理工件,其中该制造系统包括多个工具。系选取有关该处理的工件制造数据。在该制造系统(10)中更进一步的处理系基于该工件制造数据而仿真,基于该仿真而预测该更进一步的处理的至少一个过程参数。该工件系以基于该预测的过程参数的至少一个工具(30)而进行过程。一种系统(10),包括多个架构以处理工件的工具(30)以及仿真单元(110)。该仿真单元(110)系架构以选取有关该处理的工件制造数据、基于该工件制造数据而仿真该工件的更进一步处理、以及基于该仿真而预期该更进一步处理的至少一个过程参数,其中,至少一个工具(30)系架构以基于该预期的过程参数而对该工件进行过程。

Description

提供前馈首要原则的制造控制方法及装置
技术领域
本发明有关一种制造半导体装置的领域,尤指一种提供前馈首要原则的制造控制方法及装置。
背景技术
在半导体产业中具有一种持续的驱动作用,以增加例如微处理器、内存装置及类似的集成电路装置的品质、可靠性以及生产量。此驱动作用系由消费者对更高品质且更易操作的计算机以及电子产品的需求所刺激。这些需求导致在半导体装置(例如,晶体管)的制造上以及集成电路装置结合此种晶体管的制造上不断的改进。此外,降低典型晶体管组件的制造缺陷也有助于降低每一晶体管的整体成本以及集成电路装置结合此种晶体管的成本。
大体来说,一组处理步骤系在晶圆上使用不同过程工具而进行者,其中该等过程工具包括微影步进对准机、蚀刻工具、沉积工具、研磨工具、快速热处理工具、植入工具等。用于改进半导体处理线的操作的技术包括使用全厂(factory wide)控制系统,以自动地控制不同处理工具的操作。该制造工具与制造框架或处理模块的网络连通。每一制造工具通常连接至设备界面,而该设备界面系连接至有助于连通该制造工具与该制造框架间的加工界面。该加工界面通常可为高阶过程控制(Advanced Process Control,APC)系统的一部份。该高阶过程控制系统基于制造模型而开始控制命令集(control script),而该控制命令集可为自动选取执行过程所需的数据的软件程序。通常,半导体装置系透过数个过程用的多个制造工具而进行制造,并产生有关经过程的半导体装置的品质的数据。预处理及/或后处理度量衡数据系供应至该等工具的过程控制器。过程控制器基于性能模型以及度量衡信息计算操作方法参数,以试图令后处理结果尽可能接近目标值。在此方式中的降低变异能使生产量增加、成本降低、装置性能提高等,而等于可增加收益。
在典型的半导体制造工厂中,晶圆系以群组方式进行过程,称为批次(lot)。在特定批次中的晶圆通常经历相同的处理环境。在某些工具中,所有在批次中的晶圆系同时进行过程,在其它工具中的晶圆系个别进行过程但在相同条件之下(例如,使用相同的操作方法)。典型地,同一批次的晶圆在其处理周期一开始时系优先配置的。例如,可基于在该批次中的晶圆数量或者是该批次的状态为测试或试验者而进行优先配置。
在过程期间,所发生的不同事件将可能影响正在制造的装置的性能。换言之,在过程步骤中的变异导致装置性能的变异。诸如特征关键尺寸、掺杂程度、接触电阻、颗粒污染等因素,均将对装置的最终性能产生潜在的影响。装置典型地系以等级量测(grade measurement)而分出等级,而这将有效地决定其市场价值。大体而言,装置的等级(grade)越高,则该装置越有价值。
由于非常多数的变量将影响装置的性能特征,因此难以在对装置进行电性测试之前便预测该装置的等级。典型地系在过程后段才对经过程的晶圆进行晶圆电性测试(Wafer electrical test,WET)量测,有时则在该处理已完成数周后才进行晶圆电性测试量测。当一个或多个处理步骤生产出该晶圆电性测量测指示系不能接受的晶圆时,该等所制晶圆必须报废。然而,在此段时间中,可能经过很长的一段时间未发现或未校正当处理,而导致许多晶圆报废、浪费很多材料、以及减少整体生产量。而且,在数个步骤中某些符合规格(in-spec)的结合处理可能导致由电性或性能的观点所观视的产品仍为目标错误者。对大量晶圆所作的一致性控制需要考虑到许多过程复杂性,而这些过程的控制典型地需要全自动化的实施方式。
在上述典型的过程控制方案中,可应用经验模型来预测及控制该控制工具的反应。在一些情况下,当经验模型无法精确地表示系统中不同因素的相互影响时,将因错综复杂的反应而降低预测精确度。例如,许多因素影响晶体管的表现,这些因素例如包括在栅极电极堆栈的过程膜层厚度、栅极电极关键尺寸、植入剂量与能量、以及植入区域面积。这些本质上影响晶体管性能的不同因素间的相互影响将降低用以控制晶体管制造的经验模型所能获得的精确度。
本发明系克服或至少降低以上所提出的一个或多个问题的影响。
发明内容
本发明的一个态样为一种方法,该方法包括在包含多个工具的制造系统中处理工件。系选取有关该处理的工件制造数据。基于该工件制造数据而仿真在该制造系统中更进一步的处理。基于该仿真而预期该更进一步的处理的至少一个过程参数。基于该预期的过程参数而在至少一个该工具中对该工件进行过程。
本发明的一个态样为一种系统,该系统包括多个工具以及仿真单元,其中该等工具系架构以处理工件。该仿真单元系架构以选取有关该处理的工件过程数据、基于该工件过程数据仿真该工件的更进一步的处理、以及基于该仿真而预期该更进一步的处理的至少一个过程参数,其中,至少一个工具系架构以基于该预期的过程参数而对该工件进行过程。
本发明将由下列说明并参考所附图式而更易于了解,图式中标示相同组件符号者系代表相同的组件。
在本发明系容许有不同的修改以及其它的形式之际,本发明的特定实施例在此已为例表示于该等图标中,并且于此详细说明。然而,应了解的是,在此所描述的特定实施例并非用以限定本发明为所揭示的特定形式,相反地,在不背离本发明的精神与范畴之内的所有修改、等效、及其它替代者,均包含于由所附的权利要求书所定义的本发明中。
附图说明
图1是根据本发明一个说明的实施例的制造系统的简化方块示意图;以及
图2是根据本发明另一个说明的实施例的控制制造系统的方法的流程方块图。
具体实施方式
本发明的说明实施例系描述如下。为使本发明更于清楚易懂,所有实际实施时的特征并未于此说明书中描述。应了解的是,在任何此种实际的实施例的研发中,为达成研发者在每一实施方式中所不同的特定目标(诸如与有关系统及有关商务兼容的限制),必须制作为数众多的特定实施方式决定。再者,须了解的是,此研发成果可为复杂而耗时的,然而对熟习该项技艺者而言,在了解本发明揭露的优点后仅为例行事务。
参阅第1图,系提供说明制造系统10的简化方块图。在该说明的实施例中,该制造系统10系适用于过程半导体晶圆,然而,本发明并非以此为限,并且系可应用于其它类型的制造环境以及其它类型的工件。网络20使该制造系统10的不同组件互相连接,并允许该制造系统10的不同组件交换信息。该说明的制造系统10包含多个过程工具30,每一个过程工具30系耦合至对接该网络20的计算机40。该制造系统10也包括耦合至对接该网络20的计算机60的一个或多个度量衡(metrology)工具50。该度量衡工具50可用以量测在该过程工具30中进行过程的晶圆的输出特性,以产生度量衡数据。虽然该工具30及50系以透过计算机40及60而对接该网络20为例作说明者,但该工具30及50也可包括对接该网络20的整合电路,以省略对计算机40及60的需求。制造执行系统(Manufacturing Execution System,MES)服务器70藉由指示该制造系统10的流程以指示制造系统10的高阶操作。该制造执行系统服务器70监视在该制造系统10中不同实体的状态,包括该工具30及50。该过程工具30可为诸如微影步进对准机、蚀刻工具、沉积工具、研磨工具、快速热处理工具、植入工具等过程工具。该度量衡工具50可为诸如光学量测工具、电性量测工具、扫描电子显微镜、气体分析仪等的量测工具。
数据库服务器80系设置为存储有关不同实体及工件(例如,晶圆)的状态的数据。该数据库服务器80可存储信息于一个或多个数据存储器90中。该度量衡数据可包括特征量测、过程膜层厚度、电性性能特征、缺陷量测、表面轮廓等。该工具30的维修历史(例如清洁、耗材更换、修理工作)也可由该制造执行系统服务器70或由工具操作者而存储于该数据存储器90中。
某些过程工具30系与过程控制器100对接,而该过程控制器100系可自动地控制一个或多个工具的操作方法。在说明的实施例中,该过程控制器100应用首要原则(即,以物理学为基础)模型来控制该等过程工具。
该过程控制器100系与仿真单元110对接,而该仿真单元110系在计算机120上执行,以仿真晶圆的过程。藉由仿真该过程,该仿真单元110可预测由该制造系统10所制造的装置的电性特征。该仿真单元110也可提供有关后续过程步骤的数据,以令所完成的装置符合预定的电性特征目标。例如,若建立,其电性参数,诸如饱和(saturation)电流IDsat,目标值则该仿真单元110可预测该制造系统10的制造目标值,以达到该目标饱和电流。典型地,该仿真单元110仿真一连串用以制造晶圆的过程步骤。在本质上,该仿真单元110系操作为虚拟的制造设备。使用者可指定某些制造参数为固定不变的,而其它制造参数则为可变的。在仿真过程期间,该仿真单元110操纵可变参数以试图决定该等可变参数的设定,以使该等可变参数的设定可达到特定的性能目标。在制造晶体管的例子中,有关栅极绝缘层厚度以及多晶硅厚度(即,栅极电极堆栈的构成)的参数可为固定不变的,而且诸如栅极电极宽度(即,由栅极蚀刻参数所控制)的参数以及植入参数(例如,环状植入或其它植入的掺杂剂量及能量)可列为可变的参数。接着该仿真单元110仿真该过程以及修改一个或多个指定的可变参数,以决定最靠近达成饱和电流目标的参数值。该仿真的结果可为以过程的目标(例如,X奈米的栅极宽度)或该过程的操作方法设定(例如Y秒的蚀刻时间或者是每单位容积Z掺杂离子的植入剂量)的形式。
由该仿真单元110所仿真的特定过程操作以及指定为固定的或可变的该等过程参数,可视特定实施例而有所不同。性能特征的目标值也可视特定的实施方式而有所不同。
适用于该制造系统10中的示例性信息交换以及过程控制架构(framework)系为高阶过程控制(Advance Process Control,APC)架构,诸如可由使用KLA-Tencot所提供的催化(Catalyst)系统而实施。该催化系统使用半导体设备以及材料国际性计算机整合制造(Semiconductor Equipment and Materials International,SEMI)计算机整合制造(Computer Integrated Manufacturing,CIM)架构兼容的系统技术,并且系基于高阶过程控制架构。计算机整合制造(SEMI E81-0699-计算机整合制造架构领域结构的临时规格)以及高阶过程控制(SEMIE93-0999-计算机整合制造(CIM)架构高阶过程控制(APC)组件的临时规格)等规格系可由SEMI公开地获得者。
本发明的部分以及对应的细节说明系就软件、或代表于计算机内存内的数据位上的操作的规则系统及符号表述的方面而呈现。这些描述以及表述供熟习该项技艺者可由此有效传达他们工作内容给其它熟习该项技艺者。在此所使用且系广泛使用的术语一规则系统系视为顺序首尾一致的以得到所欲的结果的步骤。这些步骤为需要物理操纵物理量的步骤。通常,尽管非必需,这些量系以可存储、传送、结合、比较、以及用其它方式操纵的光学、电性、或磁性信号的类型。已证明将这些信号称为位、值、组件、符号、字、术语、数字等有时较为方便,而主要理由为共通的使用性。
然而,应谨记在心的是,这些术语以及相似的术语系与适当的物理量有关,且仅为施加至这些量的便利标示。除非特别指示,或者于讨论中系显而易见的,诸如”处理”或”计算机计算”或”计算”或”决定”或”显示”等的术语,系指计算机系统或者是相同的电子计算机计算装置的动作及过程,而该电子计算机计算装置系操纵及变换以如在该计算机系统的缓存器及内存内的物理电子量所呈现的数据至其它同样在该计算机系统的内存或缓存器内的物理量所呈现的数据或者是其它此种信息存储、传输、或显示装置。通常不同计算机40、60、70、80、120中的处理及数据存储的分配系以提供独立作业以及重要信息存储方式实施。当然,可使用不同数量的计算机以及不同的配置。
该过程控制器100与仿真单元110的操作系参考第2图而更进一步说明,第2图说明根据本发明另一实施例的控制制造系统的方法的简化流程方块图。在方块200中,由过程工具30完成一个晶圆或一批晶圆的处理。在方块210中,选取晶圆/批次制造数据。该晶圆/批次制造数据可存储于不同位置中,例如,数据存储器90及/或该制造执行系统服务器70。该过程控制器100也可局部地存储某些该晶圆/批次制造数据。该晶圆/批次制造数据包括有关之前在晶圆上所进行处理的信息,诸如收集有关于晶圆特征(例如,过程膜层厚度)的度量衡数据。该晶圆制造数据也可包括由该过程工具30所收集的数据,或者是由有关该过程工具30的传感器(未图标)而来的数据,视晶圆于过程期间所经历的处理环境而定。示例性的过程数据包括腔室压力、腔室温度、退火时间、植入剂量、植入能量、电浆能量、处理时间等。该晶圆/批次制造数据也可包括来自该过程控制器100有关过程期间所使用的操作方法设定的数据。例如,无法直接量测某些过程参数的值。该过程控制器100可使用该等设定作为这些参数,以代替来自该过程工具30的实际过程数据。其它的过程控制数据可包括由该过程控制器100所评估及/或控制的不同状态条件下的值。
在方块220中,该制造数据系比对预定的临界点,以决定该数据是否落在预定范围(即,或者是有关多个参数的制造数据的范围)之内。例如,栅极绝缘层及多晶硅层的厚度可比对预定的临界点,而此临界点系不同于典型的缺陷侦测及分类(Fault detection andclassification,FDC)型分析。FDC分析通常寻找为建立的控制限制之外的值,该建立的控制限制之外的值系指示潜在的缺陷条件。若缺陷条件系确定,则需要重做或报废该晶圆/批次。典型地,形成于该晶圆上的装置的不同参数可设定其目标值(基于设计需求)。例如,目标值可指定为该栅极绝缘及多晶硅层厚度。若该制造数据系接近该目标值,则可能形成在该晶圆上的装置将符合设计的预期。然而,该过程数据虽可在可接受的FDC范围之内,但比起较接近符合目标值的装置而言,这样将降低装置的性能。此性能降低等于是降低了收益。在方块220中所进行的分析找出小于缺陷条件的情况,但得益于以减少潜在性能损失为目的的校正量测,因而维持收益。在一个实施例中,该过程控制器100可视晶圆所收集的度量衡数据进行评估,以决定该晶圆是否于预定限制之内。在另一个例子中,该过程控制器100可依于该晶圆上进行前述处理活动期间所收集的工具及传感器数据而进行评估。若该过程数据指示异常处理环境(即,但小于工具缺陷),则该过程控制器100可开始校正动作。
在方块220中,若该过程数据系于预定范围之内,则该过程控制器100可不采任何行动且该过程系于方块230中结束。然而,若该过程数据系于预定范围之外,则该过程控制器100提出仿真请求至该仿真单元110。在方块240中,过程流程数据系由该过程控制器100或仿真单元110所选取。该过程流程数据表示生产过程的预设过程设定及目标值。该过程流程数据表示具有实质上无变化的产品过程(即,所有特征系以等同于该目标值的尺寸而制造者)。这些参数描述晶体管(即,或其它已模块化的装置)的实验构成,并且系基于先前工程知识所建立。
在方块250中,该过程数据系与该过程流程数据结合。由该过程流程数据代替可从该晶圆获得的实际度量衡数据以及过程数据。使用该经结合的数据,在方块260中,该仿真单元110系仿真该晶圆的处理。因此,该仿真单元110仿真该晶圆的实际状态直到该晶圆的目前处理进程。
该仿真单元110接下来决定后续处理活动的过程目标及/或操作方法设定,使得在该过程之后的一些时间中,该晶圆将具有与该晶圆的预定性能目标一致的特征。该仿真单元110可使用该过程流程数据,以固定后续操作的某些过程目标或设定,同时选定其它可容许改变其设计值的参数。
例如,若希望晶体管为特定的饱和电流性能目标,该仿真单元110可固定有关该栅极蚀刻过程的值并且允许在环状植入参数上的改变。在其它实施例中,该仿真单元110可改变该栅极蚀刻参数以及环状植入参数两者。诸如源极/漏极植入参数、轻微掺杂的漏极植入参数、以及间隔件蚀刻参数的其它参数可固定在其设计值中。藉由仿真在性能特征上改变可变参数所造成的影响,该仿真单元110可决定过程目标或设定,而这些过程目标或设定更可获致性能目标的达成。
不同的技术计算机辅助设计(technology computer-aided design,TCAD)工具系可在市面上购得,以执行该仿真单元110的功能。典型地,该TCAD软件系计算性密集并且于独立的工作站上执行。输入请求至仿真队列并处理。特定的仿真工具系视所欲制造的半导体装置的类型以及所欲控制的性能特征的类型而选定。示例性的软件工具为由加州山景城(Mountain View)的Synopsis公司所提供的Tsuprem-4以及Medici。不同的TCAD软件也可由加州圣塔克莱拉(Santa Clara)的Silvaco公司以及瑞士苏黎士的ISE Integrated Systems Engineering所提供。可用于仿真过程目标及/或设定的示例性的性能目标值可为饱和电流、驱动电流、环形振荡器频率、记忆胞抹除时间、接触电阻、有效沟道长度等。
在方块270中,系收到该仿真结果。该仿真的输出可视仿真流程(过程或装置)的特定类型、固定的参数对可变的参数、以及所订下目标的特定性能特征而有所不同。在此处所讨论的晶体管例子中,在定下以饱和电流为目标之处,该仿真输出可包括进行环状植入的植入参数(能量、剂量、及角度)或蚀刻该栅极电极的蚀刻参数。栅极电极的宽度可由不同的蚀刻参数所控制。例如,在栅极蚀刻期间,增加蚀刻时间(即,过蚀刻)将导致宽度缩减。该栅极电极的尺寸也可由在光阻图案上进行修整蚀刻而受到影响,其中该光阻图案系用作为后续栅极蚀刻的光罩。美国专利第6,110,785号案中系更详尽地描述了进行栅极修整蚀刻的示例性技术,该案的发明名称为”FORMULATIONOF HIGH PERFORMANCE TRANSISTORS USING GATE TRIMETCH PROCESS”,并且在此结合其全文作为参考。
在方块280中,系分析该仿真结果,以决定所建议的过程目标及/或设定是否合理。例如,若过程工具无法达到所要求的过程设定,或者所调整的目标系在预定范围之外,则将无法如由该仿真单元110所建议者于后续过程步骤期间对该晶圆进行过程。例如,以所建议的方式处理该晶圆将对其他未由该仿真单元110所考量的参数造成有害的影响。若在方块280中的结果为合理者,在该晶圆上待进行的后续处理的方法参数系于方块290中产生并且存储于方块300中。例如,若该仿真输出包括栅极电极关键尺寸,则该过程控制器100可计算栅极修整蚀刻或门极蚀刻参数(诸如蚀刻时间或电浆力量),以获得目标关键尺寸。该过程控制器100同样可计算环状植入参数的值。在该仿真输出实际上包括操作方法参数之处,该过程控制器100也许不须进行更进一步的运算。该过程在方块230中结束。
若在方块280中该仿真结果并不符合要求,则于方块310中可通知工程人员。工程师可决定不进行该建议的过程目标或过程设定、进行该建议的改变、或将该晶圆或批次保留等待更多详细的检阅以决定是否需要重做。
上述的过程允许在其它技术(诸如经验模式)并未能精确考量不同过程变量间的相互影响的情况下,对欲进行过程的晶圆进行前馈控制。该前馈控制可控制该性能特征,因此可维持所制造装置的价值。此加强控制能力改进了该制造系统10的收益。
以上揭示的特定实施例仅为说明之用,由此教示获益的本领域技术人员可依不同但等效的方式对本发明进行修改与实施。再者,除了以下所述的申请专利范围之外,在此所显示的构成或设计的细节并非用以限定本发明。因此,很明显地,以上揭示的特定实施例可加以改变或修改,而且所有的变化系视为本发明的范畴及精神之内。是以,在此所请求保护的内容如下列权利要求书所提出的范围。

Claims (10)

1.一种方法,包括:
在包括多个工具(10)的一制造系统(10)中处理一工件;
接收有关该处理的工件制造数据;
基于该工件制造数据而仿真在该制造系统(10)中的更进一步的处理;
基于该仿真而预期该更进一步的处理的至少一个过程参数;以及
基于该预期的过程参数而在至少一个该工具(30)中处理该工件。
2.如权利要求1所述的方法,其中,预期该更进一步的处理的至少一个过程参数还包括预期该更进一步的处理的过程目标以及预期该工具(30)的操作方法参数。
3.如权利要求1所述的方法,还包括基于该工件制造数据而仿真在该制造系统中完整的处理,藉由:
选取有关该工件的过程流程数据;
结合该工件制造数据与该过程流程数据;以及
基于该结合的数据而仿真该更进一步的处理。
4.如权利要求1所述的方法,其中,接收该工件制造数据还包括接收至少一有关该工件的度量衡数据,以及有关该工件的于至少一个该工具(30)中的处理的过程数据。
5.如权利要求1所述的方法,还包括:
比对该工件制造数据与一预定范围;
针对工件制造数据于该预定范围以外而以仿真该更进一步的处理作为响应。
6.一种系统(10),包括:
多个工具(30),架构以处理工件;以及
仿真单元(110),架构以选取有关该处理的工件过程数据、基于该工件过程数据而仿真该工件的更进一步处理、以及基于该仿真而预期该更进一步处理的至少一个过程参数,其中,至少一个工具(30)系架构以基于该预期的过程参数而对该工件进行过程。
7.如权利要求6所述的系统(10),其中,该仿真单元(110)还架构以预期该更进一步的处理的至少一过程目标以及该工具(30)的操作方法参数。
8.如权利要求6所述的系统(10),其中,该仿真单元(110)还架构以基于该工件过程数据而仿真于该制造系统中的完整的处理,藉由选取有关该工件的过程流程数据、结合该工件制造数据与该过程流程数据、以及基于该结合的数据而仿真该更进一步的处理。
9.如权利要求6所述的系统(10),其中,该工件过程数据还包括有关该工件的至少一度量衡数据以及有关该工件在至少一个工具(30)中的处理的过程数据。
10.如权利要求6所述的系统(10),还包括过程控制器(100),该过程控制器架构以比对该工件制造数据与预定范围,以及其中该仿真单元(110)还架构以针对工件制造数据于该预定范围以外而仿真该更进一步的处理以作为响应。
CNA2003801017940A 2002-10-31 2003-10-27 提供前馈首要原则的制造控制方法及装置 Pending CN1705948A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/284,969 US20040088068A1 (en) 2002-10-31 2002-10-31 Method and apparatus for providing first-principles feed-forward manufacturing control
US10/284,969 2002-10-31

Publications (1)

Publication Number Publication Date
CN1705948A true CN1705948A (zh) 2005-12-07

Family

ID=32175048

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2003801017940A Pending CN1705948A (zh) 2002-10-31 2003-10-27 提供前馈首要原则的制造控制方法及装置

Country Status (8)

Country Link
US (1) US20040088068A1 (zh)
EP (1) EP1556802A2 (zh)
JP (1) JP2006505130A (zh)
KR (1) KR20050065663A (zh)
CN (1) CN1705948A (zh)
AU (1) AU2003286924A1 (zh)
TW (1) TW200407687A (zh)
WO (1) WO2004040624A2 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004273903A (ja) * 2003-03-11 2004-09-30 Renesas Technology Corp 回路シミュレータおよびシミュレーションシステム
US6968280B2 (en) * 2003-03-24 2005-11-22 Powerchip Semiconductor Corp. Method for analyzing wafer test parameters
US6931297B1 (en) * 2004-03-05 2005-08-16 Lsi Logic Corporation Feature targeted inspection
US7596423B2 (en) * 2007-03-30 2009-09-29 Tokyo Electron Limited Method and apparatus for verifying a site-dependent procedure
JP5739841B2 (ja) * 2012-06-13 2015-06-24 株式会社東芝 電子デバイスの生産管理装置、生産管理システム及び生産管理プログラム
US20140236337A1 (en) * 2013-02-15 2014-08-21 Kabushiki Kaisha Toshiba Pattern inspection method and manufacturing control system
US9996654B2 (en) * 2014-12-22 2018-06-12 Wallace W Lin Transistor plasma charging evaluator
US10295979B2 (en) * 2015-09-15 2019-05-21 Applied Materials, Inc. Scheduling in manufacturing environments
TWI721879B (zh) * 2020-05-04 2021-03-11 和碩聯合科技股份有限公司 決定產能參數的方法及產能參數產生系統

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100201020B1 (ko) * 1994-03-11 1999-06-15 모리시타 요이찌 컴퓨터시뮬레이션부착 nc제어미세가공방법과 이 방법에 사용하는 장치
US5719796A (en) * 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5866437A (en) * 1997-12-05 1999-02-02 Advanced Micro Devices, Inc. Dynamic process window control using simulated wet data from current and previous layer data
US6154711A (en) * 1997-12-05 2000-11-28 Advanced Micro Devices, Inc. Disposition tool for factory process control
US6041270A (en) * 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
JP2002023823A (ja) * 2000-07-12 2002-01-25 Mitsubishi Electric Corp 生産管理システム
US6410351B1 (en) * 2000-07-13 2002-06-25 Advanced Micro Devices, Inc. Method and apparatus for modeling thickness profiles and controlling subsequent etch process
US6658640B2 (en) * 2001-12-26 2003-12-02 Numerical Technologies, Inc. Simulation-based feed forward process control
US8185230B2 (en) * 2002-08-22 2012-05-22 Advanced Micro Devices, Inc. Method and apparatus for predicting device electrical parameters during fabrication
US6810296B2 (en) * 2002-09-25 2004-10-26 Advanced Micro Devices, Inc. Correlating an inline parameter to a device operation parameter

Also Published As

Publication number Publication date
WO2004040624A3 (en) 2004-07-01
WO2004040624A2 (en) 2004-05-13
TW200407687A (en) 2004-05-16
US20040088068A1 (en) 2004-05-06
AU2003286924A8 (en) 2004-05-25
AU2003286924A1 (en) 2004-05-25
KR20050065663A (ko) 2005-06-29
EP1556802A2 (en) 2005-07-27
JP2006505130A (ja) 2006-02-09

Similar Documents

Publication Publication Date Title
JP4771696B2 (ja) 製造中に半導体デバイスの電気的特性を予測する方法及びシステム
KR101081013B1 (ko) 이온 주입 프로세스들에 대한 오류 검출 및 제어 방법, 및이를 수행하는 시스템
CN100451888C (zh) 用以监控半导体生产设备中的处理工具的方法与系统
US6368884B1 (en) Die-based in-fab process monitoring and analysis system for semiconductor processing
US6917849B1 (en) Method and apparatus for predicting electrical parameters using measured and predicted fabrication parameters
CN101036092B (zh) 动态控制量测中的工件的方法及系统
KR101287169B1 (ko) 프로세스 제어를 위한 제품 관련 피드백
US7477960B2 (en) Fault detection and classification (FDC) using a run-to-run controller
CN100578747C (zh) 用于模型预测的动态适应性取样率
US20050071038A1 (en) System and method for using first-principles simulation to control a semiconductor manufacturing process
US8396583B2 (en) Method and system for implementing virtual metrology in semiconductor fabrication
WO2006041543A1 (en) Method and system for dynamically adjusting metrology sampling based upon available metrology capacity
KR20020087047A (ko) 마이크로전자 디바이스들의 제조시 최적의 공정 목표들을결정하는 방법
KR20080094081A (ko) 제조 데이터 인덱싱을 위한 방법 및 장치
Chien et al. Advanced quality control (AQC) of silicon wafer specifications for yield enhancement for smart manufacturing
WO2021241242A1 (ja) 半導体装置製造システムおよび半導体装置製造方法
CN1705948A (zh) 提供前馈首要原则的制造控制方法及装置
CN1596390A (zh) 依据批量与工具可用状态计划生产批次的方法与装置
US7130769B1 (en) Method of dynamically designing a preventative maintenance schedule based upon sensor data, and system for accomplishing same
CN105895563A (zh) 一种制造过程中预测半导体装置的电气参数的方法及系统
US7783455B1 (en) Methods and systems for analyzing process equipment processing variations using sensor data
US6985825B1 (en) Method and apparatus for adaptive sampling based on process covariance
US6868353B1 (en) Method and apparatus for determining wafer quality profiles
CN1723423A (zh) 加工系统的自动配置方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
AD01 Patent right deemed abandoned
C20 Patent right or utility model deemed to be abandoned or is abandoned