CN1591786B - A selective etch process for making a semiconductor device having a high-K gate dielectric - Google Patents

A selective etch process for making a semiconductor device having a high-K gate dielectric Download PDF

Info

Publication number
CN1591786B
CN1591786B CN2004100570938A CN200410057093A CN1591786B CN 1591786 B CN1591786 B CN 1591786B CN 2004100570938 A CN2004100570938 A CN 2004100570938A CN 200410057093 A CN200410057093 A CN 200410057093A CN 1591786 B CN1591786 B CN 1591786B
Authority
CN
China
Prior art keywords
dielectric layer
gate dielectric
dielectric constant
constant gate
high dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2004100570938A
Other languages
Chinese (zh)
Other versions
CN1591786A (en
Inventor
贾斯廷·K·布拉斯克
乌代·沙阿
马克·L·多齐
杰克·卡瓦利罗斯
罗伯特·S·周
罗伯特·B·小蒂尔科特
马修·V·梅斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN1591786A publication Critical patent/CN1591786A/en
Application granted granted Critical
Publication of CN1591786B publication Critical patent/CN1591786B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

A method for making a semiconductor device is described. That method comprises forming a high-k gate dielectric layer on a substrate, and modifying a first portion of the high-k gate dielectric layer to ensure that it may be removed selectively to a second portion of the high-k gate dielectric layer.

Description

Manufacturing has the selective etching technology of high dielectric constant grid dielectric semiconductor device
Technical field
The method that the present invention relates to be used for producing the semiconductor devices specifically, relates to the method that is used to make the semiconductor device that comprises high dielectric constant gate dielectric layer.
Background technology
The conventional method that is used for etching high-k (k) gate dielectric is used anisotropic plasma etch and isotropism wet etching technique.If the speed of dry etch process etched substrate is far faster than the dielectric speed of its etching, dry etching may damage the substrate that is positioned at below the high k gate-dielectric so.Though wet-etching technology can be with respect to the substrate selective etch dielectric of below, this technology may etching be positioned at the dielectric below the gate electrode, and this may weaken or this structure of cutout.
Therefore, need a kind of improvement technology that is used to make the semiconductor device that comprises high k gate-dielectric.Need such technology, promptly with respect to the substrate of below and with respect to covered by gate electrode that part of of high K film and the exposed portions serve of the high k film of selective etch.Method of the present invention provides this technology.
Summary of the invention
According to a first aspect of the invention, the invention provides a kind of method that is used for producing the semiconductor devices, comprising: on substrate, form high dielectric constant gate dielectric layer; And add impurity the first of described high dielectric constant gate dielectric layer to, to guarantee and can optionally remove described first with respect to the second portion of described high dielectric constant gate dielectric layer.
According to a second aspect of the invention, the present invention also provides a kind of method that is used for producing the semiconductor devices, and comprising: form high dielectric constant gate dielectric layer on substrate; On described high dielectric constant gate dielectric layer, form gate electrode; The described gate electrode of etching is to expose the first of described high dielectric constant gate dielectric layer; In the described first of described high dielectric constant gate dielectric layer, add impurity; And the described first of optionally removing described high dielectric constant gate dielectric layer with respect to the second portion of described high dielectric constant gate dielectric layer.
According to a third aspect of the invention we, the invention provides a kind of method that is used for producing the semiconductor devices, comprising: on substrate, form high dielectric constant gate dielectric layer; On described high dielectric constant gate dielectric layer, form gate electrode based on polysilicon; The described gate electrode based on polysilicon of etching is to expose the first of described high dielectric constant gate dielectric layer; Use plasma enhanced chemical vapor deposition technology in the first of described high dielectric constant gate dielectric layer, to add impurity; Then, the first with described high dielectric constant gate dielectric layer is exposed in the acid of selecting from comprise the group that contains hydracid and phosphoric acid.
Description of drawings
Figure 1A represents the cross section of the structure that may form when realizing the embodiment of the inventive method to Fig. 1 D.Do not draw in proportion in the feature shown in these figure.
Embodiment
A kind of method that is used for producing the semiconductor devices has been described.This method is included on the substrate and forms high k gate dielectric, and the first of the described high k gate dielectric of modification is to guarantee and can optionally remove this first with respect to the second portion of described high k gate dielectric.In the following description, a large amount of details have been provided to provide to complete explanation of the present invention.But, for a person skilled in the art clearly, except those modes of clear description here, can also implement the present invention in a lot of modes.Therefore, the invention is not restricted to the detail that describes below.
In the method for the invention, on substrate, form high k gate dielectric.Substrate can comprise body silicon or silicon-on-insulator minor structure.Perhaps, substrate can comprise other materials---it can not make up with silicon with the silicon combination yet---for example: germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, GaAs or gallium antimonide.Though described several examples of the material that can form substrate here, can be used as basic any material of making semiconductor device thereon and all drop in the spirit and scope of the present invention.
High k gate dielectric will comprise the material of its dielectric constant greater than the dielectric constant of silicon dioxide.Preferably, the dielectric constant of high k gate dielectric is at least about the twice of the dielectric constant of silicon dioxide, that is, its dielectric constant is approximately greater than 8.The material that is used to make high k gate dielectric comprises: hafnium oxide, hafnium silicon oxide, lanthana, zirconia, silica zirconium, titanium oxide, tantalum oxide, strontium titanium oxides barium, titanium oxide barium, strontium titanium oxides, yittrium oxide, aluminium oxide and tantalum oxide scandium lead.Especially preferred is hafnium oxide, zirconia, titanium oxide and aluminium oxide.Though described some examples of the material that can be used to form high k gate dielectric here, described layer can be made by other materials, and this is clearly to those skilled in the art.
Can use the conventional deposition method of for example traditional chemical vapour deposition (" CVD "), low pressure chemical vapor deposition or physical vapour deposition (PVD) (" PVD ") technology on substrate, to form high k gate dielectric.Preferably, use traditional atomic layer CVD technology.In this technology, can in the CVD reactor, supply with metal oxide precursor (for example metal chloride) and steam with the flow velocity of selecting, this reactor is worked under the selection temperature and pressure then, to generate the smooth interface of atom level between substrate and high k gate dielectric.The CVD reactor should be worked the sufficiently long time, has the layer of expectation thickness with formation.In major applications, the thickness of high k gate dielectric should be less than about 40 dusts, and more preferably at about 5 dusts between about 20 dusts---promptly, thickness is less than or equal to about 5 monoatomic layers.
Deposit like this, high k gate dielectric may comprise the impurity of not expecting, for example residual chlorine, and it can make described layer incompatible with polysilicon.Can after having absorbed the considerable impurity that is present at first in the high k gate dielectric, remove this sacrifice layer sacrifice layer then and come the described layer of purifying by deposition of sacrificial layer on described laminar surface.Perhaps, can then this metal level be reoxidized, come the high k gate dielectric of purifying by described layer is reduced to metal level.For example the oxygen content that increases in the high k gate dielectric by wet method or dry oxidation technology may be gratifying.In order to guarantee and compatibility based on the gate electrode of polysilicon, can otherwise come the high k gate dielectric of modification, for example, perhaps can between film and gate electrode, the intermediate layer be set by to wherein adding nitrogen.
On substrate, form after the high k gate dielectric, can on this layer, form gate electrode.In a preferred embodiment, gate electrode comprises polysilicon.Can be by at first deposit spathic silicon layer 102---the structure of generation Fig. 1 a forms such gate electrode on dielectric layer 101 and substrate 100.Can use conventional method to come deposit spathic silicon layer 102, and its thickness is preferably at about 500 dusts between about 4000 dusts.Then, can etch polysilicon layer 102, with the first 103 that exposes high k gate dielectric 101, generate the structure of Fig. 1 b.
After etch polysilicon layer 102, must remove the first 103 of exposing.But before etching first 103, method modification of the present invention first 103 is shown in Fig. 1 c, to guarantee and can come selective removal first 103 with respect to the second portion 104 of high k gate dielectric 101.Can add the first 103 that impurity comes the high k gate dielectric 101 of modification by this part to dielectric layer.In a preferred embodiment, impurity comprises halogen, and described halogen can be included in the halogenic molecule or can close to form halide with hydrogenation.
Can use plasma enhanced chemical vapor deposition (" PECVD ") technology in the first 103 of high k gate dielectric 101, to add impurity.In this pecvd process, halogen or halide gas (or combination of these gases) can supplied with in reactor before the bombardment plasma.Reactor should be in the first 103 of the high k gate dielectric 101 of the following sufficiently long time modification of work of appropriate condition (for example temperature, pressure, radio frequency and power), to guarantee and can optionally remove first 103 with respect to other materials.In a preferred embodiment, use the low-power pecvd process, for example use and a kind ofly under less than about 200 watts, carry out.
In particularly preferred embodiment, in reactor, supply with hydrogen bromide (" HBr ") and chlorine (Cl with suitable flow velocity 2), will come the first 103 of the high k gate dielectric 101 of modification in the mode of expectation with the plasma that guarantees to generate by these gases.The wafer bias that (is preferably about 100 watts) between about 50 to about 100 watts can be used the sufficiently long time, the expectation of finishing first 103 changes.Continue less than about 1 minute or may just enough cause such conversion with regard to so short plasma exposure process in 5 seconds.
Though described some examples of the technology that is used for the high k dielectric layer of modification 101 firsts 103 here, for a person skilled in the art clearly, can use other processing.Therefore, the technology that is used for modification first 103 is not limited to above-described those technologies.Method of the present invention has considered to use any suitable wet method or dry chemistry, as long as it is described processing can be added impurity in high k dielectric layer 101 firsts 103, just passable to guarantee optionally to remove first 103 with respect to the second portion 104 of high k gate dielectric 101.
After the first of modification 103, with its removal.The existence of the impurity that is added makes and can optionally remove first 103 with respect to second portion 104, i.e. etching first 103 is to generate the structure of Fig. 1 d.In a preferred embodiment, by first 103 is exposed to for example contain hydracid (for example hydrobromic acid or hydrochloric acid) or phosphoric acid than in the strong acid, remove first 103.
When use contained hydracid, this acid preferably comprised HBr or the HCl of volume content between about 0.5% to about 10%---and more preferably volume content about 5%.Use the etching technics of such acid can be, and continue about 5 minutes to about 30 minutes in room temperature or near carrying out under the room temperature---can carry out longer exposure if desired certainly.When using phosphoric acid, this acid preferably comprises the H of volume content between about 75% to about 95% 3PO 4Use the etching technics of this acid preferably under about 140 ℃ to about 180 ℃, to carry out, and more preferably under about 160 ℃, carry out.When using this acid, exposing step should continue about 30 seconds to about 5 minutes---and for the thick film of 20 dusts preferably lasting about 1 minute.
Though described some examples that are used for optionally removing the technology of first 103 here, for a person skilled in the art clearly, can use other technologies with respect to second portion 104.Therefore, the technology that is used for etching first 103 is not limited to above-described those technologies.Method of the present invention considers to use any suitable processing of optionally removing the first 103 of high k gate dielectric 101 with respect to the second portion 104 of high k gate dielectric 101.
Because it is as well known to those skilled in the art generally being used to finish other steps of semiconductor device, so will no longer be described in more detail them here.Though gate electrode preferably includes polysilicon, gate electrode replacedly can be formed by the various metals that high k gate-dielectric can use therewith.In addition, gate electrode can comprise the combination of polysilicon and one or more metals or semi-metallic.
As mentioned above, anisotropically the first 103 of the high k gate dielectric 101 of modification makes adjacent structure not be subjected to the influence of this processing step, can guarantee that wet-etching technology subsequently can not etch into the substrate of below or the second portion of adjacency significantly.As a result, when using this technology to come the high k gate dielectric of etching, the substrate of below will can not suffer any influential breaking-up, and can be with gate electrode cutout or undercutting to very serious degree.
Though the foregoing description is the example of technology that can make it possible to optionally remove with respect to the second portion of described layer the first of high k gate dielectric, the invention is not restricted to these specific embodiments.Thereby the present invention has considered to be used to change the part of high k gate dielectric guarantees that dielectric layer etching will can seriously not damage the substrate of below or other processing of undercutting gate electrode so that it can be selectively removed.
Though top description has indicated some particular step and the material that can use in the method for the invention, it should be appreciated by those skilled in the art that and to make many modifications and replacement.Therefore, all this modifications, change, replacement and replenish and all should be considered to drop in the spirit and scope of the present invention that are defined by the following claims.

Claims (15)

1. method that is used for producing the semiconductor devices comprises:
On substrate, form high dielectric constant gate dielectric layer; And
Second impurity is added to the entire first portion of described high dielectric constant gate dielectric layer, wherein carry out the interpolation of described second impurity under less than 200 watts power by plasma enhanced chemical vapor deposition (" PECVD "), with the whole described first that guarantees optionally to remove described high dielectric constant gate dielectric layer with respect to the second portion of described high dielectric constant gate dielectric layer, wherein said second impurity comprises halide.
2. the method for claim 1, wherein, described high dielectric constant gate dielectric layer forms by atomic layer chemical vapor deposition, thickness at 5 dusts between 40 dusts, and it comprises the material that chooses from following group, and described group by hafnium oxide, hafnium silicon oxide, lanthana, zirconia, silica zirconium, titanium oxide, tantalum oxide, strontium titanium oxides barium, titanium oxide barium, strontium titanium oxides, yittrium oxide, aluminium oxide and the tantalum oxide scandium is plumbous forms.
3. method as claimed in claim 2 also comprises the step of optionally removing the described first of described high dielectric constant gate dielectric layer with respect to the described second portion of described high dielectric constant gate dielectric layer.
4. the method for claim 1, wherein described second impurity also comprises halogen.
5. method as claimed in claim 3, wherein, be exposed to next described first of optionally removing described high dielectric constant gate dielectric layer in the acid by described first with respect to the described second portion of described high dielectric constant gate dielectric layer with described high dielectric constant gate dielectric layer.
6. method as claimed in claim 5, wherein, described acid comprises and contains hydracid.
7. method as claimed in claim 5, wherein, described acid comprises hydrobromic acid.
8. method that is used for producing the semiconductor devices comprises:
On substrate, form high dielectric constant gate dielectric layer;
On described high dielectric constant gate dielectric layer, form gate electrode;
The described gate electrode of etching is to expose the first of described high dielectric constant gate dielectric layer;
Add second impurity by plasma enhanced chemical vapor deposition (" PECVD ") technology in the whole described first of described high dielectric constant gate dielectric layer under less than 200 watts power, wherein said second impurity comprises halogen and halid mixture; And
Be exposed to by described first and comprise the whole described first of optionally removing described high dielectric constant gate dielectric layer with respect to the second portion of described high dielectric constant gate dielectric layer in the hydrobromic acid described high dielectric constant gate dielectric layer.
9. method as claimed in claim 8, wherein, described gate electrode comprises polysilicon.
10. method as claimed in claim 8, wherein, described gate electrode is a metal gate electrode.
11. one is used in the method for making semiconductor device, comprising:
On substrate, form high dielectric constant gate dielectric layer;
On described high dielectric constant gate dielectric layer, form gate electrode based on polysilicon;
The described gate electrode based on polysilicon of etching is to expose the first of described high dielectric constant gate dielectric layer;
Add second impurity by plasma enhanced chemical vapor deposition in the entire first portion of described high dielectric constant gate dielectric layer under less than 200 watts power, wherein said second impurity comprises halide; Then,
The entire first portion of described high dielectric constant gate dielectric layer is exposed to comprises in the hydrobromic acid, to remove the entire first portion of described high dielectric constant gate dielectric layer.
12. method as claimed in claim 11, wherein said plasma enhanced chemical vapor deposition technology is carried out under less than 200 watts, and wherein said second impurity comprises halogen and halid mixture.
13. method as claimed in claim 11, wherein, described second impurity comprises the mixture of hydrogen bromide and chlorine.
14. method as claimed in claim 11, wherein, described plasma enhanced chemical vapor deposition technology was carried out less than 1 minute.
15. method as claimed in claim 11, wherein, the described first of described high dielectric constant gate dielectric layer is exposed in the described acid 5 minutes to 30 minutes under about room temperature.
CN2004100570938A 2003-08-28 2004-08-30 A selective etch process for making a semiconductor device having a high-K gate dielectric Expired - Fee Related CN1591786B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/652,546 US7037845B2 (en) 2003-08-28 2003-08-28 Selective etch process for making a semiconductor device having a high-k gate dielectric
US10/652,546 2003-08-28

Publications (2)

Publication Number Publication Date
CN1591786A CN1591786A (en) 2005-03-09
CN1591786B true CN1591786B (en) 2010-06-16

Family

ID=34104750

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004100570938A Expired - Fee Related CN1591786B (en) 2003-08-28 2004-08-30 A selective etch process for making a semiconductor device having a high-K gate dielectric

Country Status (6)

Country Link
US (1) US7037845B2 (en)
EP (1) EP1511073A1 (en)
KR (1) KR100716689B1 (en)
CN (1) CN1591786B (en)
TW (1) TWI239563B (en)
WO (1) WO2005024929A1 (en)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7037863B2 (en) * 2002-09-10 2006-05-02 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers over interfacial layers in integrated circuit devices
US20050064716A1 (en) * 2003-04-14 2005-03-24 Hong Lin Plasma removal of high k metal oxide
US7413996B2 (en) * 2003-04-14 2008-08-19 Lsi Corporation High k gate insulator removal
JP2005038897A (en) * 2003-07-15 2005-02-10 Dainippon Screen Mfg Co Ltd Substrate processing method and device thereof
WO2005013374A1 (en) * 2003-08-05 2005-02-10 Fujitsu Limited Semiconductor device and method for manufacturing semiconductor device
US7037845B2 (en) 2003-08-28 2006-05-02 Intel Corporation Selective etch process for making a semiconductor device having a high-k gate dielectric
US7153734B2 (en) 2003-12-29 2006-12-26 Intel Corporation CMOS device with metal and silicide gate electrodes and a method for making it
US7144783B2 (en) * 2004-04-30 2006-12-05 Intel Corporation Reducing gate dielectric material to form a metal gate electrode extension
US7564108B2 (en) * 2004-12-20 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Nitrogen treatment to improve high-k gate dielectrics
US20080001237A1 (en) * 2006-06-29 2008-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nitrided high-k gate dielectric and metal gate electrode and methods of forming same
US20080315310A1 (en) * 2007-06-19 2008-12-25 Willy Rachmady High k dielectric materials integrated into multi-gate transistor structures
US8247861B2 (en) 2007-07-18 2012-08-21 Infineon Technologies Ag Semiconductor device and method of making same
US7645663B2 (en) 2007-07-18 2010-01-12 Infineon Technologies Ag Method of producing non volatile memory device
US7998820B2 (en) * 2007-08-07 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. High-k gate dielectric and method of manufacture
US8030163B2 (en) * 2007-12-26 2011-10-04 Intel Corporation Reducing external resistance of a multi-gate device using spacer processing techniques
US7763943B2 (en) * 2007-12-26 2010-07-27 Intel Corporation Reducing external resistance of a multi-gate device by incorporation of a partial metallic fin
US8264048B2 (en) * 2008-02-15 2012-09-11 Intel Corporation Multi-gate device having a T-shaped gate structure
US20090206404A1 (en) * 2008-02-15 2009-08-20 Ravi Pillarisetty Reducing external resistance of a multi-gate device by silicidation
US8101495B2 (en) 2008-03-13 2012-01-24 Infineon Technologies Ag MIM capacitors in semiconductor components
KR101634748B1 (en) 2009-12-08 2016-07-11 삼성전자주식회사 method for manufacturing MOS transistor and forming method of integrated circuit using the sime
US9570573B1 (en) 2015-08-10 2017-02-14 Globalfoundries Inc. Self-aligned gate tie-down contacts with selective etch stop liner
US9905671B2 (en) 2015-08-19 2018-02-27 International Business Machines Corporation Forming a gate contact in the active area

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5368687A (en) * 1993-03-15 1994-11-29 Micron Technology, Inc. Semiconductor processing method of etching insulating inorganic metal oxide materials and method of cleaning metals from the surface of semiconductor wafers
US6432779B1 (en) * 2000-05-18 2002-08-13 Motorola, Inc. Selective removal of a metal oxide dielectric

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL188432C (en) * 1980-12-26 1992-06-16 Nippon Telegraph & Telephone METHOD FOR MANUFACTURING A MOSFET
JPH05175174A (en) * 1991-12-20 1993-07-13 Nec Corp Reactive dry etching method for dielectric film
WO1994014198A1 (en) * 1992-12-11 1994-06-23 Intel Corporation A mos transistor having a composite gate electrode and method of fabrication
US5516399A (en) * 1994-06-30 1996-05-14 International Business Machines Corporation Contactless real-time in-situ monitoring of a chemical etching
US5753560A (en) * 1996-10-31 1998-05-19 Motorola, Inc. Method for fabricating a semiconductor device using lateral gettering
US5891798A (en) * 1996-12-20 1999-04-06 Intel Corporation Method for forming a High dielectric constant insulator in the fabrication of an integrated circuit
US6063698A (en) * 1997-06-30 2000-05-16 Motorola, Inc. Method for manufacturing a high dielectric constant gate oxide for use in semiconductor integrated circuits
US6087261A (en) * 1997-09-30 2000-07-11 Fujitsu Limited Method for production of semiconductor device
US20020197790A1 (en) * 1997-12-22 2002-12-26 Kizilyalli Isik C. Method of making a compound, high-K, gate and capacitor insulator layer
US6121094A (en) * 1998-07-21 2000-09-19 Advanced Micro Devices, Inc. Method of making a semiconductor device with a multi-level gate structure
US6320244B1 (en) * 1999-01-12 2001-11-20 Agere Systems Guardian Corp. Integrated circuit device having dual damascene capacitor
JP2000216142A (en) * 1999-01-22 2000-08-04 Tokyo Electron Ltd Etching method
FR2797999B1 (en) * 1999-08-31 2003-08-08 St Microelectronics Sa METHOD FOR MANUFACTURING AN INTEGRATED CAPACITY ON A SILICON SUBSTRATE
US6184072B1 (en) * 2000-05-17 2001-02-06 Motorola, Inc. Process for forming a high-K gate dielectric
US6475974B1 (en) * 2000-09-01 2002-11-05 Dow Corning Corporation Mechanical microemulsions of blended silicones
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
JP2002134739A (en) * 2000-10-19 2002-05-10 Mitsubishi Electric Corp Semiconductor device and its manufacturing method
US6475874B2 (en) * 2000-12-07 2002-11-05 Advanced Micro Devices, Inc. Damascene NiSi metal gate high-k transistor
US6544906B2 (en) * 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
US6514828B2 (en) * 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US6677254B2 (en) * 2001-07-23 2004-01-13 Applied Materials, Inc. Processes for making a barrier between a dielectric and a conductor and products produced therefrom
US6573193B2 (en) 2001-08-13 2003-06-03 Taiwan Semiconductor Manufacturing Co., Ltd Ozone-enhanced oxidation for high-k dielectric semiconductor devices
US6797599B2 (en) * 2001-08-31 2004-09-28 Texas Instruments Incorporated Gate structure and method
US6667246B2 (en) * 2001-12-04 2003-12-23 Matsushita Electric Industrial Co., Ltd. Wet-etching method and method for manufacturing semiconductor device
US6455330B1 (en) * 2002-01-28 2002-09-24 Taiwan Semiconductor Manufacturing Company Methods to create high-k dielectric gate electrodes with backside cleaning
US6617209B1 (en) * 2002-02-22 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6764898B1 (en) * 2002-05-16 2004-07-20 Advanced Micro Devices, Inc. Implantation into high-K dielectric material after gate etch to facilitate removal
US6617210B1 (en) * 2002-05-31 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US20040129674A1 (en) * 2002-08-27 2004-07-08 Tokyo Electron Limited Method and system to enhance the removal of high-k dielectric materials
JP2006501651A (en) * 2002-09-27 2006-01-12 東京エレクトロン株式会社 Method and system for etching high-k dielectric materials
US6696327B1 (en) * 2003-03-18 2004-02-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US7413996B2 (en) * 2003-04-14 2008-08-19 Lsi Corporation High k gate insulator removal
US6855639B1 (en) * 2003-08-01 2005-02-15 Intel Corporation Precise patterning of high-K films
US7037845B2 (en) 2003-08-28 2006-05-02 Intel Corporation Selective etch process for making a semiconductor device having a high-k gate dielectric

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5368687A (en) * 1993-03-15 1994-11-29 Micron Technology, Inc. Semiconductor processing method of etching insulating inorganic metal oxide materials and method of cleaning metals from the surface of semiconductor wafers
US6432779B1 (en) * 2000-05-18 2002-08-13 Motorola, Inc. Selective removal of a metal oxide dielectric

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP平5-175174A 1993.07.13

Also Published As

Publication number Publication date
EP1511073A1 (en) 2005-03-02
US20050048791A1 (en) 2005-03-03
WO2005024929A1 (en) 2005-03-17
CN1591786A (en) 2005-03-09
TWI239563B (en) 2005-09-11
KR100716689B1 (en) 2007-05-09
KR20050021943A (en) 2005-03-07
TW200509244A (en) 2005-03-01
US7037845B2 (en) 2006-05-02

Similar Documents

Publication Publication Date Title
CN1591786B (en) A selective etch process for making a semiconductor device having a high-K gate dielectric
CN100413087C (en) A method for making a semiconductor device having a high-k gate dielectric
CN100440439C (en) Method for making a semiconductor device having a metal gate electrode
JP5328094B2 (en) Plasma composition for selectively etching high-k materials
TWI352387B (en) Etch methods to form anisotropic features for high
CN101027761B (en) Planarizing a semiconductor structure to form replacement metal gates
CN100565842C (en) The manufacture method that comprises the semiconductor device of metal gate electrode
US6787440B2 (en) Method for making a semiconductor device having an ultra-thin high-k gate dielectric
US6689675B1 (en) Method for making a semiconductor device having a high-k gate dielectric
US6713358B1 (en) Method for making a semiconductor device having a high-k gate dielectric
CN100582296C (en) Forming high-K dielectric layers on smooth substrates
TWI604562B (en) Method of selective nitridation
TW200814142A (en) Residue free hardmask trim
CN101916771A (en) A method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
CN108573866A (en) Oxidation film minimizing technology and device and contact site forming method and system
JP2903884B2 (en) Semiconductor device manufacturing method
TW200522197A (en) Plasma treatment and etching process for ultra-thin dielectric films
US7732347B2 (en) Semiconductor device and fabrication process of semiconductor device
JPH10178014A (en) Method for manufacturing semiconductor device
JP2002217414A (en) Semiconductor device and its manufacturing method
CN107706111A (en) The forming method of semiconductor devices
CN102054687B (en) Removal method of surface oxide
TWI810113B (en) Method of manufacturing semiconductor device
US7575991B2 (en) Removing a high-k gate dielectric
JPH07193055A (en) Dry etching method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100616

Termination date: 20180830