CN1516276A - Seiconductor interconnection with double-cover and its mfg. method - Google Patents

Seiconductor interconnection with double-cover and its mfg. method Download PDF

Info

Publication number
CN1516276A
CN1516276A CNA2003101247376A CN200310124737A CN1516276A CN 1516276 A CN1516276 A CN 1516276A CN A2003101247376 A CNA2003101247376 A CN A2003101247376A CN 200310124737 A CN200310124737 A CN 200310124737A CN 1516276 A CN1516276 A CN 1516276A
Authority
CN
China
Prior art keywords
interconnection
layer
metal level
interlayer dielectric
copper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2003101247376A
Other languages
Chinese (zh)
Other versions
CN100350604C (en
Inventor
���
李敬雨
李守根
朴基澈
宋源祥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN1516276A publication Critical patent/CN1516276A/en
Application granted granted Critical
Publication of CN100350604C publication Critical patent/CN100350604C/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

Provided are an interconnection of a semiconductor device which includes a capping layer and a method for forming the interconnection. The interconnection of the semiconductor device is a copper damascene interconnection where the capping layer is formed as a dual layer of a silicon nitride layer and silicon carbide layer on a copper layer processed by chemical mechanical polishing (CMP). Therefore, it is possible to maintain a high etching selectivity and a low dielectric constant of the silicon carbide layer while providing superior leakage suppression.

Description

Interconnection and manufacture method thereof with two tectal semiconductor device
The present invention require on December 30th, 2002 application, meet the 35th § of U.S.C 119 priority regulation, Korean patent document No.2002-87245, therefore it is done in full with reference to quoting.
Technical field
The present invention relates to a kind of in interconnection as line and/or path in the semiconductor device and the method that is used to form interconnection, more particularly, relate to a kind of that in low-dielectric constant layer, form and be covered tectal list or dual damascene interconnection (single or dual damascene interconnection) and forming method thereof.
Background technology
In order to obtain high-speed semiconductor device, be necessary to reduce the length of the thickness and the grid of gate oxide level.Yet, postpone for the speed of semiconductor device, to have negative effect with the resistance value of interconnection and the proportional RC of capacitance (resistance value-capacitance) of interlayer dielectric.Thereby, made various trials, reduce the RC delay by the interconnection of use low-resistance value and the interlayer dielectric of low-k.
According to routine, use aluminium (Al) widely as interconnection material.Yet, think gradually that in recent years copper for integrated circuit (Cu) is more useful.The resistance coefficient of copper (Cu) is half of resistance coefficient of aluminium (Al), and therefore might increase the signal transmitting speed with the little copper of width (Cu).In addition, because copper (Cu) has the deelectric transferred ability of height, so can improve the reliability of semiconductor device.And copper (Cu) demonstrates low-power consumption and more cheap than aluminium (Al).
Yet a shortcoming using copper (Cu) is that copper (Cu) is difficult to be etched and composition and obtain desired interconnection.Therefore, form copper-connection with damascene process An.Damascene process An comprises following conventional steps.In interlayer dielectric, form and have desired interconnection shaped aperture.Then, after formation copper layer is with filling opening, carry out planarization technology.Usually, (chemicalmechanical polishing is CMP) as planarization technology to use chemico-mechanical polishing.Particularly, use dual damascene process to form copper (Cu) interconnection.Dual damascene process comprises the steps.Form path groove and wire laying slot, make that the top of wire laying slot and path groove is overlapping.Then, after formation copper layer is with filling vias groove and wire laying slot, carry out planarization technology.As known for the skilled artisan, preface is called as single damascene process.
Fig. 1 example the sectional view of conventional monometallic mosaic interlinkage.With reference to figure 1, damascene interconnection 7 is filled the opening 3 in the interlayer dielectric 1 and is blocked metal level 5 and surrounds.For example the cover layer 9 of silicon nitride layer covers interlayer dielectric 1 and damascene interconnection 7.During damascene process An, execute after the CMP deposited capping layer 9 in damascene interconnection 7.Cover layer 9 should stop copper (Cu) to be diffused in the interlayer dielectric 1 effectively, and cover layer 9 has high etching selection with respect to other interlayer dielectric that will be formed in the damascene interconnection 7.Since in recent years with low-k for example the material of 2-4 as interlayer dielectric, so become obviously for the demand of the substitute of silicon nitride.In fact, silicon nitride is typically as cover layer, but it has for example high-k and the low etching selection that has with respect to low-dielectric constant layer of 6-8.Carborundum has the low-k of 4-5 for example and with respect to the high etching selection of low-dielectric constant layer.Therefore, carborundum is to replace silicon nitride as tectal suitable substitute.Yet, be difficult to more suppress if carborundum as cover layer, becomes at the interlayer dielectric of complanation and the leakage current in the interface between the cover layer so.
Summary of the invention
The invention provides a kind of interconnection of semiconductor device, wherein improved tectal characteristic, make the cover layer to have high etching selection and demonstrate the drain current suppressing effect that has improved with respect to low-dielectric constant layer.
The present invention also provides a kind of method that is used to form the semiconductor device interconnection.
The method of two cover layers of damascene interconnection, the interconnection that comprises tectal semiconductor device and formation interconnection is provided, and wherein two cover layers are formed by silicon nitride and carborundum.
According to a scheme of the present invention, provide a kind of interconnection of semiconductor device.Interconnection comprises interlayer dielectric, barrier metal layer, metal level and cover layer.Interlayer dielectric has the opening that is formed in it, and opening has the shape of interconnection.Inwall along opening forms barrier metal layer.Metal level is filled the opening of barrier metal layer top and is had top surface with the top surface level of interlayer dielectric.Cover layer covers the top surface of interlayer dielectric and metal level and is the bilayer that forms by consecutive deposition silicon nitride layer and silicon carbide layer.
According to another aspect of the present invention, provide a kind of method that forms the semiconductor device interconnection.This method comprises: (a) form interlayer dielectric on substrate; (b) has the interconnection shaped aperture by the formation of etching interlayer dielectric; (c) on the final structure of step (b), form barrier metal layer; (d) by on barrier metal layer, forming the metal level filling opening; (e) make the final structure complanation of step (d) up to exposing interlayer dielectric; And (f) by deposit silicon nitride layer and silicon carbide layer form cover layer continuously on the final structure of step (e).
As described above, when interlayer dielectric is deposited on two cover layers and is etched when being formed on two supratectal another interconnection, the bilayer that forms by consecutive deposition silicon nitride layer and silicon carbide layer has high etching selection with respect to interlayer dielectric.Equally, might improve drain current suppressing effect in the interface between interlayer dielectric and two cover layer.
Description of drawings
Above and other objects of the present invention, feature and beneficial effect will be more obvious by the more specifically explanation of the preferred embodiment of the present invention, and these preferred embodiments shown in the drawings, the same reference character of all accompanying drawings are indicated all the time with a part.Accompanying drawing needn't be drawn in proportion, but example goes out principle of the present invention emphatically.
Fig. 1 is the sectional view that example goes out conventional monometallic mosaic interlinkage;
Fig. 2 to 5 is that example goes out interconnection according to an embodiment of the invention and is used to form the sectional view of the method for interconnection;
Fig. 6 is that example goes out interconnection according to another embodiment of the invention and is used to form the sectional view of the method for interconnection;
Fig. 7 is bias voltage thermal stress (bias thermal stress, BTS) diagrammatic sketch of the experimental result of characteristic of conventional interconnection and the interconnection according to the present invention;
Fig. 8 is the diagrammatic sketch of the conventional anti-electromigratory experimental result that interconnects and interconnect according to the present invention.
Embodiment
Fig. 2 to 5 is that example goes out interconnection according to an embodiment of the invention and is used to form the sectional view of the method for interconnection.Following description relates generally to a kind of copper-connection, but also can be applied to all low-resistance value electric conducting materials, for example aluminium (Al), silver (Ag), gold (Au), copper (Cu) and their alloy.
With reference to figure 2, on substrate 100, form interlayer dielectric 105.Can between substrate 100 and interlayer dielectric 105, insert insulating barrier or conductive layer, for example doped silicon, tungsten (W), aluminium (Al) or copper (Cu).Interlayer dielectric 105 is made up of the lamination of dielectric film 110,115,120 and 125. Dielectric film 115 and 125 is to be used to form the oxidation film of opening and is that described opening has the shape of desired interconnection by postponing to reduce RC (resistance and capacitance, resistance value and capacitance) that advanced low-k materials forms.For example, advanced low-k materials can be carbonado, fluorosilicate glass (fluorine silicate glass, FSG), SiOC, polyimides or SiLK TM Dielectric film 110 and 120 be form by carborundum and when etching dielectric film 115 and 125 when forming opening as etch stop layer.
By etching part interlayer dielectric 105, formation has desired interconnection shaped aperture 140.The opening 140 of Fig. 2 is used for dual damascene interconnection, and wherein wire laying slot 135 is formed on the path groove 130.After forming path groove 130, form wire laying slot 135 by etching dielectric film 125 and 120, so that wire laying slot 135 overlaps on the path groove 130 by order etching dielectric film 125,120,115 and 110.Selectively, before forming path groove 130, can form wire laying slot 135.
With reference to figure 3, after cleaning has the generation structure of opening 140, producing formation barrier metal layer 150 on the structure.Barrier metal layer 150 stops the metallic atom of the material that is used for filling opening 140 to diffuse into interlayer dielectric 105.The thickness of barrier metal layer 150 is 200~1000 , preferably 450 .Barrier metal layer 150 can be titanium (Ti), tantalum (Ta), tungsten (W) or their nitride.For example, barrier metal layer 150 can be TiN, TaN, WN, TaSiN, WSiN or TiSiN.Can use chemical vapor deposition (chemical vapor deposition, CVD) or the physical vapor deposition of for example sputter (physical vapor deposition, PVD) the deposition preventing metal level 150.
Use metal level 160 filling openings 140 that form by copper or copper alloy.Form copper alloy by having a mind to or copper and a small amount of C, Ag, Co, Ta, In, Sn, Zn, Mn, Ti, Mg, Cr, Ge, Sr, Pt, Mg, Al or Zr being mixed.Typically, by use sputter or CVD copper filling opening 140, but can use the plating technology that comprises plating (electro plating) and chemical plating (electrolessplating) to come filling opening 140 with copper.When using the plating technology, before carrying out plating, be preferably in and form a seed metal layer (not shown) on the barrier metal layer 150.Seed metal layer increases the uniformity of metal plating layer 160 and is used as initial nucleation site.The thickness of seed metal layer can be 500~2500 , preferably 1500 .Use the sputtering deposit seed metal layer typically, but can use the CVD deposit sometimes.The condition of carrying out sputter is: the temperature of substrate 100 is 0 ℃, and sputtering power is 2kW, and pressure is 2mTorr, and 100 distance is 60mm from the target to the substrate.According to the kind of the metal level of wanting plating and the type of plating technology, seed metal layer can be formed by copper (Cu), gold (Au), silver (Ag), platinum (Pt) or palladium (Pd).Because just the intact copper layer of plating is to be formed by the very little crystal grain with low bulk density, so should apply annealing process to the copper layer, reduces its resistivity with the grain growth in the crystallization again that utilizes the copper layer.Utilize sputter or CVD and shikishima plating process copper filling opening 140.In addition, can be with metal filled opening 140 with the resistance value that is suitable for interconnecting, for example gold, platinum or silver.In order to ensure the bigger sufficient nargin that is used for subsequent CMP technology, the copper layer should be deposited to the height of ratio open 140 big 0.2 μ m.
With reference to figure 4, utilize CMP that the structure that Fig. 3 produced is carried out complanation, up to the surface that exposes dielectric film 125, to form dual damascene interconnection 170.Interconnect 170 the time when forming bimetallic, be difficult to stop up hill and dale oxygen.This is very real in the example that uses reative cell.The slurries that are used for CMP contain aerobic usually.Thereby, for example CuO or Cu 2The thin oxidation copper film of O film is formed naturally on the surface of copper layer.If do not remove the oxidation copper film from the copper layer, the copper layer has unstable adhesion for deposit film thereon so, the reliability that this has just increased resistivity and has reduced semiconductor device.
Therefore, should remove the oxidation copper film by the reduction reaction of using plasma process 175.By applying RF to containing Ar, He and H 2Gas in can produce plasma, obtain a kind of hydrogeneous plasma, or by applying RF to containing Ar, He and NH 3Gas in, obtain a kind of NH that contains 3Plasma.If contain NH 3Plasma is used for plasma process 175, might make the surface of the surfaces nitrided of dual damascene interconnection 170 and reduction dual damascene interconnection 170 so.
After this, as shown in Figure 5, deposit silicon nitride layer 180 on the final structure of Fig. 4.Deposit silicon carbide layer 185 on silicon nitride layer 180.Can utilize CVD or PVD to form silicon nitride layer 180 and silicon carbide layer 185, but preferably utilize plasma-enhanced CVD (PECVD).Two-layer thickness can be 10~1000 separately.During plasma process 175, can original position form silicon nitride 180 and carborundum 185.Thereby, the technology that forms dual damascene interconnection 170 is oversimplified, and prevented to form once more the oxidation copper film.As described previously, if cover layer 190 is formed double-decker as silicon nitride layer 180 and silicon carbide layer 185, be subject to the interlayer dielectric of influence of leakage current and the interface between the silicon carbide layer and just be transformed into interface between interlayer dielectric and the silicon nitride layer, but kept a part of silicon carbide layer that has etching selection with respect to other material.Thereby, might satisfy two aspects of high etching selection and drain current suppressing effect.
As shown in Figure 5, dual damascene interconnection according to the present invention comprises: interlayer dielectric 105, have opening 140 therein, and opening 140 has the shape of dual damascene interconnection 170; Barrier metal layer 150 in opening 140 inwalls; Dual damascene interconnection 170, it is filled the opening 140 of barrier metal layer 150 tops and has the top surface that is in same horizontal plane with the top surface of interlayer dielectric 105; And cover layer 190, cover the top surface of interlayer dielectric 105 and bimetallic interconnection 170 and be that bilayer by silicon nitride layer 180 and silicon carbide layer 185 forms.
In this embodiment, opening 140 comprises path groove 130 and the wire laying slot 135 that overlaps on the path groove 130, so that form dual damascene interconnection 170.Yet the present invention can be applied to the monometallic mosaic interlinkage, wherein forms simple wire laying slot or path groove.Thereby as shown in Figure 6, the present invention can be applied to form in the situation of monometallic mosaic interlinkage 178.In this case, cover layer 190 forms the double-decker of silicon nitride layer 180 and silicon carbide layer 185.
In multilayer interconnection, after being deposited on another interlayer dielectric on the cover layer 190, carry out dual damascene process or single damascene process.At this moment, cover layer 190 plays dielectric film 110 and has high etching selection with respect to dielectric film 125 and 115, and it can be a film having low dielectric constant.Thereby, when etching low dielectric constant films, not etching dual damascene interconnection 170 or monometallic mosaic interlinkage 178.In addition, cover layer 190 can prevent that copper from diffusing into interlayer dielectric, makes to reduce leakage current.
According in 16 samples of the monometallic mosaic interlinkage shown in prior art Fig. 1 each and according to the present invention the monometallic mosaic interlinkage shown in Fig. 6 estimate two leakage currents between the contiguous interconnection.Under the similarity condition except that the cover layer 190 of the cover layer 9 of Fig. 1 and Fig. 6, prepare sample.Form the single layer structure of carborundum according to the cover layer 9 of prior art.Cover layer 190 of the present invention forms the double-decker of silicon nitride layer 180 and silicon carbide layer 185.Analysis result demonstrates initial leakage current and reduces to about 10nA of the present invention from about 300nA of prior art, thus leakage current of the present invention reduce to prior art initial leakage current about 1/10.
In 200 ℃ of bias voltage thermal stress (bias thermalstress, BTS) characteristics of analyzing two contiguous interconnection down of 5MV/cm scope.When BTS applied in the monometallic mosaic interlinkage of prior art, 5 samples in 16 samples had primary failure.Yet, just do not have primary failure in the present invention.
When test b TS characteristic, the curve of Fig. 7 (a) and (b) respectively expression be used for prior art and Weibull plot of the present invention (Weibull plot).As shown in Figure 7, when accumulation reliability when being 50%, the time that reaches inefficacy according to curve (a) is 1.3E6 second, and the time that reaches inefficacy according to curve (b) is 1.0E6 second.Thereby the out-of-service time according to the present invention reduced to according to about 80% of the out-of-service time of prior art.Yet, significantly improved according to the form factor of form factor of the present invention (shape factor) than prior art.Thereby, determine the prediction that the present invention more helps useful life.Therefore, according to the present invention, cover layer can have fabulous drain current suppressing and with respect to the high etching selection of low-dielectric constant layer.Fabulous drain current suppressing is owing to the result of silicon nitride layer to the excellent adhesion of interlayer dielectric.
When test during deelectric transferred performance, the curve of Fig. 8 (a) and (b) represent to be used for prior art and Weibull plot of the present invention respectively.As shown in Figure 8, when the accumulation reliability was 50%, the time that reaches inefficacy according to curve (a) was less than 100 seconds, and the non-constant of distribution of data points situation.Yet under the condition identical with curve (a), and its standard deviation was 0.42 more than 150 seconds the out-of-service time according to curve (b), thereby demonstrated good distribution.Therefore, determine the present invention and demonstrate the result who is supposed to more than prior art.In the prior art, because the surface is unstable between the interface between silicon carbide layer and the copper-connection, so between the interface, occur hole in the surface and cause primary failure.Yet, according to the present invention, owing to improved the interfacial characteristics on surface between the interface, so between the interface, hole can not occur in the surface.
As described above, the cover layer that is formed by the double-decker of silicon nitride layer and silicon carbide layer is used for the damascene interconnection handled by CMP.Silicon nitride layer has good adhesion for interlayer dielectric, and this provides good drain current suppressing effect.Silicon nitride layer has high relatively dielectric constant, 6-8 for example, but its can be in conjunction with the carborundum of the dielectric constant with 4-5.Thereby, can reduce tectal dielectric constant.In addition, carborundum allows that cover layer has high etching selection with respect to deposit other interlayer dielectric thereon.
When illustrating particularly and illustrate when of the present invention with reference to one exemplary embodiment of the present invention, those of ordinary skill in the art it should be clearly understood that, when the spirit and scope of the present invention that do not deviate from accessory claim and limited, can make various changes in form and details.

Claims (16)

1, a kind of interconnection of semiconductor device comprises:
Interlayer dielectric, it has and is positioned at shaped aperture wherein, that become interconnection;
The barrier metal layer that forms along the inwall of this opening;
Be filled in the metal level of this opening of this barrier metal layer top, and this metal level has the top surface with the top surface level of this interlayer dielectric; And
Cover the cover layer of the top surface of this interlayer dielectric and this metal level, this cover layer is the double-decker that is formed by the silicon nitride layer of sequential deposit and silicon carbide layer.
2, according to the interconnection of claim 1, wherein this metal level is to be made of a kind of in the copper and copper alloy.
3, according to the interconnection of claim 1, wherein this barrier metal layer is to be made of a kind of in titanium, tantalum, tungsten and their nitride.
4, according to the interconnection of claim 1, wherein this interconnection is the damascene interconnection that is formed by one of path and wiring.
5, according to the interconnection of claim 1, wherein this interconnection is by path and overlaps the damascene interconnection that the wiring on this path forms.
6, according to the interconnection of claim 1, wherein this silicon nitride layer and this silicon carbide layer all have the thickness of 10~1000 .
7, a kind of method that forms the interconnection of semiconductor device, this method comprises:
(a) on substrate, form interlayer dielectric;
(b) has the interconnection shaped aperture by this interlayer dielectric formation of etching;
(c) on the final structure of step (b), form barrier metal layer;
(d) come filling opening by on this barrier metal layer, forming metal level;
(e) make the final structure complanation of step (d) up to exposing this interlayer dielectric; And
(f) form cover layer by sequential deposit silicon nitride layer and silicon carbide layer on the final structure of step (e).
8, according to the method for claim 7, wherein this method also comprises:
In step (e) with (f), the surface of the final structure of step (e) is applied plasma process.
9, method according to Claim 8, wherein this plasma process uses hydrogeneous plasma so that reduce this metal level.
10, method according to Claim 8, wherein this plasma process uses and contains NH 3Plasma so that reduce the surface of this metal level and this metal level of nitrogenize.
11, according to the method for claim 7, wherein form this metal level by applying chemical vapor deposition (CVD), sputter or copper facing or copper-beryllium.
12, according to the method for claim 7, wherein this silicon nitride layer is to utilize form and the thickness that have 10~1000 of plasma-reinforced chemical vapor deposition (PECVD).
13, according to the method for claim 7, wherein this silicon carbide layer is to utilize form and the thickness that have 10~1000 of PECVD.
14, according to the method for claim 7, wherein this opening is by a kind of formation the in path groove and the wire laying slot.
15, according to the method for claim 7, wherein this opening is to be formed by path groove and the wire laying slot that overlaps this path groove top.
16, according to the method for claim 7, wherein this method also comprises:
In step (e) with (f), the surface of the final structure of step (e) is applied plasma process, contain NH by use 3Plasma so that reduce the surface of this metal level and this metal level of nitrogenize, wherein original position is carried out and is applied plasma process in step (f).
CNB2003101247376A 2002-12-30 2003-12-26 Seiconductor interconnection with double-cover and its mfg. method Expired - Lifetime CN100350604C (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR87245/2002 2002-12-30
KR87245/02 2002-12-30
KR10-2002-0087245A KR100459733B1 (en) 2002-12-30 2002-12-30 Interconnections having double story capping layer and method for forming the same

Publications (2)

Publication Number Publication Date
CN1516276A true CN1516276A (en) 2004-07-28
CN100350604C CN100350604C (en) 2007-11-21

Family

ID=36695930

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2003101247376A Expired - Lifetime CN100350604C (en) 2002-12-30 2003-12-26 Seiconductor interconnection with double-cover and its mfg. method

Country Status (4)

Country Link
US (4) US7037835B2 (en)
JP (1) JP2004214654A (en)
KR (1) KR100459733B1 (en)
CN (1) CN100350604C (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101286494B (en) * 2007-04-11 2010-07-21 台湾积体电路制造股份有限公司 Semiconductor structure and its manufacture method
CN102903665A (en) * 2011-07-25 2013-01-30 中芯国际集成电路制造(上海)有限公司 Manufacturing method of semiconductor device
CN103681608A (en) * 2012-08-28 2014-03-26 台湾积体电路制造股份有限公司 Aluminum interconnection apparatus
CN112018077A (en) * 2020-07-29 2020-12-01 复旦大学 Copper interconnection structure and manufacturing method thereof

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100459733B1 (en) * 2002-12-30 2004-12-03 삼성전자주식회사 Interconnections having double story capping layer and method for forming the same
US7446033B2 (en) 2005-01-25 2008-11-04 Samung Electronics Co., Ltd. Method of forming a metal interconnection of a semiconductor device, and metal interconnection formed by such method
KR100688561B1 (en) * 2005-01-25 2007-03-02 삼성전자주식회사 Method for forming interconnections for semiconductor device
DE102007004860B4 (en) * 2007-01-31 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale A method of making a copper-based metallization layer having a conductive overcoat by an improved integration scheme
KR20090035127A (en) * 2007-10-05 2009-04-09 주식회사 하이닉스반도체 Method for forming metal wiring of semiconductor device
US7737029B2 (en) * 2008-03-18 2010-06-15 Samsung Electronics Co., Ltd. Methods of forming metal interconnect structures on semiconductor substrates using oxygen-removing plasmas and interconnect structures formed thereby
US8492289B2 (en) 2010-09-15 2013-07-23 International Business Machines Corporation Barrier layer formation for metal interconnects through enhanced impurity diffusion
US8039920B1 (en) * 2010-11-17 2011-10-18 Intel Corporation Methods for forming planarized hermetic barrier layers and structures formed thereby
US8845912B2 (en) * 2010-11-22 2014-09-30 Microcontinuum, Inc. Tools and methods for forming semi-transparent patterning masks
US8524599B2 (en) 2011-03-17 2013-09-03 Micron Technology, Inc. Methods of forming at least one conductive element and methods of forming a semiconductor structure
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US9269612B2 (en) 2011-11-22 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of forming damascene interconnect structures
DE102012204159A1 (en) * 2012-03-16 2013-03-14 Continental Automotive Gmbh Power semiconductor module for controlling electric machine in e.g. motor mode, has punching lattice provided with metal strips, where covers of lattice comprise connection between surfaces of electrode with terminal surfaces
WO2019164647A1 (en) * 2018-02-23 2019-08-29 Schlumberger Technology Corporation Rotary steerable system with cutters
US11069526B2 (en) * 2018-06-27 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Using a self-assembly layer to facilitate selective formation of an etching stop layer
US11164776B2 (en) 2019-09-30 2021-11-02 International Business Machines Corporation Metallic interconnect structure
DE102020126161B4 (en) 2020-05-29 2024-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing electromigration
US11532549B2 (en) * 2020-11-13 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Two 2D capping layers on interconnect conductive structure to increase interconnect structure reliability

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5252515A (en) * 1991-08-12 1993-10-12 Taiwan Semiconductor Manufacturing Company Method for field inversion free multiple layer metallurgy VLSI processing
JP2781706B2 (en) * 1991-09-25 1998-07-30 株式会社半導体エネルギー研究所 Semiconductor device and manufacturing method thereof
KR0128491B1 (en) * 1993-04-14 1998-04-07 모리시다 요이치 Semiconductor device and manufacturing method thereof
JP3607424B2 (en) * 1996-07-12 2005-01-05 株式会社東芝 Semiconductor device and manufacturing method thereof
JPH11150101A (en) * 1997-11-18 1999-06-02 Nec Corp Manufacture of semiconductor device
JP2000150516A (en) * 1998-09-02 2000-05-30 Tokyo Electron Ltd Fabrication of semiconductor device
JP2001257327A (en) * 2000-03-10 2001-09-21 Nec Corp Semiconductor device and its manufacturing method
JP4669108B2 (en) * 2000-06-02 2011-04-13 ルネサスエレクトロニクス株式会社 WSi film for semiconductor device, semiconductor device, method for manufacturing WSi film for semiconductor device, and method for manufacturing semiconductor device
US6372636B1 (en) * 2000-06-05 2002-04-16 Chartered Semiconductor Manufacturing Ltd. Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
JP4425432B2 (en) * 2000-06-20 2010-03-03 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP2002110644A (en) * 2000-09-28 2002-04-12 Nec Corp Etching method
JP2002280523A (en) * 2001-03-16 2002-09-27 Nec Corp Semiconductor memory and its manufacturing method
JP4050876B2 (en) * 2001-03-28 2008-02-20 富士通株式会社 Semiconductor integrated circuit device and manufacturing method thereof
JP2002329722A (en) * 2001-04-27 2002-11-15 Nec Corp Semiconductor device and its manufacturing method
KR100416596B1 (en) * 2001-05-10 2004-02-05 삼성전자주식회사 Method of manufacturing interconnection wire in semiconductor device
JP2003142579A (en) * 2001-11-07 2003-05-16 Hitachi Ltd Semiconductor device and method for manufacturing the same
US6737747B2 (en) * 2002-01-15 2004-05-18 International Business Machines Corporation Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US6656840B2 (en) * 2002-04-29 2003-12-02 Applied Materials Inc. Method for forming silicon containing layers on a substrate
US6756321B2 (en) * 2002-10-05 2004-06-29 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a capping layer over a low-k dielectric with improved adhesion and reduced dielectric constant
KR100459733B1 (en) * 2002-12-30 2004-12-03 삼성전자주식회사 Interconnections having double story capping layer and method for forming the same
US6873057B2 (en) * 2003-02-14 2005-03-29 United Microelectrtonics Corp. Damascene interconnect with bi-layer capping film
US7176119B2 (en) * 2004-09-20 2007-02-13 International Business Machines Corporation Method of fabricating copper damascene and dual damascene interconnect wiring
US7534732B1 (en) * 2006-02-17 2009-05-19 Spansion Llc Semiconductor devices with copper interconnects and composite silicon nitride capping layers

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101286494B (en) * 2007-04-11 2010-07-21 台湾积体电路制造股份有限公司 Semiconductor structure and its manufacture method
CN102903665A (en) * 2011-07-25 2013-01-30 中芯国际集成电路制造(上海)有限公司 Manufacturing method of semiconductor device
CN103681608A (en) * 2012-08-28 2014-03-26 台湾积体电路制造股份有限公司 Aluminum interconnection apparatus
CN103681608B (en) * 2012-08-28 2017-09-19 台湾积体电路制造股份有限公司 Aluminium interconnection means
CN112018077A (en) * 2020-07-29 2020-12-01 复旦大学 Copper interconnection structure and manufacturing method thereof

Also Published As

Publication number Publication date
JP2004214654A (en) 2004-07-29
US20040135261A1 (en) 2004-07-15
CN100350604C (en) 2007-11-21
US7037835B2 (en) 2006-05-02
US7205666B2 (en) 2007-04-17
KR20040060447A (en) 2004-07-06
US20070138642A1 (en) 2007-06-21
US20060163736A1 (en) 2006-07-27
US20100003814A1 (en) 2010-01-07
KR100459733B1 (en) 2004-12-03
US7951712B2 (en) 2011-05-31
US7605472B2 (en) 2009-10-20

Similar Documents

Publication Publication Date Title
CN100350604C (en) Seiconductor interconnection with double-cover and its mfg. method
US6229211B1 (en) Semiconductor device and method of manufacturing the same
US6436825B1 (en) Method of copper barrier layer formation
US5977634A (en) Diffusion barrier for electrical interconnects in an integrated circuit
US5654232A (en) Wetting layer sidewalls to promote copper reflow into grooves
JP3057054B2 (en) Method for forming multilayer interconnect of copper wires
CN1258216C (en) Method for forming multi-layer conductive line
US6943111B2 (en) Barrier free copper interconnect by multi-layer copper seed
US7612451B2 (en) Reducing resistivity in interconnect structures by forming an inter-layer
US20120161320A1 (en) Cobalt metal barrier layers
US10862030B2 (en) Semiconductor devices comprising silver
JP2002033323A (en) Method of manufacturing semiconductor device having copper interconnecting portion
KR100896159B1 (en) Semiconductor device and method for manufacturing same
US20070152334A1 (en) Semiconductor device and manufacturing method
KR19990013553A (en) Semiconductor device and semiconductor device manufacturing process
JP2004031866A (en) Semiconductor integrated circuit device
US7875978B2 (en) Metal line having a multi-layered diffusion layer in a semiconductor device and method for forming the same
KR100924556B1 (en) Metal wiring of semiconductor device and method of manufacturing the same
US6724087B1 (en) Laminated conductive lines and methods of forming the same
US7169706B2 (en) Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition
JP2003124216A (en) Seed film for wiring and wiring method of semiconductor device
KR20030027844A (en) Structure and method for reducing electromigration

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20071121

CX01 Expiry of patent term