CN1290168C - System and method of providing mask defect printablity analysis - Google Patents

System and method of providing mask defect printablity analysis Download PDF

Info

Publication number
CN1290168C
CN1290168C CNB028069951A CN02806995A CN1290168C CN 1290168 C CN1290168 C CN 1290168C CN B028069951 A CNB028069951 A CN B028069951A CN 02806995 A CN02806995 A CN 02806995A CN 1290168 C CN1290168 C CN 1290168C
Authority
CN
China
Prior art keywords
mask
feature
physical mask
defect
defective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB028069951A
Other languages
Chinese (zh)
Other versions
CN1498418A (en
Inventor
L·卡伊
L·卡尔克林
L·庞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sin O Pucci J Consolidated Holdings Ltd
Synopsys Inc
Original Assignee
Numerical Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/814,025 external-priority patent/US6925202B2/en
Priority claimed from US09/814,023 external-priority patent/US6873720B2/en
Application filed by Numerical Technologies Inc filed Critical Numerical Technologies Inc
Publication of CN1498418A publication Critical patent/CN1498418A/en
Application granted granted Critical
Publication of CN1290168C publication Critical patent/CN1290168C/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Abstract

A simulated wafer image of a physical mask and a defect-free reference image are used to generate a severity score for each defect, thereby giving a customer meaningful information to accurately assess the consequences of using a mask or repairing that mask. The defect severity score is calculated based on a number of factors relating to the changes in critical dimensions of the neighbor features to the defect. A common process window can also be used to provide objective information regarding defect printability. Certain other aspects of the mask relating to mask quality, such as line edge roughness and contact corner rounding, can also be quantified by using the simulated wafer image of the physical mask.

Description

The system and method for mask defect printablity analysis is provided
Technical field
Having discussed provides a kind of detection that is applicable to the defect printablity analysis of integrated circuit mask.
Background technology
The mask/reticle defective
In order on semiconductor chip, to make integrated circuit (IC), just the physical representation of IC can must be transferred on the graphical tool.Then, graphical tool exposes this figure transfer to semiconductor chip.Mask is the test pattern instrument that uses in IC technology.Typically, mask all comprises the figure that can transfer on the whole semiconductor substrate (for example, wafer) in single exposure.Must be progressively with repeat graticule, another kind of test pattern instrument, whole substrate surface exposes.Here for ease of reference, term " mask " is index line or mask.
Typical mask is made having on the quartzy flat board of chrome coating.In general, each layer for the IC design all produces a mask.Particularly, the part of the IC topology data file of expression physical layer (for example, polysilicon layer and metal level) is etched on the chromium layer.So each mask has all comprised the figure of the desired circuit layout of respective layer of representing it.In highdensity IC, mask can also comprise optical proximity correction (OPC) feature, for example, and serif, tup, oblique line, and assistant strip.These OPC features are Asia one resolution characteristics that are used for the artifact effect and/or the closing effect of compensate for process.
In high density IC design, the professional and technical personnel in the industry that IC makes has recognized the importance of the mask that uses the accurate expression that the original design layout is provided.Unfortunately, the mask of " perfection " commercial be not feasible.In fact, even under the creating conditions of the best, also can beyond control technology, produce some defects on mask.
Defective on the mask is any deviation (for example, a kind of scrambling) of off-design database, this deviation be the instruments of inspection or detect the engineer can not receive.Fig. 1 has illustrated flow process Figure 100 of the art methods of check integrated circuit.In step 110, design IC.In step 112, create the data file of mask design data (for example, the layout of IC).In step 114, use these data to make mask.At this moment, check mask in step 116 by surface of adopting high resolution microscope scanning mask and the image of gathering mask.Irregular in the mask is to discern with their list of locations.In one embodiment, mask has relevant grids pattern, and the irregular grid that is located in has been pointed out in tabulation in grids pattern.Employing such as KLA-Tencor and Material Used special equipment/softwares that company provided such as (Applied Materials) just can carry out this class check and irregular identification.
In order to determine whether mask can be by check (step 118), and professional inspection engineer or semi-automatic verifying attachment are examined recognize irregular again in step 116.Only it should be noted that irregular feature beyond the set range of tolerable variance of producer and user just is decided to be and has defective.If found irregular and beyond the scope of tolerance, determine in step 128 then whether described mask can be repaired.If described mask can be repaired, then in step 130, clear up and/or repair mask, and handle the step 116 that just turns back to the check mask.If mask can not be repaired, then must make new mask, and the check processing turns back to step 114.If mask by check, as determined in step 118, then uses the existing wafer of described mask exposure in step 120.
Can on wafer, produce needed image in order to ensure mask, in the step 122 of generally being everlasting wafer itself be tested.If in checking procedure 124, find irregular and beyond the range of tolerable variance of determining, determine in step 128 then whether mask can be repaired.If mask can be repaired, then in step 130, clear up and/or repair mask, and handle the step 116 that turns back to the check mask.If described mask can not be repaired, then must make new mask, check is handled and is just returned step 114.If found irregular on the wafer, but determined it is within the scope of tolerance, then mask and finishes check in step 126 and handles by detecting in step 124.
Unfortunately, above-mentioned processing has many significant disadvantages.For example, automatic detection device is mainly measured tolerance with size.So if the pin hole on mask has predetermined size, then automatic detection device just may be appointed as defective with pin hole, and no matter its position on mask.By contrast, specialty detect the engineer can according to his/her experience level use other, personalized more method.Particularly, whether the pin hole on key position produces negative effect to function or performance even veteran engineer has the ability determine less than preliminary dimension, therefore should make it is characterized by defective; Perhaps determine greater than preliminary dimension but not the pin hole on key position whether can not exert an influence to function or performance.Yet these skills must rule of thumb develop along with the time.In addition, be similar to all human activities, even after the technical staff who has cultivated this lot number amount, Shen Cha quality still unavoidably can change again.So the step of making irregular feature trends towards producing error.
Another shortcoming of said process is to bring out wrong defects detection.For example, self-checking device can report mistakenly that OPC or incomplete OPC feature are as defective.As above mentioned, the OPC feature is that Asia one resolution that is used to compensate closing effect is held feature.Therefore, the OPC feature generally be can not constitute or defective or defective worked.
The mask inspection system
In order to get down to these shortcomings, provide the assessment of mask quality by designed mask inspection system of Numerical Technologies company, this assessment does not need to take the actual exposure of wafer.The U.S. Patent Application Serial Number No.09/130 that proposed on August 7th, 1,998 996, is entitled as " visual verification and verification system " and is referred to as " NTI system " here) described mask inspection system described, this patent by this paper with reference to and be herein incorporated.
Fig. 2 has illustrated the treatment process 200 according to NTI system test defects on mask.This treatment process 200 adopts the instruments of inspection 202 and wafer images generator 209.In one embodiment, the instruments of inspection 202 comprises image acquisition device 203, in general, is a kind of high-definition picture equipment, can be used to scan the whole and a part of of physical mask 201.Defects detection processor 204 compares mask images and one group of potential defect standard that image acquisition device 203 is provided, and determines to comprise the zone of the mask of latent defect.If identify potential defective, then defects detection processor 204 just signals to defect area pictcure generator 205, comprises and around this regional defect area image of latent defect to provide.
In one embodiment, the instruments of inspection 202 provides defect area view data 206 to wafer images generator 209 then.In another embodiment, these data can be carried out digitlization by digitalizer 207, and are stored in memory device 208, then, the time point place after, these data offer wafer images generator 209.In also having an embodiment, this embodiment has analyzed zone that is identified as latent defect and the zone that is not identified as latent defect, the scan image that image acquisition device 203 is provided directly can be offered wafer images generator 209, or offer wafer images generator 209 by digitizer 207 and memory device 208 indirectly.
Wafer images generator 209 comprises input equipment 210, it can directly receive by real-time feed-in from the data of the instruments of inspection 202 or from the off-line data of memory device 208.Image simulation device 211 receives from the information of input equipment 210 and other input data, for example, and the condition 212 of offset printing.Offset printing condition 212 can comprise, but be not limited to the wavelength of illumination, numerical aperture, relevant numerical value defocuses (wherein, term used herein " defocuses " location that is meant focal plane), exposure levels, lens aberration, substrate conditions, and required critical dimension.Use these inputs, image simulation device 211 can produce wafer images 213, and it can be used to simulate the physical mask 201 of exposing on wafer.Image simulation device 211 also can produce simulation process window 214, and performance output 215.In one embodiment, image simulation device 211 has also been considered photoresist and/or etch processes, shown in square frame 216.
Although treatment process 200 provides valuable information by dummy wafer image 213 to the user, for example, the user still must examine the suitable operation that this information determines to take (for example, repair mask or make new mask).So artificial mistake may take place in treatment process 20.Therefore, produced a kind of demand to mask inspection system and treatment process, described treatment process provides the objective correct measurement of mask defect printablity and mask quality.
Summary of the invention
The system and method that is applicable to the analyzing defect printablity is provided.In this is analyzed, physical mask and corresponding zero defect reference picture have been checked.In one embodiment, the zero defect reference picture can be a kind of in following: can be the emulating image of the layout of the physical mask in the treatment process of making, have the area free from defect of the physical mask of identical figure, perhaps the emulating image of physical mask.
This check can be discerned any defective of the physical mask of comparing with reference picture, that is, irregular.If the defective of identifying then provides the defective of physical mask and around the image (and area image of the correspondence of reference picture) of the defect area of defect area to the wafer images generator.The wafer images generator produces the emulation of view data,, is applicable to the emulation of the view data of physical mask and reference mask that is.
In one embodiment, the wafer images generator can receive the condition of a plurality of offset printings.These conditions comprise the data and the system parameter data under the user exposes the physical mask condition of specified offset printing condition.These class data can comprise: for example, and the wavelength of employed illumination (λ) in system, the numerical aperture of system (NA), the relevant numerical value (σ) of system, types of illumination (that is, from axle or annular) defocuses exposure levels, lens aberration, substrate conditions, and the critical dimension (CD) of design.In one embodiment, each parameter can comprise the scope of numerical value, thereby allows a plurality of emulation of wafer images generator generation based on offset printing condition and range possible in various various combinations.
Can produce stream to highly nonlinear sub-wavelength in the following manner, compensate.Particularly, in order to improve the accuracy of wafer images in the sub-wavelength technology, wafer images takes place also can receive one or more conversion factors.Conversion factor can change according to the feature of mask (for example, isolated line, the line of high density combination, and contact).Conversion factor also can comprise that the parameter of each step and some aspect of photoresist change according to making treatment process.
In one embodiment, the resolution chart that can use the wafer images generator to come emulation on test mask, to be provided.Resolution chart can comprise the isolated line of varying width, the high density assemble line of varying width, and the contact of various sizes.And, can point out to comprise the Analysis on defects of any variation in the critical dimension (CD) for each resolution chart in the dummy wafer image.It should be noted that just as used hereinly, CD is the size of the measurements and calculations of specific position, and it can be one dimension or bidimensional.The conversion factor of each feature can both be calculated exactly from this information.In addition, can use various treatment process (that is, the offset printing condition) that the emulation of any amount is provided, make the conversion factor of treatment process to obtain to be applicable to these.In dull and stereotyped condition, also can comprise the special deviation that mask is taken, thus the accuracy of the conversion factor that further improves this embodiment and produced.
Provide this method of conversion factor to have very high cost effectiveness, because it has been eliminated with the printed wafers cost related and has been used for the time that this wafer is made.In addition, because adopted simulated environment, in the optimization system parameter before reality is made, described method provides tangible flexibility.
According to an embodiment, the defect printablity analysis generator receives the dummy wafer image of physical mask and from the reference picture of wafer images generator.In one embodiment, the wafer images of two emulation is aimed in pretreatment operation.Can use the zero defect figure in the mask or use the coordinate in the mask to carry out this aligning.When aiming at these figures or coordinate time, also aimed at the feature that these masks (and wafer images of these masks) are provided.
After having aimed at, just can carry out the bidimensional analysis.In bidimensional is analyzed, can identify the corresponding region in the dummy wafer image of defective in the dummy wafer image of physical mask and reference mask.So, just can identify any feature (or adjacent feature) of the approximate defective in the physical mask dummy wafer image.In a simple embodiment, any feature in the preset distance of defective can be identified as adjacent feature.In another embodiment, the size of defective can be compared with the measurement numerical value in the design rule table with the defective distance of leaving adjacent performance characteristic.The design rule table can identify, and the size of various defectives (or scope of size) is left the ultimate range of defective, and wherein, if this feature is positioned at the maximum distance apart less than adjacent defective, then this feature is exactly an adjacent feature.At last, the adjacent feature of any identification all is positioned on the dummy wafer image of reference mask.
At this moment, just can carry out defect analysis to the dummy wafer image.Defect analysis comprises determines average CD deviation (ACD), relatively CD deviation (RCD) and maximum CD deviation (MCD).In order to calculate ACD, will from the CD of the character pair on the dummy wafer image of reference mask, deduct the CD of zero defect feature in the dummy wafer image of physical mask.Then, this difference is divided by the CD of the character pair on the dummy wafer image of reference mask.This calculating has produced CD deviation between dummy wafer physical image and the dummy wafer reference picture (that is the scaling factor that calculates after being used for).In order to obtain bigger precision, can analyze a plurality of areas free from defect, so that the ACD of area free from defect to be provided.In one embodiment, be to exposure calculating ACD each time.
In order to calculate relative CD deviation (RCD), CD when from CD, deducting the adjacent feature of being discerned in the reference mask dummy wafer image corresponding to physical mask dummy wafer characteristics of image.It should be noted that this feature can be an one dimension, for example, line segment or interval; Or bidimensional, for example, contact hole, lamination, pillar, serif, or some other structure based on the zone.Then, this difference is divided by the CD of the character pair on the reference mask dummy wafer image.In one embodiment, can all calculate RCD to each adjacent feature with to exposure each time.Then, can determine maximum CD deviation (MCR) among the RCD to each time exposure levels.
According to an embodiment, the defect printablity analysis generator also can receive the information from critical zone identification generator.Identification generator in critical zone provides information to print defect capability analysis generator, and this information Recognition is specified the information of each masks area of critical zone, for example, grid, it needs the precision of higher level to guarantee suitable performance in final IC device.This information is referred to as the tolerance (TCD) of CD deviation.Defective in the critical zone generally can have the TCD lower than the defective in non-critical zone.
According to a feature, can use average CD deviation (ACD), maximum CD deviation (MCD) is applicable to the tolerance (TCD) of CD deviation, expression uses the variable N of the total quantity of exposing to calculate the score (DSS) of the defective order of severity.One is applicable to that the example formula of calculating this defective order of severity score is:
DSS = ( 3 / N ) × Σ 1 N ( MCD i - ( ACD i / 3 ) ) / TCD
In one embodiment, print defect capability analysis generator output DSS, it can have from 1 to 10 scaling in Impact Report.This Impact Report can be used for the human error that reduces at defect printablity analysis.For example, but perhaps predetermined DSS score can represent that print characteristics (as the emulation of checking system institute) has tangible results of property, but the reparation of physical mask also is possible.On the other hand, perhaps results of property not only can be represented, physical mask can also be recommended to make again than above-mentioned higher DSS score.So by the numerical result with each numerical value related meanings is provided, the technician can effectively carry out and can not produce any error to next operation, for example, the manufacturing again of the reparation of physical mask or physical mask.
In another feature, defect printablity also can use various treatment process windows to do objective appraisal.Illustrated treatment process window can be provided by the curve chart that defocuses with the exposure range of the figure of exposure bias or the depth of focus and exposure.Curve representation in these curve charts comprise defective the zone and flawless zone.Maximum rectangle in these curve ranges is exactly the window that defocuses of exposure, and wherein, common treatment process window is the cross section that a plurality of exposures defocus window.Focusing in common treatment process window and exposure numerical value have produced CD and so on for example and born feature in ranges of tolerable variance, and bear feature beyond the focusing beyond the common treatment process window and exposure numerical value can be created in tolerance.So, analyze the objective means that the treatment process window relevant with feature just can provide the printablity of determining this feature based on the degree of closeness of defective.In one embodiment, the defect printablity analysis generator can be provided by the common treatment process window of the feature that provides in physical mask and reference mask, and this information is provided in Impact Report.
Advantageously make Impact Report analyze the reparation of on physical mask, carrying out.Particularly, use this Impact Report (or part of this report), the bitmap editor device can be indicated the possible correction that physical mask is carried out, to eliminate or obviously to reduce the effect of one or more defectives.Then, the bitmap editor device can be exported the emulation mask that has comprised these corrections (repairing good mask).
Then, the instruments of inspection can be checked the mask of reparation, and the wafer images generator can use the mask of reparation to produce new dummy wafer image and new Impact Report, and this report has been indicated the successful property that may proofread and correct that is caused in repairing mask.Can receive if proofread and correct, then the bitmap editor device can directly provide control information to the mask repair instrument that is used for the physical mask reparation.If the user wishes different parameters is carried out other optimization and analysis, then can repeat above-mentioned treatment process, proofread and correct in receivable scope or the bitmap editor device indicates desirable result not repair physical mask and obtain by adopting until thinking.
In one embodiment, the bitmap editor device also can be indicated and be optimized the strategy that mask writes, and for example, identification is used for certain instrument of certain defective.In addition, the bitmap editor device can receive the input of indication user time and cost restriction, thereby allows the bitmap editor device can optimize the treatment process of reparation according to these customer parameters.Also have among the embodiment of the present invention, the bitmap editor device can be used in to the wafer fix tool information is provided.Particularly, the bitmap editor device can comprise the program that usefulness of will repair mask and the usefulness of repairing wafer compare.
Can carry out defect printablity analysis to individual defect and a plurality of defective.In one embodiment, the instruments of inspection and wafer images generator can provide automatically with physical mask on the relevant output of all defect found.So final Impact Report can comprise the defective order of severity score of all defect.
In addition, if wish, Impact Report can only be included in the score of the above defective order of severity of certain numerical value.Secondly, also the Impact Report of reducing can be offered the bitmap editor device and then offer the mask repair instrument.Therefore, checking system just can comprise complete automatic defect and detect and treatment for correcting technology, thereby can reduce the time that is used for analyzing and repairing (if having suitably) mask significantly.
Defect printablity analysis has also been eliminated the needs of assessing the OPC feature that is different from further feature.If because the caused OPC feature of defective is printed (as determined by the dummy wafer image), then when definite CD changed, the defective of defect analysis can be indicated this error.So, just can guarantee to adopt reliable rapidly and correct method is discerned the defective that the OPC feature is had negative effect by the design rule checking system of eliminating any complexity relevant with the OPC feature.
According to first aspect of the present invention, provide a kind of method that the printablity analysis that is applicable to the physical mask defective is provided.Described method comprises:
Produce the dummy wafer image of described physical mask;
Produce the dummy wafer image of reference mask, described reference mask is the zero defect physical mask;
Discern first feature of the defective on the dummy wafer image that approaches physical mask;
Identification reference mask the dummy wafer image on second feature, this second feature on the position corresponding to first feature; And
Calculating comprises the critical dimension variations of first and second features, so that described printablity analysis to be provided.
According to second aspect of the present invention, provide a kind of method that the printablity analysis that is applicable to the defective on the physical mask is provided.Described method comprises:
Produce the dummy wafer image of physical mask;
Produce the dummy wafer image of reference mask, described reference mask is the zero defect physical mask; And
With the dummy wafer image of described physical mask and reference mask, calculate the average cd deviation of the area free from defect of described physical mask;
With the dummy wafer image of described physical mask and reference mask, calculate the maximum critical dimension variations of the area free from defect of described physical mask;
Adopt described average cd deviation and described maximum critical dimension variations, described printablity analysis is provided.
According to the 3rd aspect of the present invention, provide a kind of method that the printablity analysis that is applicable to the defective on the physical mask is provided.Described method comprises:
Produce the dummy wafer image of described physical mask;
Discern first feature on the dummy wafer image that is subjected to described defective effect;
Discern second feature on the dummy wafer image that is not subjected to defective effect, wherein, under the condition that does not have defective, described first and second features have basic identical critical dimension; And
With the dummy wafer image of described physical mask and reference mask, calculate first critical dimension variations of the area free from defect of described physical mask;
With the dummy wafer image of described physical mask and reference mask, calculate second critical dimension variations of the area free from defect of described physical mask;
Adopt described first critical dimension variations and described second critical dimension variations, described printablity analysis is provided.
According to the 4th aspect of the present invention, provide a kind of method of making physical mask.Described method comprises:
Designing integrated circuit;
Establishment is applicable to the mask design data of integrated circuit one deck;
Manufacturing meets the physical mask of mask design data;
Check described physical mask according to the dummy wafer image of physical mask and the dummy wafer image of reference mask, wherein, described reference mask is flawless physical mask, and wherein, described check comprises:
With the dummy wafer image of described physical mask and reference mask, calculate the average cd deviation of the area free from defect of described physical mask;
With the dummy wafer image of described physical mask and reference mask, calculate the maximum critical dimension variations of the area free from defect of described physical mask;
Adopt described average cd deviation and maximum second critical dimension variations, described printablity analysis is provided; And
According to described printablity analysis, determine that whether described physical mask is by check.
According to the 5th aspect of the present invention, provide a kind of generation to be applicable to the method for the defective order of severity score of the defective on the mask.Described method comprises:
Bidimensional analysis to the defective and first feature of mask is provided, and described first feature and described defective are approaching;
First wafer images of described mask is provided; And
The defect analysis of second feature of wafer images is provided, and described second feature corresponding to first feature of emulation, wherein, provides defect analysis to comprise according to described first feature and second feature on the position, calculates each critical dimension variations.
According to the 6th aspect of the present invention, provide a kind of system that is applicable to the defective of analysis on physical mask.Described system comprises:
Be applicable to from described physical mask and produce mask images and produce the instruments of inspection of reference picture from reference mask;
Be applicable to that emulation is from the steeper mask images of mask images with from the wafer images generator of the steeper reference picture of reference picture; And
Be applicable to the defect printablity analysis generator of comparison steeper mask images and steeper reference picture, wherein, described defect printablity analysis generator adopts described steeper mask images and described steeper reference picture, determine the average cd deviation of the area free from defect on the described physical mask, wherein, described defect printablity analysis generator adopts steeper mask images and steeper reference picture to determine the maximum critical dimension variations of defect area on the described physical mask, and wherein, described defect printablity analysis generator adopts described average cd deviation and described maximum critical dimension variations that the printablity analysis of described defective is provided.
According to the 7th aspect of the present invention, provide a kind of system that is applicable to the defective order of severity score that produces the defective on the physical mask.Described system comprises:
Be used to produce the device of second image of first image of the feature on the physical mask that approaches described defective and the feature on the described reference mask;
The device that is used for second wafer images of first wafer images of described first image of emulation and described second image; And
Be used for producing the device of defective order of severity score according to described first and second wafer images, wherein, described generation device adopts described first and second wafer images, determine the average cd deviation of area free from defect on the described physical mask, wherein, described generation device adopts described first and second wafer images, determine the maximum critical dimension variations of the defect area on the described physical mask, and wherein, described generation device adopts described average cd deviation and described maximum critical dimension variations that described defective order of severity score is provided.
According to the 8th aspect of the present invention, provide a kind of physical mask.It comprises:
At least one defective, described at least one defective is the first average cd deviation and the first maximum critical dimension variations that obtains according to by the analysis to the dummy wafer image of the dummy wafer image of described physical mask and reference mask, be modified, and described reference mask is the zero defect physical mask.
According to the 9th aspect of the present invention, provide a kind of physical mask.It comprises:
At least one scrambling, described at least one scrambling is the first average cd deviation and the first maximum critical dimension variations that obtains according to by the analysis to the dummy wafer image of the dummy wafer image of physical mask and reference mask, be modified, and described reference mask is the zero defect physical mask.
According to the of the present invention ten aspect, provide a kind of physical mask.It comprises:
At least one feature, described at least one feature is the first average cd deviation and the first maximum critical dimension variations that obtains according to by the comparison to the dummy wafer image of the dummy wafer image of physical mask and reference mask, be modified, and described reference mask is the zero defect physical mask.
According to the 11 aspect of the present invention, provide a kind of integrated circuit that uses physical mask to make.It comprises:
At least one feature, described at least one feature is the first average cd deviation and the first maximum critical dimension variations that obtains according to by the comparison to the dummy wafer image of the dummy wafer image of physical mask and reference mask, be modified, and described reference mask is the zero defect physical mask.
According to the 12 aspect of the present invention, provide a kind of integrated circuit that uses physical mask to make.Described integrated circuit manufacturing step comprises:
Produce the dummy wafer image of described physical mask;
Produce the dummy wafer image of reference mask, described reference mask is the zero defect physical mask; And
Adopt the dummy wafer image of described physical mask and reference mask, calculate the average cd deviation of described physical mask area free from defect, adopt the dummy wafer image of described physical mask and reference mask, calculate the maximum critical dimension variations of described physical mask defect area; Adopt described average cd deviation and maximum critical dimension variations to determine whether repairing described physical mask; And
Adopt described physical mask to make described integrated circuit.
According to the 13 aspect of the present invention, a kind of method of checking physical mask is provided, wherein said physical mask comprises defective.Described method comprises the following steps:
Produce the dummy wafer image of described physical mask;
Produce the dummy wafer image of reference mask, described reference mask is the zero defect physical mask;
Adopt the dummy wafer image of described physics and reference mask, calculate the average cd deviation of described physical mask area free from defect;
Adopt the dummy wafer image of described physical mask and reference mask, calculate the maximum critical dimension variations of described physical mask defect area; And
Adopt described average cd deviation and maximum critical dimension variations that the printablity analysis of described defective is provided.
According to the 14 aspect of the present invention, provide a kind of physical mask.It comprises:
At least one defective, wherein according to the first average cd deviation and the first maximum critical dimension variations that obtain by analysis to the dummy wafer image of the dummy wafer image of described physical mask and reference mask, do not revise described at least one defective, and described reference mask is the zero defect physical mask.
According to the 15 aspect of the present invention, provide a kind of physical mask.It comprises:
At least one scrambling, wherein according to the first average cd deviation and the first maximum critical dimension variations that obtain by analysis to the dummy wafer image of the dummy wafer image of described physical mask and reference mask, do not revise described at least one scrambling, and described reference mask is the zero defect physical mask.
According to the 16 aspect of the present invention, provide a kind of physical mask.It comprises:
At least one feature, wherein according to the first average cd deviation and the first maximum critical dimension variations that obtain by comparison to the dummy wafer image of the dummy wafer image of described physical mask and described reference mask, do not revise described at least one feature, and described reference mask is the zero defect physical mask.
According to the 17 aspect of the present invention, provide a kind of integrated circuit that adopts physical mask to make.It comprises:
At least one feature, wherein according to the first average cd deviation and the first maximum critical dimension variations that obtain by comparison to the dummy wafer image of the dummy wafer image of described physical mask and described reference mask, do not revise described at least one feature, and described reference mask is the zero defect physical mask.
Description of drawings
Fig. 1 has illustrated original technology of mask inspection treatment process.
Fig. 2 has illustrated well-known mask inspection treatment process and system by the exploitation of Numerical Technologies Co., Ltd.
Fig. 3 has illustrated the method for using a plurality of mask analyzing defects.
Fig. 4 A and 4B have illustrated based on defective and have come analyzing defect with respect to the position of various characteristic relations on the mask.
Fig. 5 has illustrated the treatment process and the system of mask inspection.
Fig. 6 has illustrated a kind of method that produces accurate conversion factor.
Fig. 7 has illustrated the another kind of method that produces accurate conversion factor.
Fig. 8 A-8C has illustrated the various features of the computer-implemented program relevant with the defect printablity analysis generator.
Fig. 9 A and 9B have illustrated part physical mask and partial reference mask respectively.
Figure 10 A (1-3) has illustrated the dummy wafer image of the area free from defect of the physical mask shown in Fig. 9 A that is applicable to three kinds of exposures.
Figure 10 B (1-3) has illustrated the dummy wafer image of the area free from defect of the reference mask shown in Fig. 9 B that is applicable to three kinds of exposures.
Figure 11 A (1-3) has illustrated the dummy wafer image of the defect area of the physical mask shown in Fig. 9 A that is applicable to three kinds of exposures.
Figure 11 B (1-3) has illustrated the dummy wafer image of the defect area of the reference mask shown in Fig. 9 B that is applicable to three kinds of exposures.
Figure 12 A has illustrated the mask that comprises feature and approach the defective of feature.
Figure 12 B has illustrated the curve chart of characteristic size to defocusing that is applicable to Figure 12 A.
Figure 12 C has illustrated that the exposure bias of the feature that is applicable to Figure 12 A is to curve chart that defocuses and the figure of handling window jointly.
Figure 12 D has illustrated the curve chart of the exposure range of the feature that is applicable to Figure 12 A to the depth of focus.
Figure 13 A has illustrated and has comprised feature and near the mask of the defective of feature, wherein, this defective is greater than the defective of Figure 12 A.
Figure 13 B has illustrated the curve chart of characteristic size to defocusing that is applicable to feature shown in Figure 13 A.
Figure 13 C has illustrated the curve chart of exposure bias to defocusing that is applicable to feature shown in Figure 13 A.
Figure 13 D has illustrated the exposure range that is applicable to the exposure of feature shown in Figure 13 A curve to the depth of focus.
Figure 14 A has illustrated the mask that comprises feature, and described feature has the defective that is integrally formed on it.
Figure 14 B has illustrated the curve chart of characteristic size to defocusing that is applicable to feature shown in Figure 14 A.
Figure 14 C has illustrated the curve chart of exposure bias to defocusing that is applicable to feature shown in Figure 14 A.
Figure 14 D has illustrated the exposure range that is applicable to feature shown in Figure 14 A curve chart to the depth of focus.
Figure 15 A has illustrated and has comprised having integrally forming the mask of the feature of defective on it that wherein, this defective is greater than the defective of Figure 14 A.
Figure 15 B has illustrated the curve chart of characteristic size to defocusing that is applicable to feature shown in Figure 15 A.
Figure 15 C has illustrated the curve chart of exposure bias to defocusing that is applicable to feature shown in Figure 15 A.
Figure 15 D has illustrated the exposure range that is applicable to feature shown in Figure 15 A curve chart to the depth of focus.
Figure 16 A has illustrated the mask that comprises contact (or through hole or pillar).
Figure 16 B has illustrated the curve chart of characteristic size to defocusing that is applicable to contact shown in Figure 16 A.
Figure 16 C has illustrated exposure bias that is applicable to contact shown in Figure 16 A and the figure that defocuses.
Figure 16 D has illustrated the exposure bias that is applicable to contact shown in Figure 16 A curvilinear figure to the depth of focus.
Figure 17 A has illustrated the mask that comprises the contact (or through hole or pillar) with obvious critical dimension (CD).
Figure 17 B has illustrated the curve chart of characteristic size to defocusing that is applicable to contact shown in Figure 17 A.
Figure 17 C has illustrated the curve chart of exposure bias to defocusing that is applicable to contact shown in Figure 17 A.
Figure 17 D has illustrated the exposure range that is applicable to contact shown in Figure 17 A curve chart to the depth of focus.
Figure 18 A has illustrated mask repair treatment process and system.
Figure 19 A has illustrated the layout of simplifying, and this layout has shown to have and can not represent line cd variations, line edge roughness.
Figure 19 B has illustrated the layout of simplifying, and wherein, determines line edge roughness.
Figure 20 A and 20B have illustrated the layout of simplifying, and wherein, have determined the chamfering and/or the symmetry at angle.
The detailed description of accompanying drawing
Introduce
According to checking system/treatment process, all are irregular, that is, potential defective all has the feature of actual defects.In one embodiment, provide the score of the order of severity of each defective, thereby provide significant information, so that the result that assessment correctly is to use described mask or repairs described mask to the user.The score of the defective order of severity is based on that a plurality of factors relevant with the variation of the critical dimension of the approaching feature of defective calculate.In another embodiment, handle window and can be used to the objective information that provides relevant with mask defect printablity.Some others relevant with mask quality, for example, the chamfering at line edge roughness and angle, contact also can both be quantified.
The layout of IC: identification critical zone
Fig. 3 has illustrated the feature of the critical zone of being convenient to discern IC.Particularly, the treatment process 300 of simplification comprises the defective that is identified in the mask and uses at least one other mask to determine whether exist defective in the critical zone.For example, mask 301 is illustrated in the polysilicon region 310 of the one deck among the IC.In polysilicon region 310, can identify two defectives 304 and 305.Size that it should be noted that these two defectives is identical.Mask 302 is illustrated in the diffusion zone 311 of another layer among the IC.
Treatment process 300 comprises relevant flaw size and the position of feature in definite and the various masks (for example, mask 301 and 302).For example, when observing individually, adopt the inspection machine of prior art just to be difficult to confirm that defective 304 and 305 is a defective, because prior art is generally determined defective by size with respect to the polysilicon region on the mask 301 310.On the contrary, treatment process 300 except size, has also been considered the relation of the diffusion zone 311 that provides on the position of defective 304 and 305 and the mask 302.Particularly, treatment process 300 uses the critical zone of discerning IC from the information of various masks.Combined I C layout 303 is identified as critical zone 306 with the overlapping region of polysilicon region 310 and diffusion zone 311.As the key performance of finished product IC, critical zone 306, that is, grid just requires pinpoint accuracy to guarantee transistorized suitable performance in finished product IC device.So, by analyze wherein a plurality of masks with and feature, just be difficult to determine that defective 305 has the feature of defective, because this defective is very little and in non-critical zone (for example, interconnect area), otherwise, even defective 305 is very little because it in the critical zone of IC (for example, grid) in, then this defective has the feature of defective in fact.
As following to go through, the defective in the critical zone generally all has the score that has the higher defective order of severity than the defective in the non-critical zone.
CD changes: defect recognition and adjacent feature
Fig. 4 A has illustrated the mask 400 of a simplification, and it is illustrated in the feature of the various polysilicons of one deck among the IC.Mask 400 comprises three defectives 401,402 and 403, and these defectives may influence adjacent polysilicon feature 404 and 405.In this example, suppose that defective 401,402 and 403 all is identical dimensionally.
In general, the defective in close quarters has bigger influence than the defective in the non-dense set zone.So, suppose distance X less than distance Y, then can have the influence of bigger printablity than the defective that is arranged in the defined zone of feature of leaving distance Y in the defective in the zone that is arranged in the characterizing definition that leaves distance X.But this general principle also exists tangible restriction.
With reference to figure 4B, can analyze each defective with respect to the position of adjacent feature according to defective.For example, suppose that it is that d1 (A) and the distance of leaving feature 404 are on the position of d1 (B) that defective 401 is positioned at the distance of leaving feature 405, its middle distance d1 (A) with equate substantially apart from d1 (B).Further to be positioned at the distance of leaving feature 405 be that d2 (A) and the distance of leaving feature 404 are on the position of d3 (B) to supposition defective 403, its middle distance d3 (A) with equate substantially apart from d3 (B).In this example, defective 401 has bigger printablity than defective 403 on mask 400.Therefore, general rule puts on defective 401 and 403.
Yet mask 400 has comprised that also being positioned at the distance of leaving feature 405 is that d2 (A) (for example, zero) and the distance of leaving feature 404 are the defective 402 that d2 (B) locates.In this case, defective 402 can have than defective 401 bigger printablities feature 405 influences.In addition, defective 402 also may have than defective 403 littler printablities feature 404 influences.So the universal rule that is confined to feature space just can not provide the accurate indication of printablity influence.
A kind of possible solution of this problem is the distance of measuring from each defective (such as each defective 401,402 and 403) to adjacent feature (such as d1, d2 and d3).These distances can become a plurality of factors with design rule of printablity influence with the combination that flaw size is measured.Yet the calculating strength of this analysis is big, provides significant information the required time thereby increased to the user.In addition, though the size of known defect and to the distance of the defective of adjacent feature, but only can not fully predict the actual influence of the defective on the adjacent feature by the check of mask.
The analysis of defect printablity
Therefore, analyze the variable of limited quantity according to an embodiment.In one embodiment, the variable of this limited quantity can comprise the critical dimension (CD) of mask.Particularly, any CD in the feature that takes place owing to the proximity of defective changes and determines.In order to analyze the variation of these CD, image that can the emulation mask is as describing with reference to figure 5.
Fig. 5 has illustrated the treatment process 500 that is applicable to the analyzing defect printablity.In treatment process 500, can analyze physical mask 501A and reference mask 501B by service test instrument 502.In one embodiment, reference mask 501B has identical topology with physical mask 501A, but does not have the physical mask of defective.In another embodiment, reference mask 501B can be the emulating image from the layout of physical mask 501A.
In one embodiment, the instruments of inspection 502 comprises image acquisition device 503, is used for all parts of physical mask 501A or the corresponding part of a part and reference mask 501B are scanned.Image acquisition device 503 can comprise high-definition picture equipment, for example, and high resolution light microscope, scanning electron microscopy (SEM), focused ion beam, atomic force microscope, or NFM.Image acquisition device 503 also can comprise interface equipment, is used to make the image information digitlization from imaging device.In one embodiment, interface equipment comprises ccd video camera, and it produces the gray bitmap picture of presentation video.
Physical mask 501A that defects detection processor 504 movement images collectors 503 are provided and the image of reference mask 501B, and any defective of identification physical mask 501A.In one embodiment, defects detection processor 504 comprises computer, and described computer run is used to scan the instruction repertorie of mask 501.If the defective of identifying, then defects detection processor 504 sends signal to pictcure generator 505, to provide from the defective of physical mask 501A with around the image of this defect area and from the image of reference mask 501B institute corresponding region.Pictcure generator 505 also can provide the image from the area free from defect of two masks 501.In one embodiment, pictcure generator 505 can provide both image that includes defect area and area free from defect.For the ease of the analysis of following detailed description defect printablity, the coordinate of these defectives and area free from defect can send with the area image data that produced.It should be noted that 506B is indicated as line if provide reference mask 501B as simulated layout and if the complete image of generation physical mask 501A then can directly offer pictcure generator 505 to the simulated layout file of reference mask 501B.
In one embodiment, the instruments of inspection 502 will offer wafer images generator 509 from the mode that two kinds of area image data of physical mask 501A and reference mask 501B are presented with real time data then, and 506D is indicated as line.In another embodiment, these data are carried out digitlization by digitizer 507, are stored in the memory device 508, and in the end the time point place offers wafer images generator 509 then.Memory device 508 can be with this digital information of storage in the media that is fit to of any type of the form such as Windows BMP, and wherein media can comprise computer hard disc driver, CDROM and server.In another embodiment that analyzes physical mask 501A with integral body, can directly offer pictcure generator 505 to the scan image that is provided by image acquisition device 503,506A is indicated as line, perhaps directly offers digitizer 507, and 506C is indicated as line.
Wafer images generator 509 comprises input equipment 510 and image simulation device 511.Input equipment 510 generally can comprise from the instruments of inspection 502 and/or from the hardware of memory device 508 reads image data types, and is for example any known, by Matrox TM, Meteor TMPerhaps Pulsar TMGet storage (being applicable to the real time data input) Deng the digitized image that provides.In one embodiment, image simulation device 511 is included in the computer-implemented program of moving Windows/Dos on the suitable platform with 200MHz, and wherein platform can comprise personal computer or the work station that has the 64MB memory at least.Image simulation device 511 receives the view data from input equipment 510, and produce the emulation of view data,, is applicable to physical mask 510A and reference mask 501B that is.Here with reference to these emulation all as wafer images (Phy) (being applicable to physical mask) 517A and wafer images (Ref) (being applicable to reference mask) 517B.
In one embodiment, image simulation device 511 also receives a plurality of offset printing conditions 512, and these conditions comprise the data of designated flat printing condition and the system parameters that the user exposes and relied on physical mask 501A.These class data can comprise: for example, the wavelength of employed illumination (λ) in system, the numerical aperture of system (NA), the relevant numerical value (σ) of system, types of illumination is (for example, from axle or annular), defocus exposure levels, the aberration of lens, substrate conditions, and the critical dimension (CD) of design.In one embodiment, each parameter can comprise the scope of numerical value, thereby allows image simulation device 511 to produce a plurality of emulation according to possible offset printing condition and range in various combination.For example, this can adopt the Monte Carlo simulation with dissimilar distributions (such as Gaussian Profile) to carry out.So, wafer images (Phy) 517A and wafer images (Ref) 517B can represent emulating image, if carry out the optical flat exposure under the condition identical with offset printing condition 512, then physical mask 501A and reference mask 501B (with a part wherein) will produce described emulating image.
Conversion factor
For the wavelength of above-mentioned (or approaching) design, generally simultaneously the design rule of the feature used in layout is calibrated by the identical factor.Under the situation of can not be as the Else Rule fast ground calibration of some rule, can change the minimum that database carries out carrying out in the quite short time as two.Yet on the contrary, the manufacturing step in the sub-wavelength manufacturing process is non-linear bigger.Particularly, the error of any mask all may be exaggerated in the printed pattern on wafer, and the result has a negative impact to the performance of finished product device.
Therefore, in order to improve the accuracy of wafer images 517 in the sub-wavelength technology, according to an embodiment, image simulation device 511 also can receive conversion factor 513.In an example, conversion factor is called mask error enhancer (MEEF).
If conversion factor is " known ", then can carry out the multiplication of conversion factor and mask CD.Current, " known " conversion factor generally is theoretical estimated value.Yet owing to multiple reason, these theoretical estimated values may be inaccurate.At first, as being discerned by application program, conversion factor can change according to the feature of mask.For example, the conversion factor of isolated line can be different from the conversion factor of high density assemble line.In addition, the conversion factor of contact can be different from the conversion factor of isolated line or high density assemble line.Secondly, for example,, comprise all aspects of the manufacturing treatment process of steeper and photoresist, can influence the conversion factor of the specific characteristic on the mask except the design result on the mask.Therefore, the theoretical appraisal of taking no account of design result and treatment process parameter originally is exactly inaccurate.
Another selection is, if theoretical appraisal is inaccurate, then can makes actual wafer and use the SEM can measuring element CD on crystal, to determine conversion factor.Yet, this treatment process generally comprise printing and measure dozens of or even the feature of hundreds of masks, with the measurements and calculations conversion factor.Therefore, this treatment process is very expensive, and therefore and commercial be infeasible.
Can provide many costs effective solution to the problems referred to above.Fig. 6 has illustrated a kind of method 600 that produces accurate conversion factor.In method 600, can provide resolution chart on the test mask in step 601.This resolution chart can comprise the insulated wire of varying width, the high density assemble line of varying width and the contact of various sizes.At this moment, in step 602, can print single wafer.In step 603, can notice the defect analysis that comprises any variation among the CD in each resolution chart of wafer.In step 604, can calculate the conversion factor of each feature from this information exactly.Also can use various treatment process to print other wafer of limited quantity, to obtain the conversion factor that these make treatment process.
It should be noted that from the wafer of test mask printing also to comprise the workshop specified message, this information also can influence conversion factor.Particularly, the treatment process variable can be or generally change from a workshop to another workshop.This variable can cause the variation of some CD on the wafer, and this generally adopts the term " deviation " in the industry.As mentioned above, by the wafer and the use test mask of printed wafers in the workshop or limited quantity, the user can verify the deviation that issue in this workshop or determine the deviation in workshop individually.
The mask that test has an above-mentioned resolution chart is to the deviation that conversion factor accurately and workshop are provided with product, thereby allowing the user can compensate unacceptable CD variation potentially (generally is in Design Treatment technology, or in mask correct operation (will following for a more detailed description), or by selecting different workshops).
Fig. 7 has illustrated the method 700 of the accurate conversion factor of another kind of generation.In method 700, in step 701, can on test mask, provide resolution chart.Be similar to method 600, this resolution chart also can comprise the isolated line of varying width, the high density assemble line of varying width and the contact of various sizes.At this moment, can in step 702, use image simulation device 511 (Fig. 5) to come the wafer images of emulation from test mask.Can notice, in step 703, can notice the defect analysis of any variation (will do more detailed explanation hereinafter) that comprises in each resolution chart on the dummy wafer image among the CD.In step 704, can calculate the conversion factor of each feature exactly from this information.It should be noted that and to use various treatment process (for example, offset printing condition 512) to come other mask of emulation any amount, to obtain to be applicable to the conversion factor of these manufacturing treatment process.Also it should be noted that can also be being included in offset printing condition 512 with reference to figure 6 described workshop deviations, thus further improve by conversion factor that this embodiment produced accurately.
Method 700 has very high cost efficiency, because it has been eliminated with the printed wafers cost related and has made the needed time of wafer.In addition, because simulated environment, method 700 provided significant flexibility aspect the optimization system parameter before actual manufacturing.
Image simulation
The Hopking simplified models version that puts on integrated circuit pattern by use comes image simulation device 511 to be similar to the treatment process of optical flat brush.In this simple version, the Hopking model can be considered a plurality of low pass filters that put on the input data.Interpolation is from the output image of these low pass filters.To produce the image (that is wafer (Ref) the image 517B of the wafer of emulation (Phy) image 517A and emulation) of emulation.At United States Patent (USP) sequence number No.09/130, provide and the relevant out of Memory of image simulation device 511 employed Kopking models in 996, so this paper is just no longer for a more detailed description.
The calculating of defective order of severity score
The dummy wafer image 517 that defect printablity analysis generator 515 receives from image simulation device 511.Generator 515 is included in the computer-implemented program of moving Windows/DOS on the suitable platform with 200MHz, and described platform is such as having the personal computer or the work station of 64MB memory at least.In one embodiment, image simulation device 511 moves on identical platform with generator 515.
Fig. 8 A-8C has illustrated the various features of the computer-implemented program relevant with generator 515.Fig. 8 A has represented to produce the method 800 of defective order of severity score, and described method comprises pre-treatment step 810, bidimensional analytical procedure 820, defect analysis procedure 830, and critical zone given step 840.
In pre-treatment step 810, aim at dummy wafer (Phy) image 517A and dummy wafer (Ref) image 517B.Aligning can use zero defect figure (supposition emulating image 517 comprise defective and zero defect two zones) or is applicable to defective/area free from defect (and follow-up input equipment 510 that sends to by what pictcure generator 505 provided, image simulation equipment 511, and Zhongdao defect printablity analysis 515) coordinate aim at.When aiming at these figures/zone, also aimed at the feature that is provided at emulating image 517.
After aiming at, can carry out bidimensional analytical procedure 820.With particular reference to Fig. 8 B, this figure has described bidimensional analytical procedure 820 in more detail, identifies the defective on dummy wafer (Phy) the image 517A in step 821.Then, in step 822, identify the corresponding region on dummy wafer (Ref) image 517B.It should be noted that the coordinate that pictcure generator 505 is provided can be used for identification step 821 and 822.In step 823, be identified on dummy wafer (Phy) the image 517A any feature (also being referred to as adjacent feature) near defective.Finally, in step 824, can identify the characteristic of correspondence on dummy wafer (Ref) image 517B.
It should be noted that term " immediate " can refer to the result of any feature of the variation among the CD as the degree of approach of defective.Yet, in simple an enforcement, can discern as adjacent feature with interior any feature at the preset distance of defective.In another embodiment, the distance (can determine in step 823) that the size (can determine in step 821) and the defective of defective are left adjacent feature is compared with the measured value in the design rule table.For the size (or scope of size) of each defective, this design rule table can be discerned, and leaves the ultimate range of defective, if wherein the position at feature place is less than the ultimate range of leaving defective, then decides the adjacent feature that is characterized as of this feature.
After the bidimensional analysis, just can carry out defect analysis procedure 830.In defect analysis, analyze area free from defect, calculating average CD deviation (ACD) (hereinafter will be further explained in detail), and the analyzing defect zone, to calculate relative CD deviation (RCD) (hereinafter also will be further explained in detail).It should be noted that and to carry out the calculating of ACD and RCD by any order.Fig. 8 C has described defect analysis procedure 830 in more detail.Particularly, in step 831, the CD of the one or more features in the area free from defect on dummy wafer image 517 and the CD of any adjacent feature in the defect area on dummy wafer image 517 have been measured.
In order to determine ACD, at first be the CD that deducts a zero defect feature on dummy wafer image (physical mask) 517A the CD of the corresponding zero defect feature from dummy wafer image (reference mask) 517B.Then, with this difference divided by CD in the last identical zero defect feature of dummy wafer image (reference) 517B.In order to improve the accuracy that ACD calculates, can analyze a plurality of features.Particularly, can add N ACD, then divided by N, wherein, N is the integer more than or equal to 1.For example, if analyzed two features, then can adopt following formula to calculate ACD:
[(CD(R1)-CD(P1)/CD(R1)]+[(CD(R2)-CD(P2)/CD(R2)]/2
In the formula, R represents the dummy wafer image of reference mask and the dummy wafer image that P represents physical mask.It should be noted that for different zero defect features or for identical zero defect feature and can both determine ACD.For example, in one embodiment, typical grid can (be parallel to the sheet of FET raceway groove) on grid width every interval 2nm cuts.It should be noted that and to use that AppliedMaterials, LaserTech, the standard mask inspection machine that perhaps any other graticule check/metering outfit distributors is provided carry out the CD assessment by KLA-Tencor.
In one embodiment, can use different exposures to provide to be applicable to a plurality of ACD of each feature.It should be noted that employed exposure can be the scope of numerical value, this numerical value departs from reality makes employed levels of exposure in the treatment process, thereby can provide the valuable information relevant with the result under the worst case to the user.It is also noted that, this class conditions of exposure generally all be included in can the offset printing condition 512 of emulation in (Fig. 5).Therefore, again with reference to figure 8C, can calculate the ACD of each time exposure in step 832.
In step 833, calculate the relative CD deviation (RCD) of each adjacent feature discerned (can discern in as defect area) in each exposure at emulating image.For example, each exposure, deduct the CD of the adjacent feature discerned (for example, 904 (R)) of the defect area 901 (R) of dummy wafer image 901 (R) among the CD of the same characteristic features the defect area 901 (P) from dummy wafer image 901 (P) (904 (P) in this case).This difference is divided by CD (that is (CD (P)-CD (R))/CD (R), of the adjacent feature discerned of dummy wafer image 901 (R) then.At last, in step 834, can determine to discern the maximum RCD (MCD) of adjacent feature to each time exposure.
Just as described in reference to Figure 3, the precision of the feature in the critical zone (for example, grid) needs height is guaranteed the transistorized proper property in finished product IC device.So, by analyzing a plurality of masks and its feature, because defective is very little and in non-critical zone (for example, interconnection) in, so can be decided to be unsubstantiality to the feature of defective, even and defective is very little, but because it in the critical zone of IC (for example, grid) in, then can be decided to be the feature of this defective substantial.
With reference to figure 5, defect printablity analysis generator 515 also receives the information of critical zone identification generator 514.Identification generator 514 in critical zone can comprise that any test pattern identification facility (hardware and software both) is analyzed and be used to make IC, be similar to the such physical mask of physical mask 501A.Irrelevant with employed special tool(s), identification generator 514 in critical zone provides identification to specify the information of each mask of critical zone to defect printablity analysis generator 515.Adopt this information, defect printablity analysis generator 515 just can determine in step 840 whether this defective is positioned at critical zone (Fig. 8 A).
Defective in the critical zone generally all has lower tolerance to the variation of relative CD.In one embodiment, can provide CD the tolerance (TCD) of variation by question blank.This question blank can comprise by mask quality Control Engineering teacher based on his experience and the determined numerical value of index of various masks.For example, the critical zone can have near the TCD scope between 3% to 5%, can be in the TCD scope between near 10% to 15% and have the non-critical zone of considerably less feature.In one embodiment, critical zone ID generator 514 can comprise this question blank.
Formula 1 provides the illustrative calculating that is used for determining defective order of severity score.It should be noted that formula 1 comprises the above ACD that has described in detail, MCD and TCD variable, and also comprise the variable i of representing special exposure and the variable N that represents the exposure total quantity of analysis.
DSS = ( 3 / N ) × Σ 1 N ( MCD i - ( ACD i / 3 ) ) / TCD Formula 1
Fig. 9 A has illustrated an example part 900 (P) of physical mask.Part 900 (P) comprises defect area 901 (P) and area free from defect 902 (P).In a similar fashion, Fig. 9 B has illustrated the part 900 (R) corresponding to the reference mask of part 900 (P).Part 900 (R) comprises defect area 901 (R) and area free from defect 902 (R).
By in pre-treatment step 810, using coordinate or zero defect figure, just can be aligned in the correspondence position in these part/zones in the dummy wafer image.Particularly, for example, can aim at the dummy wafer image of area free from defect 902 (P) and 902 (R).In a similar fashion, can aim at the dummy wafer image of defect area 901 (P) and 901 (R).In case aimed at zone 901 and 902, also just aimed at the feature in the dummy wafer image.So, for example, can in pre-treatment step 810, adopt the feature 904 (R) of defect area 901 (R) and feature 904 (P) and 905 (P) that 905 (R) aim at defect area 901 (P).
In bidimensional analytical procedure 820, can discern the defective of the dummy wafer image of mask part 901 (P).In this embodiment, arrow points the defective 903 in the defect area 901 (P).Then, identify feature 904 (P) and 905 (P), be subjected to the adjacent feature of defective 903 influences as meeting.At last, can discern any characteristic of correspondence on the dummy wafer image of mask part 901 (R).In this example, identify feature 904 (R) and 905 (R).
At this moment, just can carry out defect analysis procedure 830.Figure 10 (A (1)-A (3) and B (1)-B (3)) and Figure 11 (A (1)-A (3)) and B (1)-B (3) have illustrated the dummy wafer image of defective order of severity score computing application in part 900 (P) and 900 (R).In order to calculate the average CD deviation of zero defect feature, generally to measure a plurality of features.For example, Figure 10 A (1-3) has represented the dummy wafer image of area free from defect 902 (P) of the physical mask 900 (P) of three exposures.Line 1001 (P)-1006 (P) has been represented two secants that the zero defect feature is produced to the dummy wafer image in three exposures.Particularly, line 1001 (P) and 1002 (P) have represented two secants that feature produced in exposure for the first time, line 1003 (P) has been represented the identical identical secant that feature produced in exposure for the second time with 1004 (P), and line 1005 (P) has been represented the identical identical secant that feature produced in exposure for the third time with 1006 (P).
In a similar fashion, Figure 10 B (1-3) has represented the dummy wafer image of area free from defect 902 (R) of the reference mask 900 (R) of identical three exposures.Line 1001 (R)-1006 (R) has been represented two secants that the zero defect feature is produced of the dummy wafer image in three exposures, and wherein these secants are corresponding to secant 1001 (P)-1006 (P).So, line 1001 (R) and 1002 (R) have represented two secants that feature produced in exposure for the first time, line 1003 (R) has been represented the identical identical secant that feature produced in exposure for the second time with 1004 (R), and line 1005 (R) has been represented the identical identical secant that feature produced in exposure for the third time with 1006 (R).
Each secant 1001 (P)-1006 (P) all provides relevant CD with 1001 (R)-1006 (R).Therefore, for ease of reference, line 1001 (P)-1006 (P) and 1001 (R)-1006 (R) are referred to as CD1001 (M)-1006 (M) and 1001 (R)-1006 (R) hereinafter.
For the exposure first time shown in Figure 10 A (1) and the 10B (1), it is as follows to calculate average CD deviation;
ACD(1)=[(1001(R)-1001(P))/1001(R)+(1002(R)-1002(P))/1002(R)]/2
In one embodiment, CD1001 (R), 1001 (P), the actual measured value of 1002 (R) and 1002 (P) is respectively 266nm, 266nm, 322nm and 294nm.These numerical value substitution formula are asked ACD (1), obtain 0.043nm approx.
For the exposure second time shown in Figure 10 A (2) and the 10B (2), can calculate average CD deviation in a similar fashion:
ACD(2)=[(1003(R)-1003(P))/1003(R)+(1004(R)-1004(P))/1004(R)]/2
In one embodiment, CD1003 (R), 1003 (P), the actual measured value of 1004 (R) and 1004 (P) is respectively 266nm, 266nm, 294nm and 294nm.These numerical value substitution formula are asked ACD (2), obtain 0.0nm.
At last, for the exposure for the third time shown in Figure 10 A (3) and the 10B (3), also can calculate average CD deviation in an identical manner:
ACD(3)=[(1005(R)-1005(P))/1005(R)+(1006(R)-1006(P))/1006(R)]/2
In one embodiment, CD1005 (R), 1005 (P), the actual measured value of 1006 (R) and 1006 (P) is respectively 252nm, 238nm, 294nm and 294nm.These numerical value substitution formula are asked ACD (3), must be 0.028nm approx.
In defect analysis, also can calculate the relative CD deviation (RCD) of adjacent feature in defect area to each time levels of exposure.Figure 11 A (1-3) illustrate three times the exposure defect area 901 (P) in feature 904 (P) and the dummy wafer image of 905 (P).Line 1101 (P)-1106 (P) has been represented these two secants that feature produced of dummy wafer image in three exposures.Particularly, line 1101 (P) and 1102 (P) have represented the secant that feature 904 (P) and 905 (P) are produced in exposure for the first time, line 1103 (P) and 1104 (P) have represented the secant that feature 904 (P) and 905 (P) are produced in exposure for the second time, and line 1105 (P) and 1106 (P) have represented the secant that feature 904 (P) and 905 (P) are produced in exposing for the third time.
Similar, Figure 11 B (1-3) has illustrated the feature 904 (R) of same three exposures and the dummy wafer image of 905 (R).Line 1101 (R)-1106 (R) has been represented these two secants that feature produced of dummy wafer image in three exposures.Particularly, line 1101 (R) and 1102 (R) have represented the secant that feature 904 (R) and 905 (R) are produced in exposure for the first time, line 1103 (R) and 1104 (R) have represented the secant that feature 904 (R) and 905 (R) are produced in exposure for the second time, and line 1105 (R) and 1106 (R) have represented the secant that feature 904 (R) and 905 (R) are produced in exposing for the third time.
Each line 1101 (P)-1106 (P) provides relevant CD with 1101 (R)-1106 (R).Therefore, for ease of reference, hereinafter line 1101 (P)-1106 (P) and 1101 (R)-1106 (R) are called CD1101 (P)-1106 (P) and 1101 (R)-1106 (R).
For the exposure first time shown in Figure 11 A (1) and the 11B (1), but the relative CD deviation (RCD) of calculated characteristics 904 is as follows:
RCD(1(904))=(1101(P)-1101(R))/1101(R)
In one embodiment, the actual measured value of CD1101 (R) and 1101 (P) is respectively 266nm and 364nm.These numerical value substitutions are applicable to the formula of RCD (1 (904)) obtain 0.368nm approx.
In a similar fashion, for the first time shown in Figure 11 A (1) and the 11B (1) exposure, the variation of maximum relatively CD (RCD) that can calculated characteristics 905 is as follows:
RCD(1(905))=(1102(P)-11?02(R))/1102(R)
In one embodiment, the actual measured value of CD1102 (R) and 1102 (P) is respectively 252nm and 322nm.These numerical value substitutions are applicable to the formula of RCD (1 (905)) obtain 0.278nm approx.
Calculate the second time and the feature 904 of exposure for the third time and 905 RCD in a similar fashion, as follows.
RCD(2(904))=(1103(P)-1103(R))/1103(R)
RCD(2(905))=(1104(P)-1104(R))/1104(R)
RCD(3(904))=(1105(P)-1105(R))/1105(R)
RCD(3(905))=(1106(P)-1106(R))/1106(R)
In one embodiment, CD1103 (R), 1103 (P), 1104 (R), 1104 (P), 1105 (R), 1105 (P), the actual measured value of 1106 (R) and 1106 (P) is respectively 238nm, 350nm, 252nm, 294nm, 224nm and 280nm.With these numerical value substitutions RCD (2 (904)), RCD (2 (905)) in the formula of RCD (3 (904)) and RCD (3 (905)), thereby obtains 0.471nm, 0.167nm, 0.353nm and 0.250nm respectively approx.
In order to determine the maximum CD deviation (MCD) of exposure each time, select maximum RCD numerical value.So the maximum CD deviation (MCD (1)) of exposure is 0.368nm (0.368>0.278) for the first time.MCD (2) is 0.471nm (0.471>0.167), and MCD (3) is 0.353nm (0.353>0.250).
Use formula 1 to calculate defective order of severity score (DSS).In the example that provides, because analyzed three exposures, so N=3.
With these three numerical value substitution formula 1, then obtain:
DSS = ( 3 / 3 ) × Σ 1 3 ( MCD i - ( ACD i / 3 ) ) / TCD
So, based on three exposures,
DSS=(3/3)[MCD(1)(ACD(1)/3)]/TCD(MCD(2)-(ACD(2)/3))/TCD+
(MCD(3)-(ACD(3)/3))/TCD]
MCD and the substitution of ACD numerical value with three exposures of aforementioned calculation then obtain:
DSS=[(0.368-(0.043/3))/0.1+(0.473-(0/3))/0.1+
(0.353-(0.028/3))/0.1
DSS=3.54+4.73+3.44
Therefore, the DSS that had of defective 903 (seeing Fig. 9 A) is approximately 11.71.
Defect printablity analysis generator 515 (Fig. 5) can be exported defective order of severity score (DSS) (in one embodiment, score from 1 to 10) in Impact Report 516.This Impact Report 516 can be used for reducing the human error at defect printablity analysis.For example, perhaps be 5 DSS score, represent that printed feature will have the problem of fairly obvious aspect of performance, but the reparation of this physical mask is possible.On the other hand, perhaps, DSS must be divided into the problem that 7 and 7 above expressions not only exist aspect of performance, and physical mask is made in suggestion again.For example, in one embodiment, DSS means less than 3 because the caused CD of defective changes within the CD of appointment range of tolerable variance; DSS means between 3 and 6 because the caused CD of defective changes greater than specified CD range of tolerable variance, but the variation of CD can not produce serious defective (for example, open circuit and bridge joint) on wafer; And DSS means because the caused CD variation of defective meeting produces serious defective on wafer greater than 6.So, have the numerical result relevant by providing with the implication of each numerical value, the technical staff can be effectively with carry out next step operation error freely, for example, the manufacturing again of the reparation of physical mask or physical mask.
The treatment process window
Also can use the window of various treatment process to estimate defect printablity.The treatment process window can be drawn from some charts that the technical staff was familiar with in the industry.In general, the processing window of feature is the amount of the variation in the treatment process that still critical dimension (CD) of feature is remained in range of tolerable variance and simultaneously in the certain limit of target CD.
Well-known a kind of treatment variable is projection tools to be set promptly, the focal length of steeper.Poly-distance can change the section (CD, the thickness of angle of the flank and protective layer) of protective layer significantly, is very crucial in acceptable offset printing treatment process is provided therefore.
Because the influence of focal length and exposure, these variablees generally all change in the focal length exposure matrix simultaneously.Can from this matrix, draw this treatment process window.The focal length that drops in the treatment process window is created in the interior protection feature of range of tolerable variance with exposure numerical value, for example, and CD, and the poly-distance and the exposure numerical value that drop on outside the treatment process window will be created in range of tolerable variance protection feature in addition.So, as following will further go through, the treatment process window can be provided for determining the order of severity of defective and the destination apparatus of printablity.
For example, Figure 12 A has illustrated the mask with characteristic 1204 and defective 1203.As implied above, defective 1203 is with the width of effect characteristics 1204.Particularly, will be at the width of the feature 1204 at secant 1201 places greater than width at secant 1202 places.
Figure 12 B has shown that characteristic size (also in nanometer range) is to defocusing the curve chart of (in nanometer range).In the figure, thick horizontal line represents that target CD is 200nm, and other horizontal line is represented this target CD's+and/-10% error.Curve 1211 and 1212 is that exposure (or analogue exposure) mask produces, and described mask has comprised defective 1223 and analyzed at various level of defocus (in this case, for-500nm to the 500nm) secant 1201 down and the CD of the 1202 places feature of printing.Curve 1211 and 1212 has been represented respectively to analyze at the CD at secant 1201 and 1202 places.
From in logic, all have on curve 1211 pairing than large-feature-size in the size of each feature on the curve 1212.For example ,-when 300nm defocused, the characteristic size (seeing curve 1212) at secant 1202 places was approximately 150nm, and the characteristic size (seeing curve 1211) at secant 1201 places then is approximately 170nm.It should be noted that concerning these two curves, that is, between horizontal line CD+/-10%, acceptablely defocus window and be approximately-208nm and 208nm between.
Figure 12 C has illustrated that the percentage exposure bias is to defocusing the curve chart of (being unit with the nanometer).In this figure, the upper and lower border of the exposure bias of curve 1221 expression secants 1201 under various level of defocus, and the upper and lower border of the exposure bias of curve 1222 expression secants 1202 under various level of defocus.The rectangle of the maximum possible that the lap with these two zones is met is defined as common treatment process window 1223.In this embodiment, common treatment process window 1223 has been represented to defocus and can have been changed between approximate-150nm and 150nm, and exposure bias can change (whole retention wire CD simultaneously are in range of tolerable variance) between approximate-10% and 10%.
Figure 12 C is the curve chart of exposure range (%) to the depth of focus (DOF) (is unit with the nanometer), in the figure, exposure range be meant carry out the amount of variation of exposure, and DOF is meant the amount of focus variations.In the figure, the upper and lower border of the curve 1231 expression exposure ranges of secant 1201 under various DOF, curve 1232 is then represented the upper and lower border of the depth of exposure that secant 1202 exposes under various DOF.It should be noted that curve 1231 and 1232 sharing identical lower boundary.The maximum possible rectangle that satisfies common lower boundary has defined common processing window 1233.In this embodiment, handling window 233 expression DOF jointly can approximately change between 0nm and the 300nm, and exposure range can change (while retention wire CD is in range of tolerable variance) once more, between about 0% and 19%.
It should be noted that and from handle window 1223, to obtain by handling the information that window 1233 is provided.Particularly, total scope that the DOF scope equals to defocus, the scope of exposure range then equal total scope of exposure bias.
Figure 13 A has illustrated the mask with feature 1304 and defective 1303.Though feature 1304 has identical size with feature 1204, defective 1303 is significantly greater than defective 1203.So, but but print characteristics 1304 will be wideer at the width at secant 1302 places than print characteristics 1302 at the width of secant 1301.Yet, such just as discussed in further detail below, to compare with defective 1203, defective 1303 will reduce the window of treatment process significantly.
Figure 13 B has shown that characteristic size (also being unit with the nanometer) is to defocusing the curve chart of (being unit with the nanometer).Once more, it is 200nm that thick horizontal line has been indicated target CD, and other horizontal line has been indicated this target CD's+/-10 % error.Curve 1311 and 1312 is to comprise the mask of defective 1323 by exposure (or analogue exposure), and analyzed in various level of defocus (in this case, for-500nm to 500nm) but down the CD of print characteristics at secant 1301 and 1302 places produce.Curve 1311 and 1312 has been represented respectively to analyze at the CD at secant 1301 and 1302 places.
Discuss as previous, each all has the size of relatively large feature on curve 1311 in the characteristic size on the curve 1312.For example ,-when 300nm defocused, the characteristic size (seeing curve 1312) at secant 1302 places was approximately 150nm, and is approximately 185nm in the characteristic size (seeing curve 1311) at secant 1301 places.It should be noted that two curves, that is, between horizontal line CD+/-10% acceptable defocus window be about 208nm and-100nm between and approximately between 100nm and the 208nm.
Figure 13 C has illustrated that the percentage exposure bias is to defocusing the curve chart of (being unit with the nanometer).In the figure, curve 1321 expression is corresponding to the upper and lower border of the exposure bias of the CD of the secant 1301 of various level of defocus, curve 1322 then expression corresponding to the upper and lower border of the exposure bias of the exposure of the secant 1302 of various level of defocus.The maximum possible rectangle that accords with in this two zones overlapping has defined common processing window 1323.In this embodiment, handle jointly window 1323 expression defocus can approximately-change between 100nm and the 100nm, and exposure bias can change (all while retention wire CD are in range of tolerable variance) between about 2% and 15%.
Figure 13 D curve chart of exposure range (%) that drawn to DOF (is unit with the nanometer).In the figure, curve 1331 expressions are corresponding to the upper and lower border of the exposure range of the secant 1301 of various DOF, and curve 1332 expressions are corresponding to the upper and lower border of the exposure range of the secant 1302 of various DOF.It should be noted that curve 1331 and 1332 sharing identical upper and lower border substantially.The rectangle that satisfies in the maximum possible of common lower boundary has defined common treatment process window 1333.In this embodiment, handling window 1333 expression DOF jointly can approximately change between the 0nm to 200nm, and exposure range then can change (while retention wire CD is in range of tolerable variance) once more, between about 0% to 12%.
It should be noted that treatment process window 1223/1233 is all significantly greater than treatment process window 1323/1333.As what see from this example, bigger flaw size has reduced the treatment process window.Therefore, the printablity of can more various treatment process windows determining defective.Particularly, the treatment process window that is applicable to the zero defect feature can compare with one or more treatment process windows with feature of the defective that approaches this feature.In this typical embodiment, the user can be provided with the scope of the deviation accepted of the treatment process window that is applicable to the zero defect feature.
Above-mentioned treatment process can be applied to form the defective of Partial Feature with being equal to.For example, Figure 14 A has illustrated the mask of the defective 1403 that has feature 1404 and integrally form.Defective 1403 will effect characteristics 1404 width.Particularly, feature 1404 will be greater than the width at secant 1402 places at the width at secant 1401 places.
Figure 14 B has shown that characteristic size (also being unit with the nanometer) is to defocusing the curve chart of (being unit with the nanometer).In the figure, thick horizontal line represents that target CD is 200nm, other horizontal line then represent this target CD+/-10 % error.Curve 1411 and 1412 is to comprise the mask of defective 1403 by exposure (or analogue exposure), and analyzed in various level of defocus (in this case, for-500nm to 500nm) but down print characteristics in the generation of the CD at secant 1401 and 1402 places.Defective 1411 and 1412 has been represented respectively to analyze at the CD at secant 1401 and 1402 places.In this embodiment, suppose that the energy that is used to develop is 3.9mJ/cm 2
From in logic, the size of each feature on the curve 1412 all have on curve 1411, have corresponding to large-feature-size.For example ,-when 300nm defocused, the characteristic size (seeing curve 1412) at secant 1402 places was approximately 150nm, and the characteristic size (seeing curve 1411) at secant 1401 places then is approximately 165nm.It should be noted that concerning these two curves, that is, between horizontal line CD+/-10%, acceptable defocus window approximately-208nm and 208nm between.
Figure 14 C has illustrated that the percentage exposure bias is to defocusing the curve chart of (being unit with the nanometer).In the figure, curve 1421 expression is for the upper and lower border of the exposure bias of the secant 1401 of various level of defocus, curve 1422 then expression for the upper and lower border of the exposure bias of the secant 1402 of various level of defocus.The maximum possible rectangle that accords with in this two zones overlapping has defined common processing window 1423.In this embodiment, handle jointly window 1423 expression defocus can approximately-change between 150nm and the 150nm, and exposure bias can approximately-5% and between 9% change (all retention wire CD in range of tolerable variance) simultaneously.
Figure 14 D curve chart of exposure range (%) that drawn to DOF (is unit with the nanometer).In the figure, curve 1431 expressions are for the upper and lower border of the exposure range of the secant 1401 of various DOF, and curve 1432 expressions are for the upper and lower border of secant 1402 exposure ranges of various DOF.It should be noted that curve 1431 and 1432 sharing identical lower boundary.The rectangle that accords with in the maximum possible of common lower boundary has defined common treatment process window 1433.In this embodiment, handling window 1433 expression DOF jointly can approximately change between the 0nm to 300nm, and exposure range then can change (while retention wire CD is in range of tolerable variance) once more, between about 0% to 14%.
Such just as noted above, the information that is provided by treatment process window 1433 can be provided from treatment process window 1423.Particularly, total scope that the DOF scope equals to defocus, and the scope of exposure range has waited total scope of exposure bias.
Figure 15 A has illustrated the mask with feature 1504 and defective 1503.Though feature 1504 has identical size with feature 1404, defective 1503 is significantly greater than defective 1403.So, but but print characteristics 1504 will be wideer at the width at secant 1401 places than print characteristics 1404 at the width at secant 1501 places.In addition, such just as described in detail further below, to compare with defective 1403, defective 1503 will reduce the window of treatment process significantly.
Figure 15 B has shown that characteristic size (also being unit with the nanometer) is to defocusing the curve chart of (being unit with the nanometer).Once more, it is 200nm that thick horizontal line has been indicated target CD, and other horizontal line has been indicated this target CD's+/-10 % error.Curve 1511 and 1512 is to comprise the mask of defective 1503 by exposure (or analogue exposure), and analyzed in various level of defocus (in this case, for-500nm to 500nm) but down the CD of print characteristics at secant 1501 and 1502 places produce.Defective 1511 and 1512 has been represented respectively to analyze at the CD at secant 1501 and 1502 places.
Receive as previous, each all has the size of relatively large feature on curve 1511 in the size of the feature on the curve 1512.For example ,-when 300nm defocused, the characteristic size (seeing curve 1512) at secant 1502 places was approximately 150nm, and is approximately 198nm in the characteristic size (seeing curve 1511) at secant 1501 places.It should be noted that for two curves promptly, between horizontal line CD+/-10%, the acceptable window that defocuses just no longer can obtain.
Figure 15 C has illustrated that the percentage exposure bias is to defocusing the curve chart of (being unit with the nanometer).In the figure, curve 1521 expression is corresponding to the upper and lower border of the exposure bias of the CD of the secant 1501 of various level of defocus, curve 1522 then expression corresponding to the upper and lower border of the exposure bias of the CD of the secant 1502 of various level of defocus.In this case, not overlapping by curve 1521 and 1522 defined two zones.Therefore, just no longer there is common treatment process window.So defective 1503 will stop feature to be printed in range of tolerable variance effectively.
Figure 15 D curve chart of exposure range (%) that drawn to DOF (is unit with the nanometer).In the figure, curve 1531 expressions are corresponding to the upper and lower border of the exposure range of the CD of the secant 1501 of various DOF, and the upper and lower border of the exposure range of the CD of the secant 1502 of the corresponding various DOF of defective 1532 expressions.It should be noted that the shared any lower boundary of curve 1531 and 1532.Therefore, there is not common treatment process window, thereby confirmed the information of drawing from Figure 15 D.
In Figure 12 to Figure 15, using the treatment process window to determine that defect printablity is applied to line.Yet this use of treatment process window also can be applicable to the printablity of contact and through hole.Figure 16 A to 16D has illustrated the zero defect contact 1601 on the mask respectively, the curve chart 1602 of characteristic size to defocusing, exposure bias is to defocusing the curve chart 1603 of (with final treatment process window), and exposure range is to the DOF curve chart 1604 of (with its final treatment process window).
Under the contrast, Figure 17 A-17D has illustrated the contact 1701 on the mask respectively, the curve chart 1702 of characteristic size to defocusing, exposure bias are to defocusing the curve chart 1703 of (with final treatment process window), and exposure range is to the DOF curve chart 1704 of (with its final treatment process window).It should be noted that contact 1701 has the tangible CD that can notice and changes, and therefore, under the analysis of prior art, can moderately think defective contact.
Yet, the analysis that the treatment process window of Figure 17 C and 17D is compared with the treatment process window of Figure 16 C and Figure 16 D discloses, change although contact 1701 has represented tangible CD, it still has and the quite similar treatment process window of the treatment process window of contact 1601.Particularly, with reference to figure 17D and Figure 16 D, contact 1701 and 1601 boths have the common depth of focus from 0 to 600nm, and has basic similarly exposure range, that is, the exposure range of contact 1701 is between 0 and 58%, and the exposure range of contact 1601 is between 0 and 40%.Yet although contact 1701 and 1601 has identical defocusing (that is, between-300nm and 300nm), these contacts have visibly different percentage exposure bias.Particularly, contact 1701 has the exposure bias between about 22% and 80%, and contact 1601 has approximately-3 and the exposure bias between 37%.As a result, common treatment process window, though very little, for contact 1701 and 1601 both exist.
So, analyze the treatment process window relevant the objective means of determining based on the printablity of the feature of defective can be provided with feature.For example, can be from (promptly at two treatment process windows, common treatment process window) overlapping amount obtains the order of severity of defective between, wherein can extract a treatment process window from the defective secant, and can extract another treatment process window from the reference secant.Particularly, according to this embodiment, defect printablity analysis generator 515 can determine to be applicable to the common treatment process window of the feature that provides among mask 501A and the 501B, and this information is provided in Impact Report.
The reparation of physical mask
Figure 18 has illustrated a kind of treatment process that goes for analyzing the reparation that may carry out on physical mask.As shown in Figure 18, use Impact Report 516 (or some effects report), bitmap editor device 1801 can be indicated may proofreading and correct that physical mask (for example, physical mask 501A) is carried out, to eliminate or to reduce significantly the effect of one or more defectives.Bitmap editor device 1801 can be exported emulation mask 1802 then, and it can comprise above-mentioned correction.So emulation mask 1802 is possible, versions of repairing of physical mask.It should be noted that bitmap editor device 1801 can be from separating as the same tool of wafer images generator 509 or using identical instrument as wafer images generator 509.
The instruments of inspection 502 can check emulation mask 1802 and wafer images generator 509 to use emulation mask 1802 to produce wafer images (not shown) new, emulation and new Impact Report, and this new Impact Report can be provided by the success of the possible correction that is provided in mask 1802.If proofreading and correct is acceptable, then bitmap editor device 1801 just can directly be provided for repairing the control information of physical mask to mask repair instrument 1803.If customer requirements is to other optimization or the analysis of different parameters, then can repeat the above-mentioned treatment process of discussing, proofread and correct within the acceptable range or the desired result of bitmap editor device 1801 indications can not obtain by repairing this physical mask until thinking.
In one embodiment, bitmap editor device 1801 also can be indicated the mask write-in policy of optimization.For example, can use laser tool, also can use the focused ion beam tool to eliminate defective (for example, the deposition of chromium) opaque defective (for example, eliminating the defective of chromium).It should be noted that laser and focused ion beam tool can also be respectively applied for deposition and elimination.In general, the focused ion beam tool provides the precision higher than laser tool.Yet the focused ion beam tool is generally slow than laser tool.Bitmap editor device 1801 can receive the input (not shown) of expression user time and cost restriction, thereby allows bitmap editor device 1801 to optimize repair process technology according to these customer parameters.
In another embodiment more of the present invention, bitmap editor device 1801 can be used in to wafer fix tool (not shown) information is provided.Particularly, bitmap editor device 1801 can comprise and relatively repairs the program of mask to the relationship between efficiency of repairing wafer.In one embodiment, this program can (for example, SEM, focused ion beam) image transitions becomes optical imagery, so that further analyze with non-optical.
Batch processing
It is important, can carry out defect printablity analysis individual defect and a plurality of defective.In one embodiment, the instruments of inspection 502 can both automatically provide the output relevant with all defect of being found with wafer images generator 509 on physical mask.So Impact Report 516 can comprise the defective order of severity score to all defect.
In addition, if desired, Impact Report 516 can only be included in the score of the defective order of severity more than the certain numerical value above (being " 5 " at DSS for example).This succinct Impact Report also can offer bitmap editor device 1801 (with offering mask repair instrument 1803 then) successively.Therefore, can provide complete, a defects detection and a treatment for correcting technology automatically, thus the time (if suitably) that has reduced to analyze mask significantly and repaired mask.
OPC considers
Defect printablity analysis also can be got rid of the needs of estimating the OPC feature from further feature respectively.For example, assumed position can't influence the printing relevant with isolated feature near the defective of scattering strip.Yet, this defective can with the reciprocation of scattering strip generation light, thereby printing causes a part of scattering strip at least.Notice as previous, for example the OPC feature of scattering strip and so on.All be the feature of Subresolution, and should not print.
According to an embodiment, if owing to defective causes OPC feature printing (as being determined by the emulation mask), then defect analysis (step 830) can be pointed out this error (step 831) when definite CD changes.So by eliminating the design rule of any complexity relevant with the OPC feature, this embodiment guarantees to adopt rapidly, reliable and really accurate method is discerned the defective to the negative effect of OPC feature.
The mask quality problem
Except CD changes, the factor of other printablity, for example, line edge roughness also should be the factor that influences mask quality.Yet, the current line edge roughness that does not have significant method to measure the feature on the mask.
Figure 19 A illustrated simplification, dummy wafer image 1900, it has comprised two lines 1901 and 1902.Interested is that the line with line edge roughness can not need to present the variation of CD.For example, because line 1902 has the line edge roughness of symmetry in fact, so line 1902 can not have tangible CD to change.Yet line 1901 and 1902 all should have the feature that presents line edge roughness.
With reference to figure 19B, can analyze the edge of simulated line respectively, thereby allow the correct measurement line edge roughness.Particularly, use line 1902 as an example, can determine the center line 1903 of line 1902 according to reference mask 501B (see figure 5).Then, produce a plurality of theoretic secants (indicating) on online 1902 by line 1904.Each line 1904 has comprised two " reinforcements ", and it extends from the edge relatively of center alignment line.For example, reinforcement 1904R extends from the right hand edge of center line 1903 to line 1902, and reinforcement 1904L extends from the left hand edge of center line 1903 to line 1902.Equal the CD of line 1902 when it should be noted that reinforcement 1904R and 1904L addition.
As a feature of the present invention, can independent measurement in the length of the reinforcement on center line 1903 both sides.So, just can be to definite exactly line edge roughness of line 1902.In one embodiment, defect printablity analysis generator 515 can adopt with reference to figure 8A to 8C the formula explained in detail calculate the DSS of LER, but revise these formula with the length replacement CD of reinforcement.Because all lines all have some LER inevitably, so defect printablity analysis generator 515 can comprise the question blank of indication LER threshold value.If detect unacceptable line edge roughness (LER), then the LER that defect printablity analysis generator 515 just can index line 1902 is as " defective " listed in Impact Report.So, just can repair LER to be similar to reference to the aforesaid way of Figure 18.
The method of use center line and reinforcement can advantageously be applied to the further feature of mask.For example, because diffraction, even make the great majority on the mask perfectly be printed on the wafer as circle or approximate circle contacts.Use is applicable to that high power electronic bundle (e-bundle) offset printing of printing contact on wafer can reduce this diffraction.Yet a branch of offset printing of e is more more expensive and slower than the laser raster scan of industrial standard.Unfortunately, use raster scan to make many on layout, but must all contacts do not become fillet.Can detect this class fillet effectively, following described in detail.
Figure 20 A has illustrated contact 2000, and this contact has center line 2001, line 2002 and line 2003, wherein, line 2002 comprises reinforcement 2002TR (upper right), 2002BR (bottom right), 2002TL (upper left) and 2002BL (lower-left), and line 2003 comprises reinforcement 2003R (right side) and 2003L (left side).
According to a feature of the present invention, can make the interval of the horizontal secant on many radical theories that contact 2000 is made unequal, thereby provide the more data point for the feature particular components.
In this example, just interested in especially the fillet of contact.Therefore, the interval of revising secant guarantees that the data point of sufficient amount analyzes the fillet of contact especially.So in Figure 20 A, line 2002 has the interval closeer than line 2003.Can be by the fillet that relatively comes to determine contact 2000 with the length of the reinforcement 2003BL of the length of the reinforcement 2003TL in the length of reinforcement 2003L and the upper left corner and upper left inferior horn.In the same way, carry out the comparison of length of the reinforcement 2003BR in the length of reinforcement 2003TR in the length of reinforcement 2003R and the upper right corner and the lower right corner.It should be noted that can have several well-known methods assess the effect of fillet (for example, the zone that loses, normally apart from organization chart, or the like).
In some cases, the performance issue relevant with the contact can comprise whether as one man constituted symmetrical contact shape on wafer.Advantageously, except line edge roughness, the symmetry of contact also can be determined.For example, reinforcement 2002TL, the length of 2003L and 2002BL and reinforcement 2002TR, the length of 2003R and 2002BR can compare, to determine the horizontal symmetry of contact 2000 decentre lines 2001.The similar processing that the vertical symmetry of contact 2000 also can be used the vertical secant shown in Figure 20 B and then carry out the reinforcement comparison is determined.The global symmetry of contact 2000 (promptly, " square ") can be (for example by selected combined horizontal reinforcement, the addition length of a reinforcement 2002TL and a reinforcement 2002TR, that is, CD) with selected combination vertical reinforcement relatively come to determine.
In one embodiment, defect printablity analysis generator 515 can by use with reference to figure 8A to 8C institute in detail the formula of explanation calculate symmetric DSS, still the length replacement CD of reinforcement need be revised these formula.Because all contacts can exist some asymmetry with all being difficult to avoid, so defect printablity analysis generator 515 can comprise the question blank of indication asymmetry threshold value.If detect unacceptable symmetry, then defect printablity analysis generator 515 just can indicate this contact/via as " defective " listed in Impact Report 516.So, adopt to be similar to and repair this symmetry with reference to the said method of Figure 18.
It should be noted that provides some structure on layout, for example, tup and serif (outer and inner angle) are so that transfer to the line on the mask on the wafer exactly.These structures although can not be independent of line printing, can influence the variation of these lines CD on wafer.Therefore, since any variation of these structures of causing of mask to print also can influence negatively with wafer on the printing of line of these structurally associateds.The CD that has the relevant line of dependency structure by inspection changes and fillet, also can analyze the quality of these structures effectively.
Other embodiment
More than adopt various embodiment to describe defect printablity analysis, defective order of severity score and mask quality assessment.Obviously.The professional and technical personnel can understand in the industry, and these embodiment can change and improve.For example, as mentioned above, check physical mask and pairing zero defect reference picture.In one embodiment, as mentioned above, the zero defect reference picture is the emulating image of physical mask layout.In another embodiment, the zero defect reference picture is the area free from defect with physical mask of identical figure.In also having an embodiment, the zero defect reference picture is an emulating image of making the mask of processing.In also having an embodiment, the zero defect reference picture is the physical mask image, can be used as the compensation of adopting microscope (lens) effect.
In the mask-making technology of standard, for example, as shown in Figure 1, above-mentioned defect printablity/mask quality analysis can be included in the mask inspection step 116.In addition, the aforementioned mask quality analysis is equally applicable to wafer repair process technology.For example, in step 124, determine not to be to carry out mask repair step 128 and 130, and can to increase the treatment process steps of repairing wafer after the check failure to wafer.In another embodiment again, except defective order of severity score, Impact Report 516 (Fig. 5) can comprise other performance output, for example, cross section outline line, brightness data, in the various critical dimensions that defocus under the situation, and the transmission of phase data that comprise the critical dimension effect.
1. one kind is applicable to the method for determining the edge roughness of feature in the mask, it is characterized in that described method comprises:
Determine the center line of feature according to the expression of mask;
Measurement is from first length of first reinforcement of an edge extension of center alignment feature;
Measurement is from second length of second reinforcement of an edge extension of center alignment feature; And Relatively first and second length are determined the roughness at edge.
2. method as claimed in claim 1 is characterized in that described expression comprises the layout of mask.
3. method as claimed in claim 1 is characterized in that described expression comprises one deck of integrated circuit Layout.
4. method as claimed in claim 1 is characterized in that described feature is line.
5. method as claimed in claim 1 is characterized in that described feature is the contact.
6. method of repairing mask, described method comprises:
Determine the edge roughness of the feature on the mask, it is characterized in that, if edge roughness is predetermined Outside the numerical value, then repair mask with the offset printing instrument.
7. method as claimed in claim 6 is characterized in that predetermined value is selected by the user.
8. method as claimed in claim 6 is characterized in that, determines that edge roughness comprises according to feature Zero defect represent to determine the center line of feature.
9. method as claimed in claim 6 is characterized in that, feature comprises at least one in line and the contact Individual.
10. a method of repairing wafer is characterized in that, described method comprises:
Determine the edge roughness of the feature on wafer,
Wherein, if edge roughness outside predetermined value, is then repaired wafer with the offset printing instrument.
11. method is characterized in that as claimed in claim 10, predetermined value is selected by the user.
12. method is characterized in that as claimed in claim 10, determines that edge roughness comprises according to the spy The zero defect of levying represents to determine the center line of feature.
13. method is characterized in that as claimed in claim 10, feature comprises in line and the contact at least One.
14. a method of determining the fillet of the contact in the offset printing mask, described method comprises:
Determine the center line of contact at first direction;
Provide by the secant on many radical theories of contact in the second direction that is basically perpendicular to first direction; It is characterized in that each secant all provides the reinforcement that extends to contact edges from center line; And
More at least two reinforcements, to determine fillet, a reinforcement is positioned near the angle of contact, and in addition One reinforcement is not positioned near the angle of contact.
15. a symmetric method of determining the contact on the offset printing mask, described method comprises:
Determine first center line of contact at first direction;
Provide by the secant on many radical theories of contact in the second direction that is basically perpendicular to first direction; It is characterized in that each root secant provides first of second edge that extends to the contact from first edge of contact Critical dimension;
Determine the center line of contact in second direction;
Provide a plurality of theoretic secant by the contact at the first direction that is basically perpendicular to first direction; It is characterized in that each root secant provides second of the 4th edge that extends to the contact from the 3rd edge of contact Critical dimension; And
Relatively first and second critical dimensions are determined the symmetry of contact.
16. a method of repairing mask is characterized in that, described method comprises:
Determine any fillet of wafer upper contact,
Wherein, if edge roughness is outside predetermined value, then repair crystalline substance with the offset printing instrument Sheet.
17. method is characterized in that as claimed in claim 16, predetermined value is selected by the user.
18. method is characterized in that as claimed in claim 16, determines that edge roughness comprises according to the spy The zero defect of levying represents to determine the center line of feature.
19. an integrated circuit is characterized in that it comprises:
The feature of a plurality of execution functions; And
The feature of at least one reparation, wherein, the feature of at least one reparation is from the automatic defect order of severity The evaluation score produce.
20. integrated circuit is characterized in that as claimed in claim 19, the feature bag of at least one reparation Vinculum.
21. integrated circuit is characterized in that as claimed in claim 19, the feature bag of at least one reparation Draw together the contact.
22. integrated circuit is characterized in that as claimed in claim 19, the feature bag of at least one reparation Draw together the OPC feature.
23. integrated circuit is characterized in that as claimed in claim 19, the feature bag of at least one reparation Draw together tup, serif and oblique line.
24. a mask inspection system, described system comprises:
Device for generation of the dummy wafer image of the feature on the mask;
Be used for the device that zero defect according to feature represents to determine the center line of dummy wafer image; And
Be used for measuring according to center line the device of an aspect of dummy wafer image.
25. system is characterized in that as claimed in claim 24, described aspect comprises line edge roughness.
26. system also further comprises for estimating according to line edge roughness as claimed in claim 25 The device that may repair that meter carries out mask.
27. system is characterized in that as claimed in claim 26, also further comprises the mask repair instrument, It receives the signal from the device of estimating to repair.
28. system is characterized in that as claimed in claim 25, described aspect comprises fillet.
29. system is characterized in that as claimed in claim 28, also further comprises for according to fillet Estimate the device that may repair that mask is carried out.
30. system is characterized in that as claimed in claim 29, also further comprises the mask repair instrument, It receives the signal from the device of estimating to repair.
31. a checking system that is used for analyzing the feature on the mask, described system comprises:
Device for generation of the dummy wafer image of feature;
Be used for the device that zero defect according to feature represents to determine the center line of dummy wafer image; And
Be used for determining whether feature can be by the device of preassigned.
32. system is characterized in that as claimed in claim 31, preassigned comprises the dummy wafer image Line edge roughness.
33. system is characterized in that as claimed in claim 32, also further comprises for according to the line limit Edge roughness is estimated the device that may repair that mask is carried out.
34. system is characterized in that as claimed in claim 33, also further comprises the mask repair instrument, It receives the signal from the device of estimating to repair.
35. system is characterized in that as claimed in claim 31, preassigned comprises the dummy wafer image Symmetry.
36. system is characterized in that as claimed in claim 35, symmetry indication dummy wafer image Fillet.
37. system is characterized in that as claimed in claim 36, also further comprises for according to emulation The fillet of wafer images is estimated the device that may repair that mask is carried out.
38. system is characterized in that as claimed in claim 37, also further comprises the mask repair instrument, It receives the signal from the device of estimating to repair.
39. the quality to the feature on the mask is carried out quantitative method, described method comprises:
(a) determine the center line of feature;
(b) measure first length that extends to first reinforcement at an one edge from the center line of feature;
(c) measure second length that extends to second reinforcement at an one edge from the center line of feature;
(d) compare first and second length;
(e) continue step (b), (c) and (d) repeatedly; And
(f) according to step (a)-(e), the quality score of calculated characteristics.
40. a physical mask, described mask comprises:
The analysis of carrying out according to the center line to the dummy wafer image of feature improves at least one feature, should Center line is that the zero defect by feature represents determined; And
According to the analysis that center line is carried out, at least one feature is not changed.
41. mask is characterized in that as claimed in claim 40, the zero defect of feature represents to comprise reference Mask, this reference mask is corresponding to the zero defect physical mask.
42. be used for the computer software of the edge roughness of definite mask feature, described software comprises:
Be used for determining according to the expression of mask the means of the center line of feature;
Be used for to measure the hand of first length that extends to first reinforcement at an edge from the center line of feature Section apparatus;
Be used for to measure the hand of second length that extends to second reinforcement at an edge from the center line of feature Section apparatus; And
Be used for comparing the means that first and second length are determined edge roughness.
43. for the computer software of determining at the contact of offset printing mask fillet, described software comprises:
Be used for determining at first direction the means of the center line of contact;
For the many radical theories secant that provides in basic vertical second direction as for first direction by the contact Means, it is characterized in that each root secant provides the reinforcement that extends to an edge from the center line of contact Muscle; And
Be used for more at least two reinforcements and determine the means of fillet, the angle that reinforcement is positioned at the contact is attached Closely, another reinforcement then is not positioned near the angle.
44. be used for determining to it is characterized in that at the symmetric computer software in the contact of offset printing mask described software comprises:
Be used for determining at first direction the means of first center line of contact;
For the many radical theories secant that provides in basic vertical second direction as for first direction by the contact Means, wherein, each root secant provides and has extended to the first critical of second edge from first edge of contact Size;
Be used for determining in second direction the center line of contact;
For the many radical theories secant that provides at basic vertical first direction as for first direction by the contact Means, wherein, each secant provides and has extended to the second critical of the 4th edge from the 3rd edge of contact Size; And
Be used for comparing the symmetric means that first and second critical dimensions are determined the contact.
Therefore, the present invention only is subjected to the restriction of claims.

Claims (65)

1. the method that the printablity analysis that is applicable to the physical mask defective is provided is characterized in that, described method comprises:
Produce the dummy wafer image of described physical mask;
Produce the dummy wafer image of reference mask, described reference mask is the zero defect physical mask;
Discern first feature of the defective on the dummy wafer image that approaches physical mask;
Identification reference mask the dummy wafer image on second feature, this second feature on the position corresponding to first feature; And
Calculating comprises the critical dimension variations of first and second features, so that described printablity analysis to be provided.
2. method according to claim 1 is characterized in that, described calculating comprises first critical dimension of determining first feature and second critical dimension of second feature.
3. as method as described in the claim 2, it is characterized in that described calculating also comprises the relative critical dimension variations that calculates first and second features.
4. as method as described in the claim 3, it is characterized in that, calculate relative critical dimension variations and comprise from described first critical dimension and deduct described second critical dimension, and with its end value divided by described second critical dimension.
5. as method as described in the claim 3, it is characterized in that, also further comprise:
Discern more than first feature of the defective of the dummy wafer image that approaches physical mask;
Discern more than second feature on the dummy wafer image of described reference mask, this more than second feature on the position corresponding to more than first feature; And
Calculating is applicable to a plurality of relative critical dimension variations of more than first and second feature.
6. as method as described in the claim 5, it is characterized in that, also further comprise: determine deviation maximum in a plurality of relative critical dimension variations, thereby maximum critical dimension variations is provided.
7. method according to claim 1 is characterized in that, also further comprises:
Be identified in the 3rd zero defect feature on the dummy wafer image of physical mask;
Discern the 4th feature on the dummy wafer image of described reference mask, the 4th feature on the position corresponding to described the 3rd feature; And
Calculating comprises the critical dimension of described third and fourth feature.
8. as method as described in the claim 7, it is characterized in that described third and fourth feature of described calculating comprises first critical dimension of determining the 3rd feature and second critical dimension of the 4th feature.
9. as method as described in the claim 8, it is characterized in that described calculating also comprises calculates the critical dimension variations that is applicable to first and second features.
10. as method as described in the claim 9, it is characterized in that, calculate described critical dimension variations and comprise and from described second critical dimension, deduct described first critical dimension, and with its result divided by second critical dimension.
11. method as claimed in claim 9 is characterized in that, also further comprises: calculate the critical dimension variations of N zero defect feature of the dummy wafer image that is applicable to physical mask, wherein N is equal to or greater than 2 integer.
12., it is characterized in that as method as described in the claim 11, add the critical dimension variations that is applicable to each zero defect feature, and with its result divided by N, thereby the average cd deviation is provided.
13. the method that the printablity analysis that is applicable to the defective on the physical mask is provided is characterized in that, described method comprises:
Produce the dummy wafer image of physical mask;
Produce the dummy wafer image of reference mask, described reference mask is the zero defect physical mask; And
With the dummy wafer image of described physical mask and reference mask, calculate the average cd deviation of the area free from defect of described physical mask;
With the dummy wafer image of described physical mask and reference mask, calculate the maximum critical dimension variations of the area free from defect of described physical mask;
Adopt described average cd deviation and described maximum critical dimension variations, described printablity analysis is provided.
14. as method as described in the claim 13, it is characterized in that, also further comprise: the score of determining the defective order of severity according to described employing step.
15. method as claimed in claim 13 is characterized in that, it also comprises the franchise of determining cd variations.
16. method as claimed in claim 15 is characterized in that, described employing comprises that the franchise that adopts cd variations provides described printablity analysis.
17. method as claimed in claim 15 is characterized in that, described employing comprises the exposure frequency of determining analysis.
18. the method that the printablity analysis that is applicable to the defective on the physical mask is provided is characterized in that, described method comprises:
Produce the dummy wafer image of described physical mask;
Discern first feature on the dummy wafer image that is subjected to described defective effect;
Discern second feature on the dummy wafer image that is not subjected to defective effect, wherein, under the condition that does not have defective, described first and second features have basic identical critical dimension; And
With the dummy wafer image of described physical mask and reference mask, calculate first critical dimension variations of the area free from defect of described physical mask;
With the dummy wafer image of described physical mask and reference mask, calculate second critical dimension variations of the area free from defect of described physical mask;
Adopt described first critical dimension variations and described second critical dimension variations, described printablity analysis is provided.
19. method as claimed in claim 18 is characterized in that, it also comprises the franchise of determining cd variations.
20. method as claimed in claim 19 is characterized in that, described employing comprises that the franchise that adopts cd variations provides described printablity analysis.
21. method as claimed in claim 19 is characterized in that, described employing comprises the exposure frequency of determining analysis.
22. a method of making physical mask is characterized in that, described method comprises:
Designing integrated circuit;
Establishment is applicable to the mask design data of integrated circuit one deck;
Manufacturing meets the physical mask of mask design data;
Check described physical mask according to the dummy wafer image of physical mask and the dummy wafer image of reference mask, wherein, described reference mask is flawless physical mask, and wherein, described check comprises:
With the dummy wafer image of described physical mask and reference mask, calculate the average cd deviation of the area free from defect of described physical mask;
With the dummy wafer image of described physical mask and reference mask, calculate the maximum critical dimension variations of the area free from defect of described physical mask;
Adopt described average cd deviation and maximum second critical dimension variations, described printablity analysis is provided; And
According to described printablity analysis, determine that whether described physical mask is by check.
23. method as claimed in claim 22 is characterized in that, described check comprises:
Adopt the franchise of cd variations that printablity analysis is provided.
24. method as claimed in claim 23 is characterized in that, also further comprises: the score of determining the defective order of severity according to the franchise that adopts described average cd deviation, described maximum critical dimension variations and cd variations.
25. method as claimed in claim 22 is characterized in that, described employing comprises the exposure frequency of determining analysis.
26. method as claimed in claim 25 is characterized in that, described printablity analysis comprises the defective order of severity score of single exposure at least.
27. method as claimed in claim 25 is characterized in that, described printablity analysis comprises the defective order of severity score of multiexposure, multiple exposure.
28. a generation is applicable to the method for the defective order of severity score of the defective on the mask, it is characterized in that described method comprises:
Bidimensional analysis to the defective and first feature of mask is provided, and described first feature and described defective are approaching;
First wafer images of described mask is provided; And
The defect analysis of second feature of wafer images is provided, and described second feature corresponding to first feature of emulation, wherein, provides defect analysis to comprise according to described first feature and second feature on the position, calculates each critical dimension variations.
29. as method as described in the claim 28, it is characterized in that, also further comprise:
The 3rd feature of the zero defect reference picture of identification mask, described the 3rd character representation first feature;
Second wafer images of described reference picture is provided, and described second wafer images comprises the 4th feature, and described the 4th feature is corresponding to the 3rd feature in emulation; And
Defect analysis to the 4th feature is provided, wherein, provides defect analysis to comprise, calculate each critical dimension variations according to the first, second, third and the 4th feature.
30. method as claimed in claim 29 is characterized in that, the critical dimension that the defect analysis of the second and the 4th feature is comprised comparison second and the 4th feature is provided.
31. method as claimed in claim 30 is characterized in that, provides defect analysis further to comprise to determine the variation of the critical dimension under the difference exposure.
32. method as claimed in claim 31 is characterized in that, provides defect analysis further to comprise the variation of determining the maximum critical dimension under various exposures.
33. method as claimed in claim 32 is characterized in that, provides defect analysis further to comprise the variation of calculating the maximum relatively critical dimension under the various exposures.
34. method as claimed in claim 29 also further comprises: be provided at the correction between first and second wafer images.
35. a system that is applicable to the defective of analysis on physical mask is characterized in that, described system comprises:
Be applicable to from described physical mask and produce mask images and produce the instruments of inspection of reference picture from reference mask;
Be applicable to that emulation is from the steeper mask images of mask images with from the wafer images generator of the steeper reference picture of reference picture; And
Be applicable to the defect printablity analysis generator of comparison steeper mask images and steeper reference picture, wherein, described defect printablity analysis generator adopts described steeper mask images and described steeper reference picture, determine the average cd deviation of the area free from defect on the described physical mask, wherein, described defect printablity analysis generator adopts steeper mask images and steeper reference picture to determine the maximum critical dimension variations of defect area on the described physical mask, and wherein, described defect printablity analysis generator adopts described average cd deviation and described maximum critical dimension variations that the printablity analysis of described defective is provided.
36. system as claimed in claim 35, it is characterized in that, also further comprise: determine that defective is arranged in the critical zone of physical mask if critical zone identification generator is applicable to, then described critical zone identification generator provides output to described defect printablity analysis generator.
37. system as claimed in claim 35 is characterized in that, also further comprises the bitmap editor device, is applicable to the data of reception from the defect printablity analysis generator, and the suggestion of repair-deficiency is provided.
38. system as claimed in claim 37 is characterized in that, also further comprises: be applicable to the mask repair instrument that responds the reparation of advising.
39. system as claimed in claim 38 is characterized in that, described system automatically analyzes described defective, and the reparation advised from dynamic response of described mask repair instrument.
40. system as claimed in claim 35 is characterized in that, the automatic analyzing defect of described system, and described defect printablity analysis generator provides the order of severity that is applicable to described defective score automatically.
41. a system that is applicable to the defective order of severity score that produces the defective on the physical mask is characterized in that described system comprises:
Be used to produce the device of second image of first image of the feature on the physical mask that approaches described defective and the feature on the described reference mask;
The device that is used for second wafer images of first wafer images of described first image of emulation and described second image; And
Be used for producing the device of defective order of severity score according to described first and second wafer images, wherein, described generation device adopts described first and second wafer images, determine the average cd deviation of area free from defect on the described physical mask, wherein, described generation device adopts described first and second wafer images, determine the maximum critical dimension variations of the defect area on the described physical mask, and wherein, described generation device adopts described average cd deviation and described maximum critical dimension variations that described defective order of severity score is provided.
42. system as claimed in claim 41 is characterized in that, also further comprises: be applicable to the whether device in the critical zone of defect recognition, wherein, this recognition device provides data to the device that produces defective order of severity score.
43. system as claimed in claim 41 is characterized in that, the device that is used for emulation comprises the device that is applicable to a plurality of offset printing conditions of response.
44. a physical mask is characterized in that it comprises:
At least one defective, described at least one defective is to be modified according to the first average cd deviation and the first maximum critical dimension variations that obtain by the analysis to the dummy wafer image of the dummy wafer image of described physical mask and a reference mask, and described reference mask is the zero defect physical mask.
45. a physical mask is characterized in that it comprises:
At least one scrambling, described at least one scrambling is to be modified according to the first average cd deviation and the first maximum critical dimension variations that obtain by the analysis to the dummy wafer image of the dummy wafer image of physical mask and reference mask, and described reference mask is the zero defect physical mask.
46. physical mask as claimed in claim 45, it is characterized in that, it also comprises: at least one scrambling, wherein, do not revise this at least one scrambling according to the second average cd deviation and the second maximum critical dimension variations that obtain by analysis to the dummy wafer image of described physical mask and described reference mask.
47. physical mask as claimed in claim 45, it is characterized in that, it also comprises at least one feature, wherein, do not revise this at least one feature according to the second average cd deviation and the second maximum critical dimension variations that obtain by comparison to the dummy wafer image of described physical mask and described reference mask.
48. a physical mask is characterized in that it comprises:
At least one feature, described at least one feature is to be modified according to the first average cd deviation and the first maximum critical dimension variations that obtain by the comparison to the dummy wafer image of the dummy wafer image of physical mask and reference mask, and described reference mask is the zero defect physical mask.
49. an integrated circuit that uses physical mask to make is characterized in that it comprises:
At least one feature, described at least one feature is to be modified according to the first average cd deviation and the first maximum critical dimension variations that obtain by the comparison to the dummy wafer image of the dummy wafer image of physical mask and reference mask, and described reference mask is the zero defect physical mask.
50. physical mask as claimed in claim 49; it is characterized in that; described physical mask also comprises at least one feature; wherein, do not revise this at least one feature according to the second average cd deviation and the second maximum critical dimension variations that obtain by comparison to the dummy wafer image of described physical mask and described reference mask.
51. a method of using physical mask to make integrated circuit, described method comprises:
Produce the dummy wafer image of described physical mask;
Produce the dummy wafer image of reference mask, described reference mask is the zero defect physical mask; And
Adopt the dummy wafer image of described physical mask and reference mask, calculate the average cd deviation of described physical mask area free from defect, adopt the dummy wafer image of described physical mask and reference mask, calculate the maximum critical dimension variations of described physical mask defect area; Adopt described average cd deviation and maximum critical dimension variations to determine whether repairing described physical mask; And
Adopt described physical mask to make described integrated circuit.
52. a method of checking physical mask, described physical mask comprises defective, it is characterized in that, described method comprises the following steps:
Produce the dummy wafer image of described physical mask;
Produce the dummy wafer image of reference mask, described reference mask is the zero defect physical mask;
Adopt the dummy wafer image of described physics and reference mask, calculate the average cd deviation of described physical mask area free from defect;
Adopt the dummy wafer image of described physical mask and reference mask, calculate the maximum critical dimension variations of described physical mask defect area; And
Adopt described average cd deviation and maximum critical dimension variations that the printablity analysis of described defective is provided.
53. method as claimed in claim 52 is characterized in that, the described score that produces the defective order of severity that relatively comprises.
54. method as claimed in claim 52 is characterized in that, also further comprises: the information of relevant defective is passed to the mask repair instrument.
55. method as claimed in claim 53 is characterized in that, also further comprises: the score of the described defective order of severity is passed to the mask repair instrument.
56. method as claimed in claim 52 is characterized in that, it is one of following that described reference mask comprises: the emulating image of the layout of described physical mask; The area free from defect of described physical mask, the figure that it has substantially with comprise the regional identical of described defective; And the analogous diagram of the described physical mask when handling described physical mask in the mill.
57. method as claimed in claim 52 is characterized in that, the picture distortion that the emulating image compensation of the described physical mask that is produced is produced during IMAQ.
58. a physical mask is characterized in that it comprises:
At least one defective, wherein according to the first average cd deviation and the first maximum critical dimension variations that obtain by analysis to the dummy wafer image of the dummy wafer image of described physical mask and a reference mask, do not revise described at least one defective, and described reference mask is the zero defect physical mask.
59. physical mask as claimed in claim 58, it is characterized in that, it also comprises at least one defective, according to the second average cd deviation and the second maximum critical dimension variations that obtain by analysis, revise this at least one defective to the dummy wafer image of described physical mask and described reference mask.
60. physical mask as claimed in claim 59 is characterized in that, it also comprises:
At least one scrambling wherein according to the second average cd deviation and the second maximum critical dimension variations that obtain by the analysis to the dummy wafer image of described physical mask and described reference mask, is revised this at least one scrambling.
61. a physical mask is characterized in that it comprises:
At least one scrambling, wherein according to the first average cd deviation and the first maximum critical dimension variations that obtain by analysis to the dummy wafer image of the dummy wafer image of described physical mask and reference mask, do not revise described at least one scrambling, and described reference mask is the zero defect physical mask.
62. a physical mask is characterized in that it comprises:
At least one feature, wherein according to the first average cd deviation and the first maximum critical dimension variations that obtain by comparison to the dummy wafer image of the dummy wafer image of described physical mask and a reference mask, do not revise described at least one feature, and described reference mask is the zero defect physical mask.
63. physical mask as claimed in claim 62, it is characterized in that, it also comprises at least one feature, wherein, revise this at least one feature according to the second average cd deviation and the second maximum critical dimension variations that obtain by comparison to the dummy wafer image of described physical mask and described reference mask.
64. an integrated circuit that adopts physical mask to make is characterized in that it comprises:
At least one feature, wherein according to the first average cd deviation and the first maximum critical dimension variations that obtain by comparison to the dummy wafer image of the dummy wafer image of described physical mask and a reference mask, do not revise described at least one feature, and described reference mask is the zero defect physical mask.
65. as the described physical mask of claim 64; it is characterized in that; described physical mask also comprises at least one feature; wherein, revise this at least one feature according to the second average cd deviation and the second maximum critical dimension variations that obtain by comparison to the dummy wafer image of described physical mask and described reference mask.
CNB028069951A 2001-03-20 2002-02-28 System and method of providing mask defect printablity analysis Expired - Lifetime CN1290168C (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/814,025 US6925202B2 (en) 2001-03-20 2001-03-20 System and method of providing mask quality control
US09/814,023 2001-03-20
US09/814,023 US6873720B2 (en) 2001-03-20 2001-03-20 System and method of providing mask defect printability analysis
US09/814,025 2001-03-20

Publications (2)

Publication Number Publication Date
CN1498418A CN1498418A (en) 2004-05-19
CN1290168C true CN1290168C (en) 2006-12-13

Family

ID=27123806

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028069951A Expired - Lifetime CN1290168C (en) 2001-03-20 2002-02-28 System and method of providing mask defect printablity analysis

Country Status (5)

Country Link
JP (1) JP4663214B2 (en)
KR (1) KR100610441B1 (en)
CN (1) CN1290168C (en)
AU (1) AU2002245560A1 (en)
WO (1) WO2002075793A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101241084B (en) * 2007-02-06 2011-05-25 台湾积体电路制造股份有限公司 Method and system for wafer inspection

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9002497B2 (en) * 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
DE10360536B4 (en) * 2003-09-30 2006-12-21 Infineon Technologies Ag Method for inspecting masks of a mask set for a multiple exposure
US8151220B2 (en) * 2003-12-04 2012-04-03 Kla-Tencor Technologies Corp. Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
US7646906B2 (en) * 2004-01-29 2010-01-12 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting defects in reticle design data
CN100428401C (en) * 2004-06-14 2008-10-22 中芯国际集成电路制造(上海)有限公司 Method and system for treating similarity of semiconductor device finished product ratio
CN100413018C (en) * 2004-06-14 2008-08-20 中芯国际集成电路制造(上海)有限公司 Method and system for treating identity of semiconductor device
JP4904034B2 (en) * 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション Method, system and carrier medium for evaluating reticle layout data
US7434195B2 (en) 2004-09-14 2008-10-07 Asml Masktools B.V. Method for performing full-chip manufacturing reliability checking and correction
US7729529B2 (en) 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
JP2006337668A (en) * 2005-06-01 2006-12-14 Toshiba Corp Method for manufacturing semiconductor device, and production program of layout pattern
CN101305320B (en) * 2005-09-09 2012-07-04 Asml荷兰有限公司 System and method for mask verification using an individual mask error model
JP4774917B2 (en) * 2005-10-27 2011-09-21 凸版印刷株式会社 Mask pattern inspection apparatus and inspection method
US20070177788A1 (en) * 2006-01-31 2007-08-02 David Liu System and method for detecting wafer failure in wet bench applications
US7596736B2 (en) * 2006-03-24 2009-09-29 International Business Machines Corporation Iterative process for identifying systematics in data
US7794903B2 (en) 2006-08-15 2010-09-14 Infineon Technologies Ag Metrology systems and methods for lithography processes
KR101133963B1 (en) * 2006-09-29 2012-04-05 캐논 머시너리 가부시키가이샤 Method of pickup and pickup apparatus
JP2009092954A (en) * 2007-10-09 2009-04-30 Toshiba Corp Pattern evaluation method
DE102007054994A1 (en) * 2007-11-17 2009-05-20 Carl Zeiss Sms Gmbh Method of repairing phase shift masks
NL1036189A1 (en) * 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
JP4942800B2 (en) 2009-08-18 2012-05-30 株式会社ニューフレアテクノロジー Inspection device
JP4918598B2 (en) * 2010-01-18 2012-04-18 株式会社ニューフレアテクノロジー Inspection apparatus and inspection method
US8196072B2 (en) * 2010-03-31 2012-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of patterning semiconductor device
US8234603B2 (en) * 2010-07-14 2012-07-31 International Business Machines Corporation Method for fast estimation of lithographic binding patterns in an integrated circuit layout
CN102053093A (en) * 2010-11-08 2011-05-11 北京大学深圳研究生院 Method for detecting surface defects of chip cut from wafer surface
CN102789133B (en) * 2011-05-16 2014-09-03 中芯国际集成电路制造(上海)有限公司 After develop inspection method
CN102902154A (en) * 2011-07-29 2013-01-30 上海华虹Nec电子有限公司 Modeling method for optical proximity correction process model
EP3105636B1 (en) 2014-02-12 2023-07-12 ASML Netherlands B.V. Method of optimizing a process window
SG11201610106SA (en) * 2014-06-10 2016-12-29 Asml Netherlands Bv Computational wafer inspection
WO2017171890A1 (en) * 2016-04-02 2017-10-05 Intel Corporation Systems, methods, and apparatuses for reducing opc model error via a machine learning algorithm
US10451563B2 (en) * 2017-02-21 2019-10-22 Kla-Tencor Corporation Inspection of photomasks by comparing two photomasks
DE102017203879B4 (en) * 2017-03-09 2023-06-07 Carl Zeiss Smt Gmbh Method for analyzing a defect site of a photolithographic mask
US10503078B2 (en) * 2017-09-01 2019-12-10 Kla-Tencor Corporation Criticality analysis augmented process window qualification sampling
CN108932922B (en) * 2018-07-03 2021-05-14 京东方科技集团股份有限公司 Device and method for testing repair capability

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4809341A (en) * 1986-07-18 1989-02-28 Fujitsu Limited Test method and apparatus for a reticle or mask pattern used in semiconductor device fabrication
US5029222A (en) * 1987-09-02 1991-07-02 Fujitsu Limited Photoelectron image projection apparatus
JPH04165353A (en) * 1990-10-30 1992-06-11 Oki Electric Ind Co Ltd Correction method of photo-mask
JPH0728226A (en) * 1993-04-30 1995-01-31 Internatl Business Mach Corp <Ibm> Equipment and method for measuring regional image
JP2776416B2 (en) * 1996-05-07 1998-07-16 日本電気株式会社 Reticle visual inspection device
US5795688A (en) * 1996-08-14 1998-08-18 Micron Technology, Inc. Process for detecting defects in photomasks through aerial image comparisons
JP3750270B2 (en) * 1997-04-21 2006-03-01 凸版印刷株式会社 Photomask defect analysis apparatus and defect analysis method
JP3750272B2 (en) * 1997-04-30 2006-03-01 凸版印刷株式会社 Photomask defect analysis apparatus, defect analysis method, and recording medium recording the defect analysis program
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US5965306A (en) * 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
US6614924B1 (en) * 1999-08-02 2003-09-02 Applied Materials, Inc. Adaptive mask technique for defect inspection
JP2001056306A (en) * 1999-08-19 2001-02-27 Jeol Ltd Sample surface inspecting device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101241084B (en) * 2007-02-06 2011-05-25 台湾积体电路制造股份有限公司 Method and system for wafer inspection

Also Published As

Publication number Publication date
JP4663214B2 (en) 2011-04-06
CN1498418A (en) 2004-05-19
AU2002245560A1 (en) 2002-10-03
KR100610441B1 (en) 2006-08-08
WO2002075793A2 (en) 2002-09-26
JP2005500671A (en) 2005-01-06
WO2002075793B1 (en) 2004-05-21
KR20040021591A (en) 2004-03-10
WO2002075793A3 (en) 2003-05-01

Similar Documents

Publication Publication Date Title
CN1290168C (en) System and method of providing mask defect printablity analysis
CN1261908C (en) Method and system for detecting defects on a printed circuit board
CN100345252C (en) Image formation state adjustment system, exposure method, exposure apparatus, program, and information recording medium
CN1218378C (en) Producing system of semiconductor storage and method for producing semiconductor storage
CN1323789C (en) Welding assessment
CN1816275A (en) Quality management system of print substrate
CN100346150C (en) Imaging characteristics measuring method, imaging characteristics adjusting method, exposure method and equipment, program and recording medium, and device producing method
CN1209683C (en) Photomask, method of producing photomask, and method of making pattern using photomask
CN1324526C (en) Adaptive scaling of video signals
CN1371027A (en) Format determing method, manufacture and regulation of projecting optical system, exposure equipment and its manufacture and computer system
CN1530755A (en) Photoetching apparatus and method for optimizing lighting light source by photoetching analog technology
CN1274839A (en) Lens evaluation method and device, optical unit and lens-adjusting method and device thereof
CN1841211A (en) Lithographic apparatus and device manufacturing method utilizing data filtering
CN1782662A (en) Spectrometric measuring instrument
CN1119975A (en) Optical processing method and apparatus for carrying out the same
CN1740934A (en) Quality control system for manufacturing industrial products
CN1547681A (en) Reticle and optical characteristic measuring method
CN1092166A (en) The method of inspection of eye-use lens and equipment
CN1846232A (en) Object posture estimation/correlation system using weight information
CN1947000A (en) Image checking method and apparatus
CN1412620A (en) Optical mask for focus monitor, monitoring method and device, and its manufacturing method
CN1910900A (en) Unauthorized copy preventing device and method thereof, and program
CN1819383A (en) Group-based BCU methods for on-line dynamical security assessments and energy margin calculations of practical power systems
CN1815426A (en) Display device including function to input information from screen by light
CN1252543C (en) Monitoring, exposure, etching method, mfg. method of semiconductor device and exposure machine

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: SINAOPSIS CO., LTD.

Free format text: FORMER OWNER: SINAOPSIS KG

Effective date: 20090410

Owner name: SINAOPSIS KG

Free format text: FORMER OWNER: DIGITAL TECHNOLOGY CO., LTD.

Effective date: 20090410

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20090410

Address after: California, USA

Patentee after: SYNOPSYS, Inc.

Address before: Delaware

Patentee before: Sin O Pucci J consolidated Holdings Ltd.

Effective date of registration: 20090410

Address after: Delaware

Patentee after: Sin O Pucci J consolidated Holdings Ltd.

Address before: California, USA

Patentee before: NUMERICAL TECHNOLOGIES, Inc.

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20061213