CN118043495A - 低温下的纳米石墨烯的沉积及处理 - Google Patents

低温下的纳米石墨烯的沉积及处理 Download PDF

Info

Publication number
CN118043495A
CN118043495A CN202280066670.6A CN202280066670A CN118043495A CN 118043495 A CN118043495 A CN 118043495A CN 202280066670 A CN202280066670 A CN 202280066670A CN 118043495 A CN118043495 A CN 118043495A
Authority
CN
China
Prior art keywords
nanographene
plasma
layer
metal layer
reaction chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280066670.6A
Other languages
English (en)
Inventor
卡希什·沙玛
巴德里·N·瓦拉达拉简
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN118043495A publication Critical patent/CN118043495A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/182Graphene
    • C01B32/184Preparation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Nanotechnology (AREA)
  • Electromagnetism (AREA)
  • Composite Materials (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

在与后段半导体处理兼容的温度下,将纳米石墨烯层沉积在半导体衬底的金属表面上。纳米石墨烯层通过在等于或小于约400℃的温度范围流动烃前体(例如具有烯烃或炔烃基团的烃前体)以吸附在例如钴、钌、或铜之类的金属表面上初始地沉积。纳米石墨烯层通过暴露于等离子体处理,以在金属表面上沉积并形成高质量的纳米石墨烯。该处理可以包括暴露于远程等离子体,例如远程惰性气体等离子体。

Description

低温下的纳米石墨烯的沉积及处理
技术领域
PCT申请表作为本申请的一部分与本说明书同时提交。在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
石墨烯是碳的同素异形体,其中原子以规则的六边形图案排列在单一原子片中。石墨烯因其高电导率、高导热率、良好的机械强度和韧性、光学透明性、以及高电子迁移率以及其他有利性能而吸引了许多领域和工业的兴趣。半导体工业对石墨烯的兴趣与日俱增。
这里提供的背景描述是为了总体呈现本公开的背景的目的。在此背景技术部分中描述的范围内的当前指定的发明人的工作以及在提交申请时不能确定为现有技术的说明书的各方面既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
此处公开一种沉积纳米石墨烯的方法。该方法包含:使一种或多种烃前体流入反应室以在等于或小于约400℃的温度吸附至衬底的金属层上,其中该金属层与吸附的该烃前体相互作用而在该金属层上产生纳米石墨烯层;及将该纳米石墨烯层暴露于等离子体以处理该衬底的该金属层上的该纳米石墨烯层。
在一些实现方案中,将该纳米石墨烯层暴露于等离子体包含::以产生自远程等离子体源的惰性气体等离子体来处理该纳米石墨烯层,以形成高质量的纳米石墨烯层。在一些实现方案中,该金属层包含铜、钌、镍、钼、钴、或其组合。在一些实现方案中,该金属层包含钴。在一些实现方案中,该一种或多种烃前体包含未经取代的烯烃、经取代的烯烃、芳香烃、未经取代的炔烃、或经取代的炔烃基团。在一些实现方案中,该一种或多种烃前体包含甲苯、苯、乙烯、丙烯、丁烯、戊烯、戊二烯、己烯、乙炔、丙炔、丁炔、或戊炔。在一些实现方案中,该一种或多种烃前体包含丙二烯(propadiene)、1,2-丙二烯(allene)、环丙烯、1,3-丁二烯、1,2-丁二烯、环丁烯、异戊二烯、戊间二烯、环己烯、二甲基丁二烯、1,5-己二烯、降冰片烯、或1,7-辛二烯。在一些实现方案中,使该一种或多种烃前体流入该反应室包含:使该一种或多种烃前体与氢-氦(H2-He)一起流入该反应室。在一些实现方案中,使该一种或多种烃前体流入该反应室包含:使该一种或多种烃前体与氧(O2)一起流入该反应室。在一些实现方案中,将该纳米石墨烯层暴露于等离子体包含:将该纳米石墨烯层暴露于远程氢-氦等离子体。在一些实现方案中,将该纳米石墨烯层暴露于等离子体包含:将该纳米石墨烯层暴露于远程氧-氦等离子体。在一些实现方案中,该金属层的厚度在与约/>之间。在一些实现方案中,该方法还包含:以等离子体预处理该金属层,以在使该一种或多种烃前体流入该反应室之前自该金属层还原金属氧化物。在一些实现方案中,该方法还包含:重复使该一种或多种烃前体流入该反应室与等离子体暴露的操作,以在该衬底的该金属层上形成期望厚度的纳米石墨烯层。
此处也提供一种沉积纳米石墨烯的方法。该方法包含:使含碳自由基流入反应室以将衬底的金属层暴露于该含碳自由基,其中该含碳自由基在该反应室上游的远程等离子体源之中由包含一种或多种烃前体的源气体产生,且其中该金属层与该含碳自由基相互作用以在该金属层上产生纳米石墨烯层;及将该纳米石墨烯层暴露于等离子体以处理该衬底的该金属层上的该纳米石墨烯层。
在一些实现方案中,将该纳米石墨烯层暴露于等离子体包含:以产生自远程等离子体源的惰性气体等离子体来处理该纳米石墨烯层,以形成高质量的纳米石墨烯层。在一些实现方案中,该源气体包含氢(H2)与该一种或多种烃前体的混合物,其中含碳自由基和氢自由基在该远程等离子体源中产生并流入该反应室。在一些实现方案中,该金属层包含铜、钌、镍、钼、钴、或其组合。在一些实现方案中,该金属层包含钴。在一些实现方案中,该一种或多种烃前体包含未经取代的烯烃、经取代的烯烃、芳香烃、未经取代的炔烃、或经取代的炔烃基团。在一些实现方案中,该一种或多种烃前体包含甲苯、苯、乙烯、丙烯、丁烯、戊烯、戊二烯、己烯、乙炔、丙炔、丁炔、或戊炔。在一些实现方案中,该一种或多种烃前体包含丙二烯(propadiene)、1,2-丙二烯(allene)、环丙烯、1,3-丁二烯、1,2-丁二烯、环丁烯、异戊二烯、戊间二烯、环己烯、二甲基丁二烯、1,5-己二烯、降冰片烯、或1,7-辛二烯。在一些实现方案中,将该纳米石墨烯层暴露于等离子体包含:将该纳米石墨烯层暴露于远程氢-氦等离子体或远程氧-氦等离子体。
附图说明
图1描绘了根据一些实现方案的示例衬底的横截面示意图,该衬底具有石墨烯沉积在其上的金属层。
图2描绘了根据一些实现方案的示例衬底的横截面示意图,该衬底具有在铜层上的钴层,纳米石墨烯沉积在该钴层上。
图3A描绘了一个图表,其显示了根据一些实现方案的示例非晶形碳层的拉曼光谱。
图3B显示了根据一些实现方案的金属层上的示例纳米石墨烯层的拉曼光谱的图表。
图3C显示了根据一些实现方案的金属层上的示例石墨烯层的拉曼光谱的图表。
图4A描绘了根据一些实现方案的用于沉积纳米石墨烯的沉积与处理工艺的示例方法的流程图。
图4B描绘了根据一些其他实现方案的用于沉积纳米石墨烯的沉积和处理工艺的示例方法的流程图。
图5A描绘了根据一些实现方案的用于沉积纳米石墨烯的示例方法的流程图。
图5B描绘了根据一些其他实现方案的用于沉积纳米石墨烯的示例方法的流程图。
图6描绘了根据一些实现方案的具有远程等离子体源的示例性等离子体处理装置的示意图。
图7显示根据一些实现方案的具有利用基座加热的反应室的示例性等离子体处理装置的示意图。
具体实施方式
在本公开内容中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”及”部分制造的集成电路”可互换使用。所属技术领域具有通常知识者将理解,术语“部分制造的集成电路”可以意指在集成电路制造的许多阶段中的任何一者期间的硅晶片。半导体设备工业中使用的晶片或衬底的直径通常为200mm、或300mm、或450mm。以下实施方式章节假定本公开内容在晶片上实施。然而,本公开内容不限于此。工件可以具有各种形状、尺寸、以及材料。除了半导体晶片之外,可以利用本公开内容的其他工件包括各种物品,例如印刷电路板等。
简介
在半导体应用中合成石墨烯膜的兴趣日益浓厚。然而,存在许多挑战关联于在半导体整合的合适条件下以足够的量生产石墨烯。由于生长具有最小缺陷的石墨烯的困难性,许多生产方法遭遇低表面覆盖率的问题。因此,生产大面积石墨烯膜的可扩展性代表一个特殊的问题,特别是在半导体晶片上的大面积石墨烯膜。此外,通常通过热化学气相沉积(CVD)来生长石墨烯膜。通常,热CVD方法通常适用于大面积、高质量石墨烯的合成。但是,石墨烯的热CVD通常在高温下执行,这不一定与半导体应用兼容。在这样的高温下,诸如半导体晶片上的半导体和金属的各种材料可能会受到物理损坏。
热CVD是沉积石墨烯的常用方法。热CVD工艺包括至少两个步骤:气态前体的活化和化学反应以在合适的衬底上形成稳定的固体膜。在热CVD中,气态前体的活化可通过热分解发生。在升高的温度下,烃前体热分解并吸附到衬底表面上。烃自由基具有化学反应性,并且可以与衬底表面相互作用。衬底表面可以是金属表面,其充当用于石墨烯的成核和生长的催化剂。不受任何理论的限制,催化金属表面可以使烃自由基脱氢,使得碳原子可以与其他碳原子键合,从而促进石墨烯的成核和生长。各种过渡金属(例如铜)已公认为是石墨烯成核和生长的催化剂。
烃物质的活化和石墨烯的生长可能取决于例如温度、以及石墨烯在其上生长的金属表面的因素。另外,石墨烯的生长可能取决于金属表面上的碳溶解度。碳溶解度在不形成分离相的情况下碳将溶解进固体材料(例如,金属)的程度。如果金属具有高碳溶解度,则碳更容易溶解在金属中并倾向于在金属表面上沉淀。由于金属表面上不可预测的分离碳的量以及多个成核位点,这通常会导致较不均匀的石墨烯层及更多的微结构缺陷。举例来说,镍衬底具有高的碳溶解度,且通常会导致多层低质量的石墨烯或无序碳。如果金属具有低碳溶解度,则碳较不易溶解于金属中,从而导致碳吸附原子在金属表面上的广泛表面迁移,以及最小扩散进入块材金属。由于更受控的生长,这通常导致更均匀的石墨烯层和更少的微结构缺陷。举例来说,铜衬底具有低碳溶解度,并导致高质量石墨烯之外延生长。高质量石墨烯可以生长为单层、双层、或少层石墨烯膜。
等离子体增强化学气相沉积(PECVD)是另一种沉积石墨烯的方法。尽管热CVD方法通过热分解而活化烃前体,但等离子体产生的激发电子会引起PECVD方法中烃前体的电离、激发、以及分解。等离子体可以原位形成或远程形成。通常,烃前体(例如,甲烷)在等离子体中活化,并且衬底暴露于等离子体中。可以使用射频(RF)等离子体源、微波(MW)等离子体源、表面波(SW)等离子体源、或远程等离子体源生成等离子体。举例来说,分子氢和甲烷气体可以引入反应室中,并且直接RF等离子体可点燃以促进石墨烯在衬底上的生长。使用PECVD,与热CVD方法相比,某些PECVD方法中的石墨烯生长可以在较低的温度下进行。此外,在某些PECVD方法中的石墨烯的生长可以在非金属衬底(例如介电材料)上达成。换句话说,基于等离子体的方法可以在不存在金属催化剂的情况下沉积石墨烯。基于等离子体的方法可以在较低的温度下且无需金属催化剂的帮助下沉积石墨烯。纳米石墨烯的沉积
石墨烯的特征是排列成呈六方晶格结构排列的二维片状的单层碳原子。单一单元的石墨烯称为纳米石墨烯。换句话说,纳米石墨烯是石墨烯碎片(fragment)。一般而言,此类石墨烯碎片或纳米石墨烯具有小于约100nm的直径,而石墨烯通常具有等于或大于约100nm的直径。纳米石墨烯已经引起了广泛的兴趣,并且可以根据特定的特性进行定制,包括电子、光学、以及磁性特性。例如,纳米石墨烯可以用作光电设备中的非线性光学材料,用作气体侦测器,以及用作钙钛矿太阳能电池中的导电层。
图1描绘了根据一些实现方案的示例衬底的横截面示意图,该衬底具有石墨烯沉积在其上的金属层。衬底100可以是任何晶片、半导体晶片、部分制造的集成电路、印刷电路板、显示屏幕、或其他合适的工件。在一些实现方案中,衬底100是诸如硅(Si)衬底的半导体衬底。衬底100可以包括具有暴露的金属表面的金属层101。如下所述,金属层101也可称为温度敏感下层。在一些实现方案中,金属层101可以包括任何合适的金属,例如过渡金属。例如,金属层101可以包括铜(Cu)。可以在金属层101上沉积石墨烯膜105。
在金属层101上沉积石墨烯膜105通常在高温下完成。在一些情况下,石墨烯膜105可以在高于约800℃的温度下使用热CVD沉积在金属层101上。在一些情况下,石墨烯膜105可以在低于约800℃的温度下使用基于等离子体的工艺以沉积在金属层101上。对于在钴(Co)层上石墨烯膜105的沉积,使用热或等离子体基础的工艺的沉积温度通常已超过550℃。这样的温度与半导体处理不兼容,例如后段工艺(BEOL)半导体处理。
在本公开内容的一些实现方案中,衬底100可以包括温度敏感下层101。温度敏感下层101可以具有一温度敏感极限。高于温度敏感下层101的温度敏感极限,温度敏感下层101熔化或受到其他方式的物理损坏。对于温度敏感下层101的许多材料,温度敏感极限可以在大约400℃与大约700℃之间。一些热CVD方法和一些传统的基于等离子体的CVD方法可能超过温度敏感下层101的温度敏感极限。温度敏感下层101的示例可以包括诸如铜、钴、以及钌(Ru)之类的金属。
图2描绘了根据一些实现方案的示例衬底的横截面示意图,该衬底具有在一铜层上的钴层,纳米石墨烯沉积在该钴层上。衬底200可以是任何晶片、半导体晶片、部分制造的集成电路、印刷电路板、显示屏幕、或其他合适的工件。在一些实现方案中,衬底200是诸如硅衬底的半导体衬底。衬底200可以包括第一金属层201,第二金属层203沉积在其上。在一些实现方案中,第一金属层201包括铜。在一些实现方案中,第二金属层203包括钴。然而,应当理解,第二金属层203可以是任何合适的金属,其中示例金属可以包括但不限于铜、钴、钌、钼(Mo)、以及镍(Ni)。例如,可以在第二金属层203上沉积纳米石墨烯层205。
纳米石墨烯层205可以在低于约550℃的温度下沉积,例如低于约500℃、低于约450℃、低于约400℃、低于约350℃、或介于约200℃与约400℃之间的温度。纳米石墨烯层205可以使用多步工艺以沉积在第二金属层203之上,例如钴层之上,该多步骤工艺包括热沉积步骤及之后的等离子体处理步骤。纳米石墨烯层205的沉积发生在与半导体处理兼容的低温下,且特别是与BEOL半导体处理兼容。在第二金属层203(例如,钴层)是一温度敏感下层的情况下,纳米石墨烯层205在不会熔化或以其他方式物理损坏温度敏感下层的足够低的温度下沉积。
纳米石墨烯层205可以选择性地沉积在第二金属层203上。纳米石墨烯层205沉积在金属表面上但不沉积在暴露的介电质或非金属表面上。使用如本公开内容中所描述的热沉积步骤和等离子体处理步骤的纳米石墨烯层205的沉积可以在适用于半导体应用的低温下相对于其他非金属表面选择性地沉积高质量纳米石墨烯金属表面。高质量的纳米石墨烯可用于广泛的工业应用。
碳的同素异形体可以用sp2与sp3混成键的比例来表征。金刚石具有纯sp3键,且石墨/石墨烯具有纯sp2键。非晶形碳可以具有sp3对sp2混成键的若干比例,介于金刚石与石墨/石墨烯之间的量。与更有序的金刚石和石墨烯结构相比,非晶形碳通常包含一定程度的无序或非结晶度。
图3A描绘了一个图表,显示了根据一些实现方案的示例非晶形碳层的拉曼光谱。在拉曼光谱中,非晶形碳膜的特征可在于在大约1000cm-1与1700cm-1之间存在至少两个峰。特别是,非晶形碳膜的特征可在于存在于1580cm-1附近的G峰(G针对石墨)以及于1380cm-1附近的D峰(D针对无序)。无序碳或非晶形碳可以通过D峰的存在来强烈表征。随着无序度的增加,D峰的拉曼强度通常会增加。拉曼强度越高,缺陷数量越大。此类缺陷可能包括但不限于显示缺乏石墨烯的空格点,或以其他方式破坏石墨烯膜的无限平面结构的晶粒边界。G峰可能指示石墨结构或sp2杂化碳键的存在。在非晶形碳中的D峰和G峰通常是宽的而不是尖锐的。
图3B显示根据一些实现方案的金属层上的示例纳米石墨烯层的拉曼光谱的图表。纳米石墨烯层可以在拉曼光谱中通过在大约1000cm-1与1700cm-1之间的至少两个尖峰来表征。纳米石墨烯层的特征可在于存在于约1580cm-1处的G峰以及于约1380cm-1处的D峰。与典型的非晶形碳膜相比,纳米石墨烯层的特征可在于更尖锐的峰。尖锐的G峰代表纳米石墨烯层的结晶sp2杂化碳键。尖锐的D峰代表呈纳米石墨烯层中的小微晶尺寸或大量边缘的形式的无序。典型的非晶形碳膜可能具有一个宽峰,其为D峰和G峰的贡献。或者,典型的非晶形碳膜可能具有与图3B所示的纳米石墨烯层相比如图3A所示的更宽的D峰和G峰。与典型的非晶形碳层相比,低质量的纳米石墨烯层可能具有明显的D和G峰,而高质量的纳米石墨烯层可能比低质量的纳米石墨烯层具有更尖锐的D和G峰。换言之,低质量纳米石墨烯层可能具有
图3C显示根据一些实现方案的金属层上的示例石墨烯层的拉曼光谱的图表。石墨烯层可在拉曼光谱中通过介于约1000cm-1与3000cm-1之间的至少三个峰来表征。石墨烯层比纳米石墨烯层具有更少的缺陷及更高有序度。事实上,石墨烯层的特征是六方晶格中的单一二维碳原子片。石墨烯层可通过三个峰表征,包括于约1380cm-1的D峰、于约1580cm-1的G峰、以及于约2680cm-1的2D峰(二次D)。与纳米石墨烯层相比,石墨烯层的D峰的拉曼强度通常较低,这表明缺陷的去除和/或增加的微晶尺寸。此外,G峰的存在表示结晶sp2杂化碳键,且2D峰的存在表示更有序的石墨烯层或片。因此,与图3B的纳米石墨烯层相比,图3C的石墨烯层显示出缩减的D峰和出现的2D峰。
拉曼光谱分析也可用于确定石墨烯或纳米石墨烯层的数量。在一些实现方案中,2D峰的强度与G峰的强度的比例(I2D/IG)可以对应于石墨烯或纳米石墨烯层的数量。特别是,如果I2D/IG的比例大于2,则沉积的膜对应于单层石墨烯。如果I2D/IG的比例略大于1或略小于1,则沉积的膜可能分别对应于双层石墨烯或寡层石墨烯。
拉曼光谱分析也可用于确定在石墨烯或纳米石墨烯结构中的晶粒尺寸和晶体类型。在一些实现方案中,G峰强度对D峰强度的比例(IG/ID)可对应于晶粒尺寸。随着比例增加,这表明增加结晶晶粒尺寸。此外,随着比例降低,这表明增加数量的缺陷,其可能另外破坏石墨烯的平面结构。
在一些实现方案中,沉积在金属表面上的纳米石墨烯层具有等于或小于约5nm、等于或小于约3nm、等于或小于约1nm、或者等于或小于约0.5nm的厚度。纳米石墨烯层的厚度可取决于它沉积于其上的金属表面。例如,当沉积在铜上时,纳米石墨烯层可以是单层或几个单层厚,且因此厚度可以小于约1nm。在另一个示例中,纳米石墨烯层在沉积在诸如钴的其他金属上时可以是几纳米厚(例如,约2-3nm)。
在本公开内容中,采用热沉积和等离子体处理的两步骤工艺在低温下在衬底的金属层上沉积高质量的纳米石墨烯层。低温可认为是小于约500℃、等于或小于约400℃、或介于约200℃与约400℃之间。纳米石墨烯层通过引入具有烯烃或炔烃基团的烃前体以吸附在金属层上而最初沉积,其中金属层在约200℃与约400℃之间的温度下与吸附的烃前体相互作用而产生纳米石墨烯层。纳米石墨烯层通过例如远程等离子体的等离子体处理,以在金属层上形成高质量的纳米石墨烯。在一些情况下,金属层包括钴。
或者,采用在本公开内容的等离子体沉积与等离子体处理的两步骤工艺,在低温下将高质量的纳米石墨烯层沉积在金属层上。纳米石墨烯层通过在远程等离子体源中从具有烯烃或炔烃基团的烃前体产生含碳自由基,并将此含碳自由基流动到衬底的金属层,而最初地沉积。纳米石墨烯层可以在不流动含碳自由基的情况下通过远程等离子体以随后地处理以形成高质量的纳米石墨烯层。在一些实现方案中,金属层包括钴。
如此处所用,在文献中的术语“远程”通常是指衬底与等离子体的远离。如此处所使用的,“远程等离子体”是等离子体生成发生在远离衬底的位置处的等离子体。
图4A描绘了根据一些实现方案的用于在金属层上沉积纳米石墨烯的沉积与处理工艺的示例方法的流程图。工艺410可以说明热沉积与之后的等离子体处理的两步骤工艺。工艺410可在与BEOL半导体处理兼容的低温下执行,该低温可低于约500℃。在工艺410的框412,具有金属层的衬底被预处理。预处理可能涉及从衬底表面去除杂质和/或去除金属氧化物。在工艺410的框414,可以通过热沉积将纳米石墨烯沉积在金属层上。可将烃前体流向金属层,其中金属层用作使烃前体脱氢以在金属层上形成纳米石墨烯的催化剂。在框416,衬底暴露于等离子体以处理纳米石墨烯。该处理可以是提供能量以重新排列和重新排序纳米石墨烯的远程等离子体处理。在工艺410的框418,在金属层上的纳米石墨烯的等离子体处理之后,形成高质量的纳米石墨烯层。
图4B描绘了根据一些其他实现方案的用于在金属层上沉积纳米石墨烯的沉积和处理工艺的示例方法的流程图。工艺420可以说明等离子体沉积及接着的等离子体处理的两步骤工艺。工艺420可在与BEOL半导体处理兼容的低温下执行,该温度可低于约500℃。在工艺420的框422,具有金属层的衬底被预处理。此预处理可能涉及从衬底表面去除杂质和/或去除金属氧化物。在工艺420的框424,可以通过等离子体沉积将纳米石墨烯沉积在金属层上。含碳自由基可以由远程等离子体源中的烃前体的源气体产生。金属层可暴露于包含含碳自由基的远程等离子体,其中含碳自由基与金属层相互作用以在金属层上形成纳米石墨烯。在工艺420的框426,衬底暴露于没有含碳自由基的等离子体以处理纳米石墨烯。该处理可以是提供能量以重新排列和重新排序纳米石墨烯的远程等离子体处理。在工艺420的框428,在金属层上的纳米石墨烯的等离子体处理之后形成高质量的纳米石墨烯层。
图5A描绘了根据一些实现方案的用于在金属表面上沉积纳米石墨烯的示例方法的流程图。工艺510的操作可以不同的顺序和/或不同的、更少的或额外的操作来执行。工艺510的一个或多个操作可以使用图6或图7中所示的处理设备来执行。在一些实施方法中,工艺510的操作可以至少部分地根据存储在一个或多个非暂时性计算机可读介质的软件实现。
在框512或工艺510,在沉积纳米石墨烯之前选用性地预处理衬底的金属层以还原金属氧化物。衬底可以支撑在反应室中的衬底支撑件或基座上。衬底可以是任何晶片、半导体晶片、部分制造的集成电路、印刷电路板、显示屏幕、或其他合适的工件。在一些实现方案中,衬底可以包括金属层,该金属层包括诸如铜(Cu)、镍(Ni)、钼(Mo)、钴(Co)、以及钌(Ru)的金属。在一个示例中,金属层包括钴。在另一示例中,金属层包括钌。金属层可以相对薄,其中金属层的厚度可以在约与约/>之间或在约/>与约/> 之间。
纳米石墨烯在金属层上的沉积可能取决于纳米石墨烯生长在其上的金属层表面的光滑度和纯度。表面制备技术可以应用在金属层的表面上以抛光衬底并去除杂质。在一些实现方案中可以通过光蚀刻来执行对衬底的抛光。杂质的去除可以通过去除例如金属氧化物的化学处理来执行。杂质的去除可以额外地或替代地涉及去除来自化学机械平坦化(CMP)工艺的残留物或污染物。
在一些实现方案中,处理衬底的金属层可以包括将金属层的表面暴露于还原气体物质的等离子体。因此,金属层的预处理可以至少包括通过暴露于等离子体来还原金属氧化物。在一些实现方案中,等离子体可以包括还原气体物质的离子和自由基。还原气体物质的离子和/或自由基在将金属氧化物转化为金属的条件下与金属氧化物反应。还原气体物质可包括含氢气体,例如氢(H2)、氨(NH3)、肼(N2H4)、或其组合。其他可能的还原气体物质可包括一氧化碳(CO)、二硼烷(B2H6)、亚硫酸盐、亚磷酸盐、以及烃。在一些情况下,金属层的表面可以通过H2等离子体、NH3等离子体、或H2/NH3等离子体进行预处理。等离子体可以是直接(原位)等离子体或远程等离子体。在一些实现方案中,将金属层的表面暴露于还原气体物质的等离子体包括将金属表面暴露于远程氢等离子体。在衬底的预处理涉及暴露于还原气体物质的远程等离子体的情况下,预处理可以在远程等离子体处理装置中进行,参考图6描述了其示例。
举例来说,用于还原金属氧化物的金属层的预处理可以包括暴露于由氢与氦的气体混合物产生的等离子体。气体混合物可以选用地进一步包括氧。氢可以在约500sccm与约5000sccm之间的流率供应至等离子体源(例如,远程等离子体源)。氢充当还原气体物质。还原气体物质可以与例如氦(He)、氖(Ne)、氩(Ar)、氪(Kr)、或氙(Xe)的惰性气体或载气一起流动。可以在约1000sccm与约36000sccm之间的流率将氦供应至等离子体源。在一些情况下,氦的浓度可以比氢的浓度高至少两倍。然而,应当理解,氦的浓度可能不是氢浓度的两倍,而是甚至可以等于或小于氢的浓度。额外的气体可以与还原气体物质一起流动。可以选用地以在约1sccm与约40sccm之间的流率供应氧。氧可用于促进等离子体源中氢气解离成氢自由基。氧的浓度可以显著低于氢的浓度。氧的浓度可以大大低于氦的浓度。氧的浓度可以比氢的浓度低至少五倍或低至少十倍。氧的浓度可以比氦的浓度低至少五倍或低至少十倍。因为可以少量提供氧,所以在预处理期间很少或没有氧到达衬底,因为氧在等离子体源中大量消耗。在一些实现方案中,反应室中的压强可以在约0.5托与约10托之间或在约1托与约5托之间。在一些实现方案中,为远程等离子体源中的等离子体生成所提供的RF功率在约300W与约5000W之间或在约500W与约3000W之间。
在一些实现方案中,预处理金属层的表面包括将金属层的表面暴露于基于氰基的自由基物质(例如,CN*)。基于氰基的自由基物质可由含有具有碳-氮(CN)键的前体的气体混合物产生,例如氰化氢(HCN)、异氰化氢(HNC)、以及质子化氰化氢(HCNH+)。基于氰基的自由基物质可以在纳米石墨烯生长之前进行光蚀刻以使金属表面平滑化。将金属层的表面暴露于基于氰基的自由基物质可以发生在将金属层的表面暴露于还原气体物质的等离子体之前、之后或替代此操作。
在一些实现方案中,预处理金属层的表面包括将金属层的表面暴露于热形成气体退火。形成气体包括氢和氮气的混合物。热形成气体退火可将金属氧化物暴露于高于约150℃的温度以将金属氧化物还原为金属。
在工艺510的框514,使一种或多种烃前体流入反应室以在等于或低于约400℃的温度下吸附到金属层上。金属层与吸附的烃前体相互作用以在金属层上产生纳米石墨烯层。因此,纳米石墨烯可以在热沉积工艺中沉积在金属层上而毋需等离子体的任何辅助。替代地,纳米石墨烯可以在与半导体制造工艺兼容的低温下沉积。在一些实现方案中,温度在约200℃与约400℃之间。
不受任何理论的限制,烃前体与金属层反应使得烃前体脱氢。金属层充当分解烃前体的催化剂,从而选择性地去除氢原子并释放碳原子。氢原子以气体形式扩散出去。衬底表面的温度可能足以催化金属层上的脱氢和纳米石墨烯生长。在金属的碳溶解度高的情况下,碳原子可能扩散到金属层中。具有高碳溶解度的示例性金属包括钴和镍。碳原子可以向外扩散到金属层的表面并在金属层的表面上引发成核。向外扩散可以通过相对薄的金属层来增强,其中金属层的厚度可以小于约或小于约/>金属层表面促进成核和生长,使碳原子在金属化表面排列和分离。在金属化表面处的分离的碳原子在金属层上沉积纳米石墨烯。
一种或多种烃前体以气相输送。此一种或多种烃前体中的每一者可包括烯烃或炔烃基团。这意味着烃前体包括一个或多个不饱和碳键,例如一个或多个碳-碳双键和/或碳-碳三键。具有烯烃或炔烃基团的烃前体的示例包括但不限于乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丁烯(C4H8)、戊二烯(例如1,4-戊二烯(C5H8))、己烯(C6H12)、丙炔(C3H4)、丁炔(C4H6)、或戊炔(C5H8)。一些烃前体可能是芳香烃,例如甲苯(C7H8)和苯(C6H6)。
在一些情况下,烃前体仅包括C和H原子。烃化合物可以是CxHy,其中x是从1至10的整数并且其中y是从2至24的整数。一些其他非限制性烃可以包括丙二烯(propadiene)(C3H4)、1,2-丙二烯(allene)(C3H4)、1,3-丁二烯(C4H6)、1,2-丁二烯(C4H6)、异戊二烯(C5H8)、戊间二烯(C5H8)、二甲基丁二烯(C6H10)、1,5-己二烯(C6H10)、1,7-辛二烯(C8H14)等等。一些烃可能是环烃,例如环丙烯(C3H4)、环丁烯(C4H6)、环己烯(C6H10)、以及降冰片烯(C7H10)。
烯烃或炔烃可以是直链的、支链的、以及/或环状的。在一种实现方案中,烯烃或炔烃是直链或支链的。这样的直链和支链烯烃可以包括一个、两个、三个、四个或更多个碳-碳双键。此类直链和支链炔烃可以额外地或替代地包括一个、两个、三个、四个或更多个碳-碳三键。非限制性前体可包括C2-10烯烃和C2-10炔烃。在其他实施方案中,烯烃包括化学式R1R2C=CR3R4,其中R1、R2、R3及R4中的每一者独立地是H、选用经取代的烷基(例如,经取代的C1-8烷基)、或选用经取代的烯基(例如,经取代的C2-8烯基)。在其他实施方案中,炔烃包括化学式R1C≡CR2,其中R1和R2中的每一者独立地是H、选用经取代的烷基(例如,经取代的C1-8烷基)、选用经取代的烯基(例如,经取代的C2-8烯基)、或者选用经取代的炔基(例如,经取代的C2-8炔基)。
在特定实施方案中,前体是具有一个或多个双键的烯烃或具有一个或多个三键的炔烃,其中烯烃或炔烃可以是直链或环状的。例示的烯烃包括乙烯、丙烯、1-丁烯、1-戊烯、1-己烯、1-庚烯、1-辛烯、以及1-壬烯,以及任何这些的二烯以及位置异构体(如果有的话),其中双键的位置被改变(例如,1-丁烯的位置异构体可能是2-丁烯等等)。例示炔烃包括乙炔、丙炔、1-丁炔、1-戊炔、1-己炔、1-庚炔、1-辛炔、以及1-壬炔,以及位置异构体(如果有),其中三键的位置被改变(例如,1-丁炔的位置异构体可能是2-丁炔等等)。
烃前体的其他示例可包括脂环族化合物(例如,C3-12环烯烃,例如环己烯或降冰片烯,或C3-12环炔烃)或芳族化合物(例如,苯、甲苯、萘、菲,以及前述的其他多环形式)。烃前体可以包括饱和键(单键,例如C-C键或C-H键)和/或不饱和键(双键或三键,例如C=C或C≡C键)。在一些实施方案中,环状烯烃或环状炔烃是如本文所述的脂环族化合物,其具有一个或多个碳-碳双键和/或三键(即,C=C和/或C≡C键)。在其他实施方案中,烃前体是不饱和的环状烃(例如,环戊烯、环己烯、环庚烯等等)。
具有烯烃或炔烃基团的烃前体可以在介于约200℃与约400℃之间的温度下在金属层处脱氢以沉积纳米石墨烯层。诸如铜、镍、钼、钴、或钌层之类的金属层可以用作用于分解烃前体和促进纳米石墨烯成核以形成纳米石墨烯层的催化剂。纳米石墨烯层最初形成在金属层上而不产生或应用等离子体。在一些实施方案中,纳米石墨烯层相对于衬底的介电表面或其他非金属表面而选择性地沉积在金属层上。在一些实现方案中,纳米石墨烯层可能是低质量的纳米石墨烯层。低质量纳米石墨烯层的特征可在于拉曼光谱中的D峰和G峰。拉曼光谱中的2D峰可能可以忽略不计或缺失。
在一些实现方案中,一种或多种烃前体与其他物质一起输送,特别是载气。在沉积反应表面的上游,一种或多种烃前体可以与惰性载气混合。示例惰性载气包括但不限于氦、氖、氩、氪、以及氙。在一些实现方案中,一种或多种烃前体以多种烃前体的混合物形式而递送。此多种烃前体可以视情况以等摩尔的或相对相似的比例存在以形成所得纳米石墨烯中的主要主链或基质。在其他实现方案中,该多种烃前体的相对量显著偏离等摩尔浓度。
在一些实现方案中,一种或多种烃前体与其他物质(例如氢(H2))一起输送到反应室中。在沉积反应表面的上游,一种或多种烃前体可以与氢混合。氢可以与沉积纳米石墨烯层的金属层(例如钴层)相互作用。不受任何理论的限制,氢的存在可以增加纳米石墨烯的晶粒尺寸。在一些替代实现方案中,一种或多种烃前体与氧(O2)一起输送到反应室中。
举例来说,一种或多种烃前体可在与氦和氢的混合物中输送到衬底。可以在约100sccm与约5000sccm之间或在约200sccm与约2500sccm之间的流率而将烃前体供应至反应室。烃前体可以与诸如氦之类的惰性气体一起流动。可以在约1000sccm与约36000sccm之间的流率将氦供应至反应室。在一些情况下,氦的浓度可以比一种或多种烃前体的浓度高至少两倍。额外的气体可以与该一种或多种烃前体一起流动。可以在约1000sccm与约9000sccm之间的流率选用性地供应氢。氢的浓度可以大于该一种或多种烃前体的浓度。在一些实施方案中,反应室中的压强可以在约0.5托与约20托之间或在约1托与约8托之间。
一种或多种烃前体可经由与反应室呈流体耦合的一个或多个气体端口流入反应室中。在一些实施方案中,通过使用一种或多种烃前体的热沉积的纳米石墨烯层的形成是使用远程等离子体处理装置执行,其示例参考图6描述。因此,用于执行在框512的预处理的反应室可以与执行在框514的沉积的相同。事实上,用于执行在框514的沉积的反应室可以与执行在框516的等离子体处理的相同。尽管在框514的沉积期间不产生或应用等离子体,远程等离子体处理装置可配备一个或多个加热器,用于将衬底温度维持在等于或小于约400℃,或介于约200℃与约400℃之间。一个或多个加热器可以并入反应室的衬底支撑件或晶片基座中。用于输送烃前体的一个或多个气体端口可位于远程等离子体处理装置的远程等离子体源的下游。通过在与框512的预处理及/或框516的等离子体处理相同的反应室中执行在框514的纳米石墨烯层的热沉积,工艺510增加产出率,降低处理成本,消除衬底转移,并且避免在衬底转移之间发生的真空破坏(否则可能会使衬底暴露于不期望的材料、大气、以及湿气)。在一些其他实现方案中,框514的纳米石墨烯层的热沉积可以在不同的反应室中执行,但是在与框512的预处理和/或框516的等离子体处理相同的工具内执行。在一些其他实现方案中,在框514的纳米石墨烯层的热沉积可以在与框512的预处理和/或框516的等离子体处理不同的工具的不同反应室中进行。
在一些实施方案中,纳米石墨烯层可通过热沉积达在约1秒与约200秒之间、在约2秒与约100秒之间、或在约3秒与约50秒之间的持续时间沉积。当在框514的热沉积与框516的等离子体处理之间以交替循环重复时,热沉积可以更短。或者,当不重复框514的热沉积和框516的等离子体处理的时,热沉积可以更长。
在工艺510的框516,纳米石墨烯层暴露于等离子体以处理衬底的金属层上的纳米石墨烯层。纳米石墨烯层的等离子体处理可以产生高质量的纳米石墨烯层。等离子体处理可以在等于或小于约400℃的温度下发生,例如在约200℃与约400℃之间。在等离子体处理期间,可以关闭一种或多种烃前体的流动。在一些实施方案中,将纳米石墨烯层暴露于等离子体可以包括将纳米石墨烯层暴露于远程等离子体。
等离子体可以是惰性气体等离子体。惰性气体等离子体可以不含或基本上不含含碳气体。诸如氦、氖、氩、氪、或氙之类的惰性气体可供应至等离子体源(例如,远程等离子体源)。例如,惰性气体等离子体可以是氦等离子体。等离子体源可以产生惰性气体的自由基。不受任何理论的限制,惰性气体的自由基可以轰击纳米石墨烯层,或赋予纳米石墨烯层足够的热能,以重新排列碳原子以提供更有序且缺陷更少的纳米石墨烯结构。惰性气体的自由基可以破坏弱键和/或提供热能(除了来自晶片基座的热能)以重新排序纳米石墨烯层中的碳原子。对惰性气体等离子体的暴露可以重构纳米石墨烯层以提供高质量的纳米石墨烯层。在一些实施方案中,惰性气体等离子体可以是远程惰性气体等离子体,以便提供避免蚀刻或以其他方式损坏纳米石墨烯层的温和且间接的等离子体处理。
与等离子体处理之前的纳米石墨烯层相比,等离子体处理之后的纳米石墨烯层的特征可以在于拉曼光谱中甚至更尖锐的D和G峰。
在一些实施方案中,惰性气体可以与诸如氢的其他物质一起流动。氢可以与等离子体源中的惰性气体混合。例如,氢自由基可以在远程等离子体源中与氦自由基一起产生并且流向反应室中的衬底,其中反应室在远程等离子体源的下游。因此,将纳米石墨烯层暴露于等离子体可包括将纳米石墨烯层暴露于远程氢-氦等离子体。
在一些实施方案中,惰性气体可以与其他物质(例如氧)一起流动。氧可以与等离子体源中的惰性气体混合,或者氧可以与等离子体源中的氢和惰性气体混合。例如,氧自由基可以在远程等离子体源中与氦自由基一起产生并且流向反应室中的衬底,其中反应室在远程等离子体源的下游。因此,将纳米石墨烯层暴露于等离子体可包括将纳米石墨烯层暴露于远程氧-氦等离子体。
举例来说,氦可以以在约1000sccm与约36000sccm之间的流率输送至等离子体源。在一些实现方案中,氢可以与氦同时流动并且以在约1000sccm与约9000sccm之间的流率输送至等离子体源。在一些实现方案中,氧可以与氦同时流动并且以约1sccm与约40sccm之间的流率输送至等离子体源。氦的浓度可以比氢的浓度高至少两倍,并且比氧的浓度高至少五倍或至少十倍。在一些实现方案中,反应室中的压强在约0.5托与约20托之间或在约1托与约8托之间。在一些实现方案中,为远程等离子体源中的等离子体生成提供的RF功率在约300W与约5000W之间或在约500W与约3000W之间。
纳米石墨烯层可以在等离子体处理装置的反应室中通过等离子体处理。等离子体处理装置可原位产生等离子体或远程产生等离子体。在一些实施方案中,纳米石墨烯层可以通过在远程等离子体处理装置中的等离子体处理,参考图6描述的其示例。惰性气体的自由基可以在反应室上游的远程等离子体源中产生,并经由与远程等离子体源呈流体耦合的喷头输送到反应室。在一些实施方案中,用于执行框516的等离子体处理的反应室可以与执行框514的沉积的相同。在一些实施方案中,用于执行在框516的等离子体处理的反应室可以与执行在框512的预处理的相同。远程等离子体处理装置可配备一个或多个加热器,用于将衬底温度维持等于或低于约400℃,例如介于约200℃与约400℃之间。
在一些实施方案中,纳米石墨烯层可通过等离子体处理达在约1秒与约200秒之间、在约2秒与约100秒之间、或在约3秒与约50秒之间的持续时间。热沉积和等离子体暴露可以更短,但是以在框514的热沉积与框516的等离子体处理之间的交替循环重复。可以重复框514的热沉积与框516的等离子体处理的操作以形成纳米石墨烯层的期望厚度。在一些实现方案中,纳米石墨烯层的厚度等于或小于约10nm、等于或小于约5nm、等于或小于约3nm、或等于或小于约1nm。替代地,热沉积与等离子体暴露可以更长而不重复框514的热沉积与框516的等离子体处理的操作。
图5B描绘了根据一些其他实现方案的用于在金属表面上沉积纳米石墨烯的示例方法的流程图。工艺520的操作可以以不同的顺序和/或不同的、更少的或额外的操作来执行。工艺520的一个或多个操作可以使用图6或图7中所示的处理装置来执行。在一些实现方案中,工艺520的操作可以至少部分地根据存储在一个或多个非暂时性计算机可读介质的软件而实现。
在工艺520的框522,在沉积纳米石墨烯之前选用地预处理衬底的金属层以还原金属氧化物。框522的预处理的方面可以与工艺510的框512的预处理相同。因此,工艺510的框512的衬底金属层的表面制备的描述(其可能涉及暴露于还原气体物质的等离子体)可应用于工艺520的框522处的预处理。
在工艺520的框524,使含碳自由基流入反应室以将金属层暴露于含碳自由基,其中含碳自由基在反应室上游的远程等离子体源中由包含一种或多种烃前体的源气体产生。金属层与含碳自由基相互作用以在金属层上产生纳米石墨烯层。金属层可以包括铜、镍、钼、钴、或钌。例如,金属层可以包括钴。含碳前体在等于或小于约400℃的温度下吸附到金属层上,例如在约200℃与约400℃之间。金属层与吸附的含碳自由基相互作用,以在金属层上产生纳米石墨烯层。因此,纳米石墨烯可以在低温下在间接等离子体工艺中沉积在金属层上。
含碳自由基可包括在与衬底相邻的环境中的活化烷烃、活化烯烃、或活化炔烃。这种活化的碳基分子可以具有促进键合和交联以形成碳-碳键的活性位点。不受任何理论的限制,金属层作为将含碳自由基脱氢的催化剂,俾使选择性地去除氢原子并释放碳原子。氢原子可以呈气体扩散出去。衬底表面处的热能可以帮助金属层上的脱氢和纳米石墨烯的生长。在金属的碳溶解度高的情况下,碳原子可能扩散进金属层。具有高碳溶解度的示例性金属包括钴和镍。碳原子可以向外扩散到金属层的表面并在金属层的表面上引发成核。向外扩散可以通过相对薄的金属层增强,其中金属层的厚度可以小于约或小于约/>金属层的表面促进成核和生长,使得碳原子在金属化表面处排列和分离。金属化表面处的分离的碳原子在金属层上沉积纳米石墨烯。
含碳自由基以气相输送。一种或多种烃前体中的每一者可包括烯烃或炔烃基团。这意味着烃前体包括一个或多个不饱和碳键,例如一个或多个碳-碳双键和/或碳-碳三键。具有烯烃或炔烃基团的烃前体的示例包括但不限于乙炔、乙烯、丙烯、丁烯、戊二烯(例如,1,4-戊二烯)、己烯、丙炔、丁炔、或戊炔。一些烃前体可能是芳香烃,例如甲苯(C7H8)和苯(C6H6)。
在一些情况下,烃前体仅包括C和H原子。烃化合物可以是CxHy,其中x是1至10的整数并且其中y是2至24的整数。一些其他非限制性的烃可以包括丙二烯(propadiene)、1,2-丙二烯(allene)、1,3-丁二烯、1,2-丁二烯、异戊二烯、戊间二烯、二甲基丁二烯、1,5-己二烯、1,7-辛二烯等等。一些烃可以是环状烃,例如环丙烯、环丁烯、环己烯、以及降冰片烯。
烯烃或炔烃可以是直链的、支链的、以及/或环状的。在一种实施方案中,烯烃或炔烃是直链的或支链的。这样的直链和支链烯烃可以包括一个、两个、三个、四个、或更多个碳-碳双键。此类直链和支链炔烃可以额外地或替代地包括一个、两个、三个、四个、或更多个碳-碳三键。非限制性前体可包括C2-10烯烃和C2-10炔烃。在其他实施方案中,烯烃包括化学式R1R2C=CR3R4,其中R1、R2、R3和R4中的每一者独立地是H、选用经取代的烷基(例如,经取代的C1-8烷基)、或选用经取代的烯基(例如,经取代的C2-8烯基)。在其他实施方案中,炔烃包括化学式R1C≡CR2,其中R1和R2中的每一者独立地是H、选用经取代的烷基(例如,经取代的C1-8烷基)、选用经取代的烯基(例如,经取代的C2-8烯基)、或选用经取代的炔基(例如,经取代的C2-8炔基)。
在特定实施方案中,前体是具有一个或多个双键的烯烃或具有一个或多个三键的炔烃,其中烯烃或炔烃可以是直链或环状的。例示的烯烃包括乙烯、丙烯、1-丁烯、1-戊烯、1-己烯、1-庚烯、1-辛烯、以及1-壬烯,以及任何这些的二烯和位置异构体(如果有的话),其中双键的位置被改变(例如,1-丁烯的位置异构体可能是2-丁烯等等)。例示炔烃包括乙炔、丙炔、1-丁炔、1-戊炔、1-己炔、1-庚炔、1-辛炔、以及1-壬炔,以及位置异构体(如果有),其中三键的位置被改变(例如,1-丁炔的位置异构体可能是2-丁炔等等)。
烃前体的其他示例可包括脂环族化合物(例如,C3-12环烯烃,例如环己烯或降冰片烯;或C3-12环炔烃)或芳族化合物(例如,苯、甲苯、萘、菲,以及前述的其他多环形式)。烃前体可以包括饱和键(单键,例如C-C键或C-H键)和/或不饱和键(双键或三键,例如C=C或C≡C键)。在一些实施方案中,环状烯烃或环状炔烃是如本文所述的脂环族化合物,其具有一个或多个碳-碳双键和/或三键(即,C=C和/或C≡C键)。在其他实施方案中,烃前体是不饱和的环状烃(例如,环戊烯、环己烯、环庚烯等)。
在一些实现方案中,一种或多种烃前体以多种烃前体的混合物方式输送。多种烃前体可以视情况以等摩尔或相对相似的比例存在以形成所得纳米石墨烯中的主要主链或基质。在其他实现方案中,多种烃前体的相对量实质上偏离等摩尔浓度。
含碳自由基可以在等于或低于约400℃的温度下由金属层催化,例如在约200℃与约400℃之间,以沉积纳米石墨烯层。例如铜、镍、钼、钴或钌层的金属层可用作分解含碳自由基并促进纳米石墨烯成核以形成纳米石墨烯层的催化剂。应用远程等离子体在金属层上形成纳米石墨烯层。在一些实施方案中,纳米石墨烯层相对于衬底的介电表面或其他非金属表面而选择性地沉积在金属层上。在一些实现方案中,纳米石墨烯层可能是低质量的纳米石墨烯层。低质量纳米石墨烯层的特征可为在于拉曼光谱中的D峰和G峰。拉曼光谱中的2D峰可能可以忽略不计或缺失。
在一些实现方案中,一种或多种烃前体与其他物质一起进入远程等离子体源。在一些实现方案中,一种或多种烃前体可以与诸如氦、氖、氩、氪、或氙之类的惰性气体混合。
在一些实现方案中,一种或多种烃前体可以与氢气混合。源气体可包括烃前体与氢气的气体混合物,其中含碳自由基与氢自由基一起产生,使得含碳自由基与氢自由基流入反应室。在远程等离子体源中产生的氢自由基可以与一种或多种烃前体相互作用以进一步分解烃前体。不受任何理论的限制,氢自由基可以选择性地破坏或裂解烃前体中的某些键以产生活化的烷烃、活化的烯烃、或活化的炔烃。
举例来说,可以将一种或多种烃前体以与氦和氢的混合物输送到远程等离子体源。可以约100sccm与约5000sccm之间或约200sccm与约2500sccm之间的流率将烃前体供应至远程等离子体源。烃前体可以与诸如氦之类的惰性气体一起流动。可以以约1000sccm与约36000sccm之间的流率将氦供应至远程等离子体源。在一些情况下,氦的浓度可以比一种或多种烃前体的浓度高至少两倍。额外的气体可以与一种或多种烃前体一起流动。可以选用地以约1000sccm与约9000sccm之间的流率供应氢。氢的浓度可以大于一种或多种烃前体的浓度。在一些实施方案中,反应室中的压强可以在约0.5托与约20托之间或在约1托与约8托之间。
一种或多种烃前体可经过流体耦合至远程等离子体源的一个或多个气体端口流入反应室中。在一些实施方案中,通过使用一种或多种烃前体的等离子体沉积的纳米石墨烯层的形成是使用远程等离子体处理装置执行,其示例参考图6进行了描述。因此,用于进行框522的预处理的反应室可以与执行在框524的等离子体沉积的相同。事实上,用于执行在框524的等离子体沉积的反应室可以与执行在框526的等离子体处理的相同。远程等离子体处理装置可以配备有一个或多个加热器,用于将衬底温度保持在约200℃与约400℃之间。一个或多个加热器可以并入反应室的衬底支撑件或晶片基座中。通过在与框522的预处理和/或框526的等离子体处理相同的反应室中执行在框524的纳米石墨烯层的等离子体沉积,工艺520增加了产出率、降低处理成本、消除衬底转移、并且避免了在衬底转移之间发生的真空破坏(否则可能会使衬底暴露于不期望的材料、大气、以及湿气)。在一些其他实现方案中,框524的纳米石墨烯层的等离子体沉积可以执行在不同的反应室中但是在与框522的预处理和/或框526的等离子体处理相同的工具内。在一些其他实现方案中,与框522的预处理和/或框526的等离子体处理相比,框524的纳米石墨烯层的等离子体沉积可以在不同工具的不同反应室中进行。
在一些实施方案中,纳米石墨烯层可以通过等离子体沉积来沉积达在约1秒与约200秒之间、在约2秒与约100秒之间、或在约3秒与约50秒之间的持续时间。当以在框524的等离子体沉积与框526的等离子体处理之间的交替循环重复时,等离子体沉积可以更短。或者,当不重复框524的等离子体沉积与框526的等离子体处理时,等离子体沉积可以更长。
在工艺520的框526,将纳米石墨烯层暴露于等离子体以处理衬底的金属层上的纳米石墨烯层。框526的等离子体处理的方面可以与工艺510的框516处的等离子体处理的方面相同。因此,工艺510的框516的纳米石墨烯层的等离子体处理的描述(其可能涉及暴露于惰性气体等离子体以形成高质量纳米石墨烯层)可以应用于工艺520的框526处的等离子体处理。
本公开内容的一个方面是配置成实现此处所述的纳米石墨烯沉积方法的一种设备。根据本公开内容,合适的装置包括用于实现工艺操作的硬件和具有用于控制工艺操作的指令的系统控制器。在一些实现方案中,用于执行上述工艺操作的装置可以包括远程等离子体源。与直接等离子体相比,远程等离子体源提供温和的反应条件。
图6描绘了根据一些实现方案的具有远程等离子体源的示例性等离子体处理装置的示意图。等离子体处理装置600包括与反应室604分离的远程等离子体源602。远程等离子体源602经由喷头606而与反应室604流体耦合,喷头606也可称为多端口气体分配器。自由基物质在远程等离子体源602中生成并供应到反应室604。一种或多种烃前体可以供应到在远程等离子体源202下游和喷头606下游的反应室604。一种或多种烃前体吸附在反应室604的化学气相沉积区608中的衬底612的金属层上,以在衬底612上沉积纳米石墨烯。化学气相沉积区608包括与衬底612的正面相邻的环境,其中衬底612的正面面向远程等离子体源602。
衬底612支撑在衬底支撑件或基座614上。基座614可以在反应室604内移动以将衬底612定位在化学气相沉积区608之内。在图6所示的实施方案中,基座614显示为在化学气相沉积区608之内具有抬高的衬底612。在一些实施方案中,基座614还可以调节衬底612的温度,这可以对衬底612上的热激活表面反应提供一些选择性控制。例如,基座614可以在处理期间将衬底612的温度保持在200℃至400℃的范围内。
图6显示了布置在远程等离子体源602周围的线圈618,其中远程等离子体源602包括外壁(例如,石英圆顶)。线圈618电耦合到等离子体产生器控制器622,其可用于通过电感耦合等离子体生成而在等离子体区域624之内形成和维持等离子体。在一些实现方案中,等离子体产生器控制器622可以包括用于向线圈618供给功率的电源供应器,其中在等离子体生成期间功率可以在大约1与6千瓦(kW)之间的范围内。在一些实现方案中,用于平行板或电容耦合等离子体生成的电极或天线可用于经由等离子体激发而不是电感耦合等离子体生成来产生自由基的连续供应。无论用于点燃和维持等离子体区域624中的等离子体的机制,在膜沉积期间使用等离子体激发可以连续产生自由基物质。在一些实现方案中,在稳态膜沉积或稳态膜处理期间在近似稳态条件下产生氦或氢/氦自由基。
在向远程等离子体源602供应惰性气体或其他源气体的同时,可以在等离子体区域624之内连续产生惰性气体自由基的供应。在远程等离子体源602中可以产生激发的惰性自由基。如果没有重新激发或重新供给能量,或与其他自由基重新结合,激发的惰性气体自由基失去它们的能量,或弛豫。因此,激发的惰性气体自由基可能弛豫以形成在相当低能量状态或基态的惰性气体自由基。
惰性气体或其他源气体可以用一种或多种额外气体稀释。这些一种或多种额外气体可以供应到远程等离子体源602。在一些实现方案中,惰性气体或其他源气体与一种或多种额外气体混合以形成气体混合物,其中该一种或多种额外气体可以包括氢、氧、或它们的组合。在某些实施方案中,氦气与氢混合。例如,氦气与氢混合,其中氢浓度约为1–25%或约为1–10%。
如图6所示,源气体供应器626与远程等离子体源602呈流体耦合以供应氦气或其他源气体。此外,额外气体供应器628与远程等离子体源602呈流体耦合以供应一种或多种额外气体。该一种或多种额外气体可包括例如氧和氢。虽然图6中的实施方案描述惰性气体和一种或多种额外气体的气体混合物通过独立的气体出口引入,但应理解,气体混合物可直接引入远程等离子体源602。即,预混合的稀释气体混合物可以通过单一气体出口供应到远程等离子体源602。
诸如激发的氦自由基和弛豫的气体/自由基的气体流出远程等离子体源602并经由喷头606流入反应室604。喷头606内和反应室604内的气体通常不受其中连续等离子体激发的影响。在一些实现方案中,喷头606包括离子过滤器和/或光子过滤器。过滤离子和/或光子的操作可以减少衬底损坏、不希望的分子再激发、和/或纳米石墨烯中的键的选择性断裂。喷头606可具有多个气体端口644以将气流扩散到反应室604中。在一些实现方案中,多个气体端口644可相互间隔开。在一些实现方案中,多个气体端口644可以布置为规则间隔开的渠道或通孔的阵列,这些渠道或通孔延伸穿过分隔远程等离子体源602与反应室604的板。多个气体端口644可以将离开的自由基从远程等离子体源602平滑地分散以及扩散到反应室604中。
典型的远程等离子体源远离反应容器。因此,自由基消灭和重组,例如,经由壁碰撞事件,可能会大大减少活性物质。相比之下,在一些实现方案中,多个气体端口644的尺寸可以考虑到典型处理条件下的平均自由程或气流滞留时间来配置以帮助自由基自由通过进入反应室604。在一些实现方案中,多个气体端口644的开口可占据喷头606的暴露表面积的约5%与约20%之间。在一些实现方案中,多个气体端口644可以各自具有在约3:1与10:1之间或在约6:1与约8:1之间的轴向长度对直径的比例。这样的纵横比可以降低通过该多个气体端口644的自由基物质的壁碰撞频率,同时为大多数激发态自由基物质弛豫成基态自由基物质提供足够的时间。在一些实现方案中,多个气体端口644的尺寸可配置以使得穿过喷头606的气体的滞留时间大于激发态自由基物质的典型能量弛豫时间。氦源气体的激发态自由基物质可在图6中表示为·He*
在一些实现方案中,离开该多个气体端口644的激发态自由基物质可以流入容纳在反应室604内部之内的弛豫区638。弛豫区638位于化学气相沉积区608的上游但位于喷头606的下游。离开喷头606的基本上所有或至少90%的激发态自由基物质将在弛豫区638中转变为弛豫态自由基物质。换句话说,进入弛豫区638的几乎所有的激发态自由基物质在离开弛豫区638之前变得去激发或转变为弛豫态自由基物质(例如,基态自由基)。在一些实现方案中,弛豫区638的工艺条件或几何形状可以配置成使得流过弛豫区638的自由基物质的滞留时间(例如由平均自由程和平均分子速度所确定的时间)导致流出弛豫区638的弛豫态自由基物质。
随着自由基物质从喷头606输送到弛豫区638,一种或多种烃前体可以引入化学气相沉积区608。一种或多种烃前体可以通过气体分配器或气体出口642引入,其中气体出口642可以与前体供应源640流体耦合。弛豫区638可以容纳在介于喷头606与气体出口642之间的空间内。气体出口642可以包括相互间隔开的开口,使得一种或多种烃前体的流动可以在与从弛豫区638流出的气体混合物平行的方向上引入。气体出口642可以位于喷头606和弛豫区638的下游。气体出口642可以位于化学气相沉积区608和衬底612的上游。化学气相沉积区608位于反应室604内部之内且在气体出口642与衬底612之间。可以防止基本上所有的一种或多种烃前体的流动与邻近喷头606的激发态自由基物质混合。
在一些实现方案中,共反应物可以从喷头606引入并且与在远程等离子体源602中产生的自由基物质一起流动并进入反应室604。这可以包括在远程等离子体源602中提供的共反应气体的自由基和/或离子。共反应物可以从额外气体供应器628提供。在一些实现方案中,共反应物可以包括含氮试剂,例如氮气(N2)。在一些实现方案中,共反应物可以包括含氧试剂,例如氧气(O2)。在一些实现方案中,共反应物可以包括含氢试剂,例如氢气(H2)。
气体出口642可以与喷头606分开足够的距离以防止一种或多种烃前体的回扩散或回流。这可以为氦或氢的自由基物质提供足够的时间从激发态转变为弛豫态(例如,基态)。在一些实现方案中,气体出口642可以与该多个气体端口644分开在约0.5英寸与约5英寸之间、或在约1.5英寸与约4.5英寸之间、或在约1.5英寸与约3英寸之间的距离。
工艺气体可经由流体耦合至泵(未显示)的出口648从反应室604移除。因此,可以从反应室604中去除过量的烃前体、共反应物、自由基物质、以及稀释剂和置换或清扫气体。在一些实现方案中,系统控制器650与等离子体处理装置600呈操作性通信。在一些实现方案中,系统控制器650包括处理器系统652(例如,微处理器),其配置为执行保存在数据系统654(例如,内存)中的指令。在一些实现方案中,系统控制器650可以与等离子体产生器控制器622通信以控制等离子体参数和/或条件。在一些实现方案中,系统控制器650可以与基座614通信以控制面板座高度和温度。在一些实现方案中,系统控制器650可以控制其他处理条件,例如RF功率设定、频率设定、占空比、脉冲时间、反应室604内的压强、远程等离子体源602内的压强、来自源气体供应器626及额外气体供应器628的气体流率、来自前体供应源640和其他来源的气体流率、基座614的温度、以及反应室604的温度等等。
控制器650可包含用于控制等离子体处理装置600的操作的工艺条件的指令。控制器650通常将包括一个或多个内存设备和一个或多个处理器。处理器可以包括CPU或计算机、模拟和/或数字输入/输出连接件、步进马达控制器板等等。用于实现适当控制操作的指令在处理器上执行。这些指令可以存储在与控制器650相关联的内存设备上或者它们可以通过网络提供。
在某些实现方案中,控制器650控制本文描述的等离子体处理装置600的所有或大部分活动。例如,控制器650可以控制与沉积纳米石墨烯和处理纳米石墨烯相关联的等离子体处理装置600的所有或大部分活动。控制器650可以执行系统控制软件,包括用于控制时序、气体成分、气体流率、室压强、室温度、RF功率电平、衬底位置、以及/或其他参数的指令集。在一些实施方案中可以采用存储在与控制器650相关联的内存设备上的其他计算机程序、脚本、或例程。为了在邻近衬底612的环境中提供相对温和的反应条件,例如RF功率电平、对等离子体区域624的气体流率、对化学气相沉积区608的气体流率、基座614的温度,以及等离子体点燃的时序的参数,可以由控制器650调整和维持。另外,调整衬底位置可以进一步减少高能自由基物质在邻近衬底612的环境中的存在。在多站反应器中,控制器650可以包括用于不同装置站的不同或相同指令,从而允许装置站独立地或同步地操作。
在一些实施方案中,控制器650可以包括指令,用于执行诸如以下操作:使一种或多种烃前体通过气体出口642流入反应室604以吸附到衬底612的金属层上以在金属层上产生纳米石墨烯;在远程等离子体源602中提供源气体;在远程等离子体源602中在一种或多种烃前体上游产生源气体的一种或多种自由基物质;从远程等离子体源602将一种或多种自由基物质引入反应室604以处理衬底612表面上的纳米石墨烯。一种或多种自由基物质可以是反应室604中的惰性气体自由基物质。在一些实现方案中,控制器650可以包括指令用于在沉积纳米石墨烯之前预处理衬底612的金属层。在一些实现方案中,控制器650可包含指令用于维持衬底612的温度等于或小于约500℃,或介于约200℃与约400℃之间。在一些实现方案中,一种或多种烃前体各者包括烯烃或炔烃基团。
在一些实施方案中,等离子体处理装置600可以包括与控制器650相关联的用户接口。用户接口可以包括显示屏幕、装置600和/或工艺条件的图形软件显像,以及用户输入装置,例如指向设备、键盘、触控屏幕、麦克风等等。
用于控制上述操作的计算机程序码可以用例如,汇编语言、C、C++、Pascal、Fortran、或其他等任何常规的计算机可读编程语言编写。编译后的目标码或脚本由处理器执行以执行程序中标识的任务。
可以通过系统控制器的模拟和/或数字输入连接件来提供用于监视工艺的信号。用于控制工艺的信号在处理系统的模拟和数字输出联机上输出。
一般而言,本文描述的方法可以在包括半导体处理设备的系统上执行,半导体处理设备例如一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。一般而言,电子器件被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、RF产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
从广义上讲,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或执行程序指令(例如,软件)的一个或多个微处理器或微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料(例如硅碳化物)、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方案中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的工艺。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在室上的与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的一个或多个集成电路,其组合以控制在室上的工艺。
图7显示了根据一些实现方案的具有利用基座加热的反应室的示例性等离子体处理装置的示意图。如图7所示,等离子体处理装置700包括反应室724,其包容装置700的其他组件并用于容纳等离子体。反应室724包括用于将工艺气体输送到反应室724中的喷头714。高频射频(HFRF)产生器702可以连接到阻抗匹配网络706,阻抗匹配网络706连接到喷头714。在一些实现方案中,低频射频(LFRF)产生器704可以连接到阻抗匹配网络706以连接到喷头714。阻抗匹配网络706所提供的功率和频率足以从工艺气体产生等离子体。在典型的工艺中,HFRF产生器702所产生的频率在大约2-60MHz之间,例如13.56MHz或27MHz。LFRF产生器704所产生的频率在大约250-400kHz之间,例如350kHz或400kHz。
反应室724还包括晶片支撑件或基座718。基座718可以支撑晶片716。基座718可以包括卡盘、叉子、以及/或升降销,以在处理期间和处理之间固定晶片716。在一些实现方案中,卡盘可以是静电卡盘。在一些实现方案中,基座718还包括一个或多个加热组件(未示出),例如一个或多个电阻加热器以控制晶片716的温度。在纳米石墨烯的沉积和处理期间,一个或多个加热组件可以将晶片716的温度维持在介于约200℃与约400℃之间的温度。
工艺气体通过入口712引入。一个或多个源气体管线710可以连接到歧管708。工艺气体可预混合或不预混合。采用适当的阀控以及质量流量控制机制,以确保在沉积、蚀刻、以及其他等离子体处理操作期间输送正确的气体。工艺气体可经由出口722离开反应室724。真空泵通常可抽出工艺气体并在反应室724之内保持适当的低压。
如图7所示,等离子体处理装置700是电容器型系统,其中喷头714是与接地块720一起工作的电极。换句话说,等离子体处理装置700是CCP系统并且可能能够提供高频RF功率到反应室724的顶部,即喷头714。反应室724的底部(即基座718和块720)接地。
除了本文所述的纳米石墨烯沉积之外,示例性系统还可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
拉曼光谱可用于表征石墨烯或纳米石墨烯。拉曼光谱分析也可适用于确定石墨烯层数量以及石墨烯中的无序量。通过识别拉曼光谱中石墨烯或纳米石墨烯的某些特征,可以将石墨烯或纳米石墨烯与无序或非晶形碳层区分开来。
总结
在前面的描述中,阐述了许多具体细节以提供对所呈现实施方案的透彻理解。可以在没有这些特定细节中的一些或全部的情况下实践所公开的实施方案。另一方面,没有详细描述众所周知的工艺操作,以免不必要地混淆所公开的实施方案。虽然所公开的实施方案是结合具体实施方案进行描述的,但是应当理解,并不意图限制所公开的实施方案。
虽然上述实施方案已为了理解清楚的目的而进行了详细描述,但将显而易见的是,在随附权利要求的范围内可实施某些变化和修改。应注意到,存在许多用于实施所呈现的实施方案的处理、系统、以及装置的替代方式。因此,所呈现的实施方案被视为示例性而非限制性,且这些实施方案不限于在此所提供的细节。

Claims (23)

1.一种沉积纳米石墨烯的方法,所述方法包含:
使一种或多种烃前体流入反应室以在等于或小于约400℃的温度下吸附至衬底的金属层上,其中所述金属层与所述吸附的烃前体相互作用以在所述金属层上产生纳米石墨烯层;以及
将所述纳米石墨烯层暴露于等离子体以处理所述衬底的所述金属层上的所述纳米石墨烯层。
2.根据权利要求1所述的方法,其中,将所述纳米石墨烯层暴露于等离子体包含:用从远程等离子体源产生的惰性气体等离子体来处理所述纳米石墨烯层,以形成高质量的纳米石墨烯层。
3.根据权利要求1所述的方法,其中,所述金属层包含铜、钌、镍、钼、钴、或其组合。
4.根据权利要求3所述的方法,其中,所述金属层包含钴。
5.根据权利要求1所述的方法,其中,所述一种或多种烃前体包含未经取代的烯烃、经取代的烯烃、芳香烃、未经取代的炔烃、或经取代的炔烃基团。
6.根据权利要求5所述的方法,其中,所述一种或多种烃前体包含甲苯、苯、乙烯、丙烯、丁烯、戊烯、戊二烯、己烯、乙炔、丙炔、丁炔、或戊炔。
7.根据权利要求1所述的方法,其中,所述一种或多种烃前体包含丙二烯、1,2-丙二烯、环丙烯、1,3-丁二烯、1,2-丁二烯、环丁烯、异戊二烯、戊间二烯、环己烯、二甲基丁二烯、1,5-己二烯、降冰片烯、或1,7-辛二烯。
8.根据权利要求1所述的方法,其中使所述一种或多种烃前体流入所述反应室包含:使所述一种或多种烃前体与氢-氦(H2-He)一起流入所述反应室。
9.根据权利要求1所述的方法,其中使所述一种或多种烃前体流入所述反应室包含:使所述一种或多种烃前体与氧(O2)一起流入所述反应室。
10.根据权利要求1所述的方法,其中将所述纳米石墨烯层暴露于等离子体包含:将所述纳米石墨烯层暴露于远程氢-氦等离子体。
11.根据权利要求1所述的方法,其中将所述纳米石墨烯层暴露于等离子体包含:将所述纳米石墨烯层暴露于远程氧-氦等离子体。
12.根据权利要求1所述的方法,其中所述金属层的厚度在与约/> 之间。
13.根据权利要求1所述的方法,其还包含:
用等离子体预处理所述金属层,以在使所述一种或多种烃前体流入所述反应室之前从所述金属层还原金属氧化物。
14.根据权利要求1所述的方法,其还包含:
重复使所述一种或多种烃前体流入所述反应室与等离子体暴露的操作,以在所述衬底的所述金属层上形成期望厚度的所述纳米石墨烯层。
15.一种沉积纳米石墨烯的方法,所述方法包含:
使含碳自由基流入反应室以将衬底的金属层暴露于所述含碳自由基,其中所述含碳自由基在所述反应室上游的远程等离子体源中由包含一种或多种烃前体的源气体产生,且其中所述金属层与所述含碳自由基相互作用以在所述金属层上产生纳米石墨烯层;以及
将所述纳米石墨烯层暴露于等离子体以处理所述衬底的所述金属层上的所述纳米石墨烯层。
16.根据权利要求15所述的方法,其中,将所述纳米石墨烯层暴露于等离子体包含:用从远程等离子体源产生的惰性气体等离子体来处理所述纳米石墨烯层,以形成高质量的纳米石墨烯层。
17.根据权利要求15所述的方法,其中所述源气体包含氢(H2)与所述一种或多种烃前体的混合物,其中含碳自由基和氢自由基在所述远程等离子体源中产生并流入所述反应室。
18.根据权利要求15所述的方法,其中,所述金属层包含铜、钌、镍、钼、钴、或其组合。
19.根据权利要求18所述的方法,其中,所述金属层包含钴。
20.根据权利要求15所述的方法,其中,所述一种或多种烃前体包含未经取代的烯烃、经取代的烯烃、芳香烃、未经取代的炔烃、或经取代的炔烃基团。
21.根据权利要求20所述的方法,其中,所述一种或多种烃前体包含甲苯、苯、乙烯、丙烯、丁烯、戊烯、戊二烯、己烯、乙炔、丙炔、丁炔、或戊炔。
22.根据权利要求15所述的方法,其中,所述一种或多种烃前体包含丙二烯、1,2-丙二烯、环丙烯、1,3-丁二烯、1,2-丁二烯、环丁烯、异戊二烯、戊间二烯、环己烯、二甲基丁二烯、1,5-己二烯、降冰片烯、或1,7-辛二烯。
23.根据权利要求15所述的方法,其中将所述纳米石墨烯层暴露于等离子体包含:将所述纳米石墨烯层暴露于远程氢-氦等离子体或远程氧-氦等离子体。
CN202280066670.6A 2021-09-30 2022-09-29 低温下的纳米石墨烯的沉积及处理 Pending CN118043495A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163261904P 2021-09-30 2021-09-30
US63/261,904 2021-09-30
PCT/US2022/077307 WO2023056393A1 (en) 2021-09-30 2022-09-29 Deposition and treatment of nano-graphene at low temperatures

Publications (1)

Publication Number Publication Date
CN118043495A true CN118043495A (zh) 2024-05-14

Family

ID=85783652

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280066670.6A Pending CN118043495A (zh) 2021-09-30 2022-09-29 低温下的纳米石墨烯的沉积及处理

Country Status (4)

Country Link
KR (1) KR20240075894A (zh)
CN (1) CN118043495A (zh)
TW (1) TW202321506A (zh)
WO (1) WO2023056393A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG10202105024SA (en) * 2012-05-17 2021-06-29 Nat Univ Singapore Methods of growing uniform, large-scale, multilayer graphene films
JP6190562B2 (ja) * 2015-03-26 2017-08-30 中国科学院上海微系統与信息技術研究所 グラフェンの成長方法
NO345837B1 (en) * 2016-05-04 2021-08-30 Cealtech As Apparatus for large scale producing 3D graphene and method describing the same
US10604844B2 (en) * 2018-05-14 2020-03-31 Purdue Research Foundation Graphene production using plasma-enhanced chemical vapor deposition
KR20210088300A (ko) * 2020-01-06 2021-07-14 삼성전자주식회사 그래핀 구조체 및 그 형성 방법

Also Published As

Publication number Publication date
TW202321506A (zh) 2023-06-01
WO2023056393A1 (en) 2023-04-06
KR20240075894A (ko) 2024-05-29

Similar Documents

Publication Publication Date Title
US20220375722A1 (en) Selective graphene deposition using remote plasma
US20220399230A1 (en) Graphene integration
TWI614363B (zh) 在原子層沉積多站/批式反應器中之序列型先驅物用劑
CN106024596B (zh) 减少无定形碳硬掩模膜的碳-氢含量的方法
WO2020018796A1 (en) Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US20230245924A1 (en) Selective deposition using graphene as an inhibitor
TW201546314A (zh) 用以降低金屬氧化物與金屬氮化物膜中的表面粗糙度之射頻循環清洗
CN116013767A (zh) 用于硬掩模的金属介电膜的沉积
CN118043495A (zh) 低温下的纳米石墨烯的沉积及处理
WO2022271525A1 (en) Radical-activated carbon film deposition
US11164742B2 (en) Selective deposition using methylation treatment
US20240213159A1 (en) Graphene-capped copper in dual damascene interconnect
WO2023049012A1 (en) Remote plasma deposition with electrostatic clamping
JP2024500671A (ja) 広いギャップ電極間隔の低圧条件における、高選択性、低応力、および低水素の炭素ハードマスク

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination