CN117016044A - Apparatus for manufacturing light emitting device - Google Patents

Apparatus for manufacturing light emitting device Download PDF

Info

Publication number
CN117016044A
CN117016044A CN202280022590.0A CN202280022590A CN117016044A CN 117016044 A CN117016044 A CN 117016044A CN 202280022590 A CN202280022590 A CN 202280022590A CN 117016044 A CN117016044 A CN 117016044A
Authority
CN
China
Prior art keywords
chamber
light
layer
transfer
load lock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280022590.0A
Other languages
Chinese (zh)
Inventor
山崎舜平
方堂凉太
神保安弘
冈崎健一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Priority claimed from PCT/IB2022/052186 external-priority patent/WO2022200906A1/en
Publication of CN117016044A publication Critical patent/CN117016044A/en
Pending legal-status Critical Current

Links

Abstract

Provided is a manufacturing apparatus capable of continuously performing steps from processing of an organic compound film to sealing. A manufacturing apparatus capable of continuously performing a patterning process of a light emitting device and a process of sealing the surface and side surfaces of an organic layer without exposing to the atmosphere, thereby forming a micro light emitting device with high brightness and high reliability. In addition, the manufacturing apparatus can be assembled in a tandem manufacturing apparatus in which the apparatus is arranged in the order of the steps of the light emitting device, whereby manufacturing can be performed with high throughput.

Description

Apparatus for manufacturing light emitting device
Technical Field
One embodiment of the present invention relates to an apparatus and a method for manufacturing a light emitting device.
Note that one embodiment of the present invention is not limited to the above-described technical field. The technical field of one embodiment of the invention disclosed in the present specification and the like relates to an object, a method, or a manufacturing method. In addition, one embodiment of the present invention relates to a process, a machine, a product, or a composition (composition of matter). Thus, more specifically, as an example of the technical field of one embodiment of the present invention disclosed in the present specification, a semiconductor device, a display device, a liquid crystal display device, a light emitting device, a lighting device, a power storage device, a storage device, an image pickup device, an operation method of these devices, or a manufacturing method of these devices can be given.
Background
In recent years, high definition display panels are demanded. As devices requiring a high-definition display panel, there are, for example, a smart phone, a tablet terminal, a notebook computer, and the like. In addition, stationary display devices such as television devices and display devices are also required to have higher definition with higher resolution. Further, as the most highly-required devices, there are devices applied to Virtual Reality (VR) or augmented Reality (AR: augmented Reality), for example.
In addition, as a display device which can be applied to a display panel, a liquid crystal display device, a light-emitting device including a light-emitting device such as an organic EL (Electro Luminescence: electroluminescence) element or a light-emitting diode (LED: light Emitting Diode), an electronic paper which displays by electrophoresis, or the like, is typically given.
For example, an organic EL element which is a light-emitting element has a basic structure in which a layer containing a light-emitting organic compound is sandwiched between a pair of electrodes. By applying a voltage to this element, light emission from the light-emitting organic compound can be obtained. Since a display device using the organic EL element does not require a backlight source required for a liquid crystal display device or the like, a thin, lightweight, high-contrast, and low-power display device can be realized. For example, patent document 1 discloses an example of a display device using an organic EL element.
[ Prior Art literature ]
[ patent literature ]
[ patent document 1] Japanese patent application laid-open No. 2002-324673
Disclosure of Invention
Technical problem to be solved by the invention
As an organic EL display device capable of full-color display, a structure in which a white light emitting device and a color filter are combined and a structure in which each light emitting device of R, G, B is formed on the same surface is known.
The latter structure is desirable in terms of power consumption, and in the current production of small and medium-sized panels, the respective coating of the luminescent material is performed using a metal mask or the like. However, since alignment accuracy is low in a process using a metal mask, it is necessary to reduce the occupied area of the light emitting device in a pixel, and thus it is not easy to increase the aperture ratio.
Therefore, it is not easy to increase the density or luminous intensity of pixels in a process using a metal mask. In order to increase the aperture ratio, it is preferable to enlarge the area of the light emitting device by using a photolithography process or the like. However, since the reliability of the material constituting the light emitting device is deteriorated by the invasion of impurities (water, oxygen, hydrogen, etc.) in the atmosphere, it is necessary to perform a plurality of steps in the region where the atmosphere is controlled.
Alternatively, when a light emitting device is manufactured by a vacuum vapor deposition method using a metal mask, there is a problem in that a manufacturing apparatus of a plurality of production lines is required. For example, since it is necessary to regularly clean a metal mask, it is necessary to prepare at least two or more manufacturing apparatuses, and when one manufacturing apparatus is in maintenance, it is manufactured by using another manufacturing apparatus, and when considering mass production, it is necessary to use a plurality of manufacturing apparatuses for the lines. Therefore, there is a problem that the initial investment for introducing the manufacturing apparatus is large.
In addition, AR and VR require small high definition displays. The displays for AR and VR are preferably provided in devices such as glasses type and goggles type having a small volume, and therefore, a narrow frame is preferable. It is preferable that a driver or the like of the pixel circuit is provided in a lower portion of the pixel circuit.
Accordingly, an object of one embodiment of the present invention is to provide a light-emitting device manufacturing apparatus capable of continuously performing steps from processing of an organic compound film to sealing without exposure to the atmosphere. Another object of one embodiment of the present invention is to provide a light-emitting device manufacturing apparatus capable of continuously performing steps from formation of a light-emitting device to sealing. Further, an object of one embodiment of the present invention is to provide a manufacturing apparatus of a light emitting device capable of forming a light emitting device without using a metal mask. Further, an object of one embodiment of the present invention is to provide a method for manufacturing a light emitting device.
Note that the description of these objects does not prevent the existence of other objects. Note that one embodiment of the present invention is not required to achieve all of the above objects. Note that other objects than the above can be obtained and extracted from the description of the specification, drawings, claims, and the like.
Means for solving the technical problems
One embodiment of the present invention relates to an apparatus for manufacturing a light emitting device.
One embodiment of the present invention is a manufacturing apparatus for a light emitting device, which includes a loading chamber, a first etching apparatus, a plasma processing apparatus, a standby chamber, a deposition apparatus, a second etching apparatus, an unloading chamber, a transfer chamber, and a transfer apparatus. Wherein the conveying device is arranged in the conveying chamber. The loading chamber, the first etching device, the plasma processing device, the standby chamber, the deposition device, the second etching device and the unloading chamber are respectively connected with the transfer chamber through gate valves. The transfer device may transfer the object to be processed from any one of the loading chamber, the first etching device, the plasma processing device, the standby chamber, the deposition device, the second etching device, and the unloading chamber to any other, transfer the object to be processed, in which the organic compound film, the first inorganic film, and the resist mask are sequentially stacked on the silicon substrate, into the loading chamber, transfer the object to be processed in the order of the first etching device, the plasma processing device, the standby chamber, the deposition device, and the second etching device, process the organic compound film into an island-shaped organic compound layer, form a protective layer on a side surface of the organic compound layer, and transfer the object to be processed into the unloading chamber.
The first etching device is a dry etching device, and the first inorganic film is formed in an island shape using the resist mask as a mask, and the organic compound film can be formed in an island shape using the island-shaped first inorganic film as a mask.
In addition, the first etching apparatus may have an ashing function of removing the resist mask.
The plasma processing apparatus may clean the side surfaces of the island-shaped organic compound layer by irradiating the side surfaces of the island-shaped organic compound layer with plasma generated from an inert gas.
The standby chamber can accommodate a plurality of objects to be processed.
The deposition apparatus is an ALD apparatus, and can deposit a second inorganic film covering the island-shaped first inorganic film and the island-shaped organic compound layer. In addition, the deposition apparatus may be a batch type apparatus.
The second etching device is a dry etching device, and the protective layer can be formed on the side surface of the island-shaped organic compound layer by anisotropically etching the second inorganic film.
The apparatus for manufacturing a light-emitting device may be configured by using the apparatus for manufacturing a light-emitting device as a third combination device, using a plurality of apparatuses for performing a photolithography process for a resist mask as a second combination device, and using a plurality of apparatuses for performing a deposition process for an organic compound film and a first inorganic film as a first combination device.
The first combining device, the second combining device, and the third combining device may be connected in this order.
Further, the workpiece may be stored and transported in a container controlled to an inert gas atmosphere between the first and second combined equipment and between the second and third combined equipment.
The light emitting device manufacturing apparatus may be configured by combining three first combining devices, three second combining devices, and three third combining devices.
The first combined device may also have a surface treatment means. The surface treatment apparatus may use plasma generated from a halogen-containing gas.
The first combined apparatus may include one or more deposition devices selected from the group consisting of an evaporation device, a sputtering device, a CVD device, and an ALD device.
The second combined apparatus may include a coating device, an exposing device, a developing device, and a baking device.
Effects of the invention
By using one embodiment of the present invention, it is possible to provide a light-emitting device manufacturing apparatus capable of continuously performing the steps from processing of an organic compound film to sealing without exposure to the atmosphere. Further, it is possible to provide a light-emitting device manufacturing apparatus capable of continuously performing steps from formation of a light-emitting device to sealing. Further, a manufacturing apparatus of a light emitting device capable of forming a light emitting device without using a metal mask can be provided. Further, a method of manufacturing a light emitting device may be provided.
Note that the description of these effects does not prevent the existence of other effects. Note that one mode of the present invention is not required to have all of the above effects. Note that effects other than the above can be extracted from the description of the specification, drawings, claims, and the like.
Brief description of the drawings
Fig. 1 is a diagram illustrating a manufacturing apparatus.
Fig. 2A and 2B are diagrams illustrating a manufacturing apparatus.
Fig. 3 is a block diagram illustrating a manufacturing apparatus.
Fig. 4 is a diagram illustrating a manufacturing apparatus.
Fig. 5 is a diagram illustrating a manufacturing apparatus.
Fig. 6 is a diagram illustrating a manufacturing apparatus.
Fig. 7 is a diagram illustrating a manufacturing apparatus.
Fig. 8 is a diagram illustrating a manufacturing apparatus.
Fig. 9 is a block diagram illustrating a manufacturing apparatus.
Fig. 10 is a diagram illustrating a manufacturing apparatus.
Fig. 11 is a diagram illustrating a manufacturing apparatus.
Fig. 12A to 12C are diagrams illustrating conveyance of a substrate.
Fig. 13A to 13C are diagrams illustrating conveyance of a substrate.
Fig. 14 is a diagram illustrating a manufacturing apparatus.
Fig. 15A and 15B are diagrams illustrating the carry-in and carry-out of the cassette. Fig. 15C is a diagram illustrating the transfer cart and the transfer container.
Fig. 16A is a diagram illustrating a vacuum process apparatus. Fig. 16B is a diagram illustrating a process of loading a substrate into a vacuum processing apparatus.
Fig. 17A to 17C are diagrams showing an example of the number of taken out of the display device for one substrate.
Fig. 18A to 18G are diagrams illustrating a vacuum process apparatus.
Fig. 19 is a diagram illustrating a display device.
Fig. 20A to 20C are diagrams illustrating the display device.
Fig. 21A to 21F are diagrams illustrating a manufacturing method of the display device.
Fig. 22A to 22F are diagrams illustrating a manufacturing method of the display device.
Fig. 23A to 23F are diagrams illustrating a manufacturing method of the display device.
Fig. 24A to 24F are diagrams illustrating a manufacturing method of the display device.
Fig. 25A and 25B are diagrams illustrating a method of manufacturing the display device. Fig. 25C and 25D are enlarged views of fig. 25B. Fig. 25E and 25F are diagrams illustrating the display device.
Fig. 26 is a diagram illustrating a manufacturing apparatus.
Fig. 27 is a diagram illustrating a measurement result of TDS.
Modes for carrying out the invention
The embodiments will be described in detail with reference to the accompanying drawings. It is noted that the present invention is not limited to the following description, and one of ordinary skill in the art can easily understand the fact that the manner and details thereof can be changed into various forms without departing from the spirit and scope of the present invention. Therefore, the present invention should not be construed as being limited to the description of the embodiments shown below. In the structure of the invention described below, the same reference numerals are used in common in different drawings to denote the same parts or parts having the same functions, and the repetitive description thereof will be omitted. In addition, shading of the same constituent elements may be omitted or changed as appropriate in different drawings.
(embodiment 1)
In this embodiment mode, a manufacturing apparatus of a light emitting device according to an embodiment of the present invention is described with reference to the drawings.
One embodiment of the present invention is a manufacturing apparatus mainly used for forming a display device including a light-emitting device (also referred to as a light-emitting element) such as an organic EL element. In order to achieve miniaturization of the organic EL element or increase in occupied area in the pixel, a photolithography step is preferably used. However, reliability is lost when impurities such as water, oxygen, hydrogen, and the like enter the organic EL element. Therefore, it is necessary to take measures such as sealing the surface and side surfaces of the patterned organic layer so as not to be exposed to the atmosphere, and controlling the atmosphere to be an inert gas atmosphere having a low dew point from the manufacturing stage.
In addition, the manufacturing apparatus according to one embodiment of the present invention can continuously perform a deposition process, a photolithography process, an etching process, and a sealing process for forming a light emitting device without being exposed to the atmosphere. Therefore, a highly reliable micro light emitting device with high luminance can be formed. In addition, the manufacturing apparatus according to one embodiment of the present invention is a tandem apparatus in which the apparatus is arranged in the order of the steps of the light emitting device, and can manufacture the light emitting device with high throughput.
In addition, a silicon wafer may be used as a support substrate for forming the light-emitting device. By pre-forming the driving powerA silicon wafer for a circuit, a pixel circuit, or the like is used as a supporting substrate, and a light-emitting device can be formed over the circuit. Thus, a display device with a narrow frame suitable for AR or VR can be formed. The silicon wafer is preferablyOver inches (e.g.)>Inches). Note that the support substrate forming the light-emitting device is not limited to the above-described substrate. For example, as a support substrate forming a light-emitting device, glass, quartz, ceramic, sapphire, resin, metal, alloy, semiconductor (for example, gaAs), or the like can be used.
Structural example 1 ]
Fig. 1 is a diagram illustrating a manufacturing apparatus of a light emitting device according to an embodiment of the present invention. The manufacturing apparatus can perform a step of processing the organic compound film into an island-shaped organic compound layer in a step of manufacturing a light-emitting device, and a step of forming a layer for protecting the organic compound layer. Therefore, the organic compound layer which is a constituent element of the light-emitting device can be taken out of the unloading chamber without being exposed to the atmosphere, whereby a light-emitting device with high reliability can be formed.
The manufacturing apparatus includes a loading chamber LD, an unloading chamber ULD, a standby chamber W, a transfer chamber TF, and a plurality of process chambers. The transfer chamber TF is provided with a transfer device 70.
The loading chamber LD, the standby chamber W, the unloading chamber ULD, and the plurality of processing chambers are connected to the transfer chamber TF through gate valves 40, respectively.
The transfer device 70 may transfer the workpiece from any one of the loading chamber LD, the standby chamber W, the unloading chamber ULD, and the processing chambers to any other. Note that in this specification, a group of devices sharing a conveyor or the like is referred to as a combined apparatus. The workpiece is an object to be processed in the manufacturing apparatus, and includes not only an object before processing but also an object subjected to a plurality of processes.
In addition, the load chamber LD and the unload chamber ULD are controlled to be depressurized or normal pressure when the manufacturing apparatus is operated. The transfer chamber TF, the standby chamber W, and the plurality of processing chambers are controlled to be depressurized.
For example, an etching apparatus E1, a plasma processing apparatus C, a deposition apparatus D, and an etching apparatus E2 may be used as the plurality of processing chambers. The work to be put into the manufacturing apparatus may include, for example, a laminate in which an organic compound film, an inorganic film, and a resist mask are laminated in this order.
The etching apparatus E1 may be a dry etching apparatus. The etching apparatus E1 can be used for a step of processing an inorganic film and an organic compound film, which are objects to be processed, into an island-shaped organic compound layer. The etching apparatus E1 may have an ashing function. The resist mask can be removed by the ashing function.
The plasma processing apparatus C includes, for example, a pair of electrodes in parallel plate form, and a voltage is applied to the electrodes in an inert gas atmosphere under reduced pressure to generate plasma. By irradiating the workpiece with plasma generated from the inert gas, the reaction product, the adsorbed gas, and the like remaining on the surface of the workpiece can be removed. Examples of the inert gas include noble gases such as helium, argon, neon, and the like, nitrogen, and a mixed gas thereof, which have high purity.
In addition, it is preferable to perform vacuum baking treatment in the same apparatus before or after the plasma treatment to remove water or the like adsorbed on the surface. The vacuum baking treatment is preferably performed at a temperature range that does not deteriorate the organic compound layer, and may be performed at 70 ℃ or higher and 120 ℃ or lower, more preferably 80 ℃ or higher and 100 ℃ or lower, for example. The vacuum baking treatment may be performed in the deposition apparatus D before the deposition in the next step.
The standby chamber W can standby a plurality of objects to be processed. For example, when the deposition apparatus D is of a batch processing type, processing is performed in the etching apparatus E1 and the plasma processing apparatus C to hold a plurality of objects to be processed in the standby chamber W, thereby improving throughput.
In addition, a plurality of standby chambers W may be provided. For example, a standby chamber W for causing the workpiece to stand by after the batch process is completed in the deposition apparatus D may be provided. By taking out all the objects to be processed from the deposition apparatus D, the next process can be performed in the deposition apparatus D, whereby the throughput can be improved.
The deposition apparatus D may be, for example, a deposition apparatus such as an evaporation apparatus, a sputtering apparatus, a CVD (Chemical Vapor Deposition ) apparatus, an ALD (Atomic Layer Deposition, atomic layer deposition) apparatus, or the like. In particular, an ALD apparatus having a good coverage is preferably used. A protective film such as an inorganic film covering the island-shaped organic compound layer can be formed by the deposition device D. The deposition apparatus D is not limited to a single layer, and two or more different kinds of films may be deposited. The deposition apparatus D is not limited to the batch processing type, and may be a single wafer processing type.
The etching apparatus E2 may be a dry etching apparatus capable of performing anisotropic etching processing. The protective film covering the island-like organic compound can be partially left on the side surface of the island-like organic compound by anisotropic etching. A part of the protective film may be used as a protective layer for protecting the side surfaces of the island-like organic compound.
An inorganic film or the like is provided on the top surface of the island-shaped organic compound, and the etching apparatus E1, the plasma processing apparatus C, the deposition apparatus D, and the etching apparatus E2 are sequentially used to form a protective layer on the side surface of the island-shaped organic compound layer, whereby the island-shaped organic compound layer is sealed.
Therefore, even when the object to be processed is taken out of the unloading chamber to the atmosphere after processing, the island-shaped organic compound layer can be kept from being exposed to the atmosphere, and a highly reliable light-emitting device can be formed. The details of the manufacturing process of the light emitting device using the manufacturing apparatus will be described later.
The manufacturing apparatus may be configured as shown in fig. 2A. The manufacturing apparatus shown in fig. 2A is different from the manufacturing apparatus shown in fig. 1 in that it includes a surface treatment apparatus S.
The surface treatment device S may have the same configuration as the plasma treatment device C, and may perform a surface treatment process. The surface state (wettability, etc.) of the workpiece may vary according to the processing in the etching apparatus E2. When the next step of the object to be processed carried out from the unloading chamber ULD is deposition of the organic compound film, defects such as peeling may occur if the surface of the object to be processed is not in an appropriate state. Therefore, the surface treatment device S preferably uses plasma treatment using a halogen-containing gas to improve the surface state of the workpiece.
For example, when the deposited surface is oxide, the oxide surface may become hydrophilic by the treatment of the etching device E1 or E2. In this case, the hydrophilic group on the surface of the deposition target surface can be replaced with fluorine or a fluoroalkyl group by plasma treatment using a fluorine-based gas to be rendered hydrophobic, whereby peeling failure can be prevented. As the fluorine-based gas, CF, for example, may be used 4 、C 2 F 6 、C 4 F 6 、C 4 F 8 、CHF 3 Isocarbon compound, SF 6 、NF 3 Etc. Helium, argon, hydrogen, or the like may be added to the gas.
Alternatively, the surface treatment device S may be a coating device. For example, spin coating, dip coating, spray coating, or the like, or a method of exposing a work to a coating agent atmosphere, or the like can be used. For example, a silane coupling agent such as HMDS (Hexamethyldisilazane) can be used as the coating agent, and thus the surface of the workpiece can be hydrophobized.
When the surface treatment device S is not required, another device may be provided at the position of the surface treatment device S. For example, by providing a plurality of etching apparatuses E1, plasma processing apparatuses C, deposition apparatuses D, and etching apparatuses E2, which have long processing time, and performing processing simultaneously by these apparatuses, the throughput can be improved.
For example, a plurality of deposition devices D may be provided. In the deposition apparatus D in the manufacturing apparatus of fig. 1, two or more different types of films may be provided. Even in the case of only one deposition apparatus D, when the deposition apparatus D is an ALD apparatus or a CVD apparatus, different films can be deposited by switching source gases or switching targets using a sputtering apparatus.
However, it is difficult to dispose a deposition apparatus such as an ALD apparatus and a sputtering apparatus in a single process chamber. Therefore, a plurality of deposition devices D may be provided.
Alternatively, other steps may be performed in other devices provided at the position of the surface treatment device S. In addition, the surface treatment device S may be provided in the configuration of fig. 1. The surface treatment device S may be provided in another combination apparatus for performing the deposition process.
The manufacturing apparatus may also be configured as shown in fig. 2B. The manufacturing apparatus shown in fig. 2B is different from the manufacturing apparatus shown in fig. 1 in that the standby chamber W is omitted.
When the process time of the deposition apparatus D does not affect the throughput of the entire apparatus, the standby chamber W may be omitted. For example, the structure shown in fig. 2B may be employed when the deposition apparatus D is a single-wafer type capable of high-speed deposition.
< structural example 2>
Fig. 3 is a block diagram illustrating a manufacturing apparatus of a light emitting device according to an embodiment of the present invention. The manufacturing apparatus includes a plurality of combined apparatuses arranged in the order of steps, and includes the manufacturing apparatus of the above configuration example 1 as a part thereof. The substrate forming the light emitting device is sequentially moved between the plurality of combining apparatuses and subjected to each process.
The manufacturing apparatus shown in fig. 3 is an example including the combination devices C1 to C18. The combination devices C1 to C18 are connected in this order, and the substrate 60a placed in the combination device C1 can be used as the substrate 60b on which the light emitting device is formed
Is taken out from the combining device C18.
The combination devices C1, C3, C5, C7, C9, C11, C13, C15, C17 here comprise a group of devices for carrying out the process under atmosphere control. . The combination equipments C2, C4, C6, C10, C12, C14, C16, and C18 include a group of apparatuses for performing a vacuum process (depressurization process). The combined device shown in structural example 1 can be used as the combined devices C4, C8, C12.
The combination apparatuses C1, C5, and C9 mainly include a device for washing and baking a substrate, and the like. The combined devices C2, C6, C10 mainly include a device or the like that forms an organic compound included in the light emitting device. The combination apparatuses C3, C7, C11, and C15 mainly include devices for performing photolithography steps, and the like. The combined apparatuses C4, C8, C12, and C14 mainly include devices and the like for performing an etching process, an ashing process, and a protective layer forming process. The combined apparatus C13 includes a device or the like for performing the resin filling process. The combined apparatuses C16 and C17 mainly include a device or the like for performing an etching process. The combination device C18 mainly includes a device for forming an organic compound included in the light-emitting device, a device for forming a protective film for sealing the light-emitting device, and the like.
Next, details of the combination devices C1 to C18 will be described with reference to fig. 4 to 8.
< Combined equipment C1 to Combined equipment C4>
Fig. 4 is a plan view illustrating the combining devices C1 to C4. The combination C1 is connected to the combination C2 via the load lock chamber B1. The combination C2 is connected to the combination C3 via the load lock chamber B2. The combination C3 is connected to the combination C4 via the load lock chamber B3. The combination C4 is connected to a combination C5 (see fig. 3) through a load lock chamber B4.
< atmospheric pressure Process apparatus A >
The combination device C1 and the combination device C3 comprise an atmospheric pressure process device A. The combined equipment C1 includes a transfer chamber TF1 and an atmospheric process device a (atmospheric process devices A1 and A2) that performs a process mainly under atmospheric pressure. The combined apparatus C3 includes a transfer chamber TF3 and an atmospheric process unit a (atmospheric process units A3 to A7). Further, a loading chamber LD is provided in the combined apparatus C1.
The number of the normal pressure process units a included in each combination equipment may be one or more according to the purpose. The atmospheric pressure process apparatus a is not limited to the process at atmospheric pressure, and may be controlled to a pressure slightly lower than or slightly higher than the atmospheric pressure. In addition, when a plurality of atmospheric process units a are provided, the atmospheric pressure may be different from one unit to another.
The transfer chambers TF1 and TF3 and the atmospheric pressure process device a are connected to a valve for introducing an Inert Gas (IG), and the inside thereof can be controlled to an inert gas atmosphere. As the inert gas, noble gases such as nitrogen, argon, helium, and the like can be used. In addition, the dew point of the inert gas is preferably low (for example, below-50 ℃). By performing the process in an inert gas atmosphere having a low dew point, contamination of impurities can be prevented, and thus a light-emitting device having high reliability can be formed.
As the normal pressure process apparatus a included in the combined apparatus C1, a washing apparatus, a baking apparatus, or the like can be used. For example, a rotary washing device, a heated plate type roasting device, or the like may be used. The baking device may be a vacuum baking device.
As the atmospheric pressure process apparatus a included in the combined apparatus C3, an apparatus for performing a photolithography process may be used. For example, a resin (photoresist) coating device, an exposure device, a developing device, a baking device, and the like may be used in performing the photolithography process, and a resin (UV curable resin, and the like) coating device, a nanoimprint device, and the like may be used in performing the photolithography process by nanoimprint. In addition, a washing apparatus, a wet etching apparatus, a coating apparatus, a resist stripping apparatus, and the like may be used for the normal pressure process apparatus a, depending on the application.
The combination apparatus C1 shows an example in which each of the atmospheric process units A1, A2 is connected to the transfer chamber TF1 through a gate valve. Further, the combination apparatus C3 shows an example in which each of the atmospheric process units A3 to A7 is connected to the transfer chamber TF3 through a gate valve. By providing a gate valve, air pressure control, inert gas type control, cross contamination prevention, and the like can be performed.
The transfer chamber TF1 is connected to the loading chamber LD via a gate valve. The load lock chamber B1 is connected to another gate valve. The transfer chamber TF1 is provided with a transfer device 70a. The transfer device 70a may transfer the substrate from the loading chamber LD to the atmospheric process device a. In addition, the substrate taken out from the atmospheric process apparatus a may be transferred to the load lock chamber B1.
The transfer chamber TF3 is connected to the load lock chamber B2 via a gate valve. The load lock chamber B3 is connected to another gate valve. The transfer chamber TF3 is provided with a transfer device 70b. The transfer device 70B may transfer the substrate from the load lock chamber B2 to the atmospheric process device a. In addition, the substrate taken out from the atmospheric process apparatus a may be transferred to the load lock chamber B3.
< vacuum Process apparatus V >
The combined apparatus C2 and the combined apparatus C4 comprise a vacuum process device V. The combined apparatus C2 comprises a transfer chamber TF2 and vacuum process units V (vacuum process units V1 to V5). The combined apparatus C4 comprises a transfer chamber TF4 and vacuum process units V (vacuum process units V6 to V10).
The number of vacuum process units V included in each combination device may be one or more according to the purpose. The vacuum processing apparatus V is connected to a vacuum pump VP, and gate valves are provided between transfer chambers TF (transfer chambers TF2 and TF 4), respectively. Thus, different processes can be performed in parallel in each vacuum process apparatus V.
Note that the vacuum process means a process under an environment controlled to be depressurized. Therefore, in the vacuum process, a process of introducing a process gas to perform pressure control under reduced pressure is included in addition to the process under high vacuum.
The independent vacuum pumps VP are also provided in the transfer chambers TF2, TF4, so that cross-contamination in the process performed in the vacuum process apparatus V can be prevented.
As the vacuum processing apparatus V included in the combined apparatus C2, for example, a surface treatment apparatus, a deposition apparatus such as a vapor deposition apparatus, a sputtering apparatus, a CVD apparatus, and an ALD apparatus can be used. The surface treatment apparatus may have the function of the surface treatment apparatus S described with reference to fig. 2B, and is preferably a plasma treatment apparatus.
As the CVD apparatus, a thermal CVD apparatus using heat, a PECVD apparatus using plasma (Plasma Enhanced CVD apparatus: plasma enhanced chemical vapor deposition) or the like can be used. Further, as the ALD apparatus, a thermal ALD apparatus using heat, a PEALD apparatus using a reactant excited by plasma (Plasma Enhanced ALD apparatus: plasma-enhanced atomic layer deposition apparatus), or the like may be used.
The vacuum processing apparatus V included in the combined apparatus C4 may be the apparatus shown in the structure example 1, and for example, a dry etching apparatus (having an ashing function), a plasma processing apparatus (cleaning), an ALD apparatus, a dry etching apparatus, or the like may be used. In addition, the standby chamber W shown in fig. 1 may be used.
The transfer chamber TF2 is connected to the load lock chamber B1 via a gate valve. Further, the load lock chamber B2 is connected to another gate valve. The transfer chamber TF2 is provided with a transfer device 71a. The transfer device 71a may reversely transfer the substrate disposed in the load lock chamber B1 up and down to the vacuum process device V. Further, the substrate taken out from the vacuum processing apparatus V may be transferred to the load lock chamber B2 upside down.
The transfer chamber TF4 is connected to the load lock chamber B3 via a gate valve. The load lock chamber B4 is connected to another gate valve. The transfer chamber TF4 is provided with a transfer device 70c. The substrate may be transferred from the load lock chamber B3 to the vacuum process apparatus V and to the load lock chamber B4 by the transfer means 70c.
The load lock chambers B1, B2, B3, and B4 are provided with vacuum pumps VP and valves for introducing inert gas. Thus, the load lock chambers B1, B2, B3, B4 may be controlled to be depressurized or an inert gas atmosphere. For example, when transferring the substrate from the cluster tool C2 to the cluster tool C3, the inside of the load lock chamber B2 may be depressurized and the substrate may be transferred from the cluster tool C2, and after the inside of the load lock chamber B2 is subjected to the inert gas atmosphere, the substrate may be transferred to the cluster tool C3.
The transfer devices 70a, 70b, and 70c have a mechanism for transferring the substrates by placing the substrates on the hands. Since the transfer devices 70b and 70c are operated at normal pressure, the hand may be provided with a vacuum suction mechanism or the like. The transfer device 71a has a mechanism for fixing the substrate to the hand and transferring the substrate. Since the conveyor 71a operates under reduced pressure, for example, an electrostatic adsorption mechanism or the like can be used as the fixing method.
As described above, since the transfer devices 70a, 70B, and 70c have different structures from the transfer device 71a, the load lock chambers B1 and B2 are provided with the stages 80a and 80B capable of mounting substrates on pins. Further, the load lock chambers B3 and B4 are provided with stages 81a and 81B on the surfaces of which substrates can be placed. Note that these are just one example, and other configurations of stage may be used. The delivery of the substrate in the load lock chamber B1 will be described in detail later.
< Combined equipment C5 to Combined equipment C8>
Fig. 5 is a plan view illustrating the combining device C5 to the combining device C8. The combination C5 is connected to the combination C6 via the load lock chamber B5. The combination C6 is connected to the combination C7 via the load lock chamber B6. The combination C7 is connected to the combination C8 via the load lock chamber B7. The combination C8 is connected to a combination C9 (see fig. 6) through a load lock chamber B8.
The basic structures of the combination devices C5 to C8 are the same as those of the combination devices C1 to C4, the combination device C5 corresponds to the combination device C1, the combination device C6 corresponds to the combination device C2, the combination device C7 corresponds to the combination device C3, and the combination device C8 corresponds to the combination device C4. Further, the loading chamber LD in the combining device C1 is replaced with the loading lock chamber B4 in the combining device C5.
Load lock chamber B5 corresponds to load lock chamber B1, load lock chamber B6 corresponds to load lock chamber B2, load lock chamber B7 corresponds to load lock chamber B3, and load lock chamber B8 corresponds to load lock chamber B4.
Only the structure will be described below. For details of the cluster tool and load lock chambers, reference may be made to the description of cluster tool C1 through cluster tool C4 and load lock chambers B1 through B4.
The combination C5 and the combination C7 comprise an atmospheric process unit a. The combined equipment C5 includes a transfer chamber TF5 and an atmospheric process device a (atmospheric process devices A8 and A9) that performs a process mainly under atmospheric pressure. The combined apparatus C7 includes a transfer chamber TF7 and atmospheric process units a (atmospheric process units a10 to a 14).
The combined apparatus C6 and the combined apparatus C8 comprise a vacuum process device V. The combined apparatus C6 comprises a transfer chamber TF6 and vacuum process units V (vacuum process units V11 to V15). The combined apparatus C8 comprises a transfer chamber TF8 and vacuum process units V (vacuum process units V16 to V20).
The transfer chamber TF5 is connected to the load lock chamber B4 via a gate valve. Further, the load lock chamber B5 is connected to another gate valve. The transfer chamber TF5 is provided with a transfer device 70d. The transfer device 70d may transfer the substrate from the load lock chamber B4 to the atmospheric process device a. In addition, the substrate taken out from the atmospheric process apparatus a may be transferred to the load lock chamber B5.
The transfer chamber TF6 is connected to the load lock chamber B5 via a gate valve. The load lock chamber B6 is connected to another gate valve. The transfer chamber TF6 is provided with a transfer device 71b. The transfer device 71B may reversely transfer the substrate disposed in the load lock chamber B5 up and down to the vacuum process device V. In addition, the substrate taken out from the vacuum processing apparatus V may be transferred to the load lock chamber B6 upside down.
The transfer chamber TF7 is connected to the load lock chamber B6 via a gate valve. The load lock chamber B7 is connected to another gate valve. The transfer chamber TF7 is provided with a transfer device 70e. The transfer device 70e may transfer substrates from the load lock chamber B6 to the atmospheric process device a. In addition, the substrate taken out from the atmospheric process apparatus a may be transferred to the load lock chamber B7.
The transfer chamber TF8 is connected to the load lock chamber B7 via a gate valve. Further, the load lock chamber B8 is connected to another gate valve. The transfer chamber TF8 is provided with a transfer device 70f. The transfer device 70f may transfer the substrate from the load lock chamber B7 to the vacuum process device V. In addition, the substrate taken out from the vacuum processing apparatus V may be transferred to the load lock chamber B8.
The load lock chambers B5 and B6 are provided with stages 80c and 80d for mounting substrates on pins. Further, stages 81c and 81d capable of disposing the substrates on the surfaces thereof are provided in the load lock chambers B7 and B8.
< Combined installation C9 to Combined installation C12>
Fig. 6 is a plan view illustrating the combining device C9 to the combining device C12. The combination C9 is connected to the combination C10 through the load lock chamber B9. The combination C10 is connected to the combination C11 through the load lock chamber B10. The combination C11 is connected to the combination C12 through the load lock chamber B11. The combination C12 is connected to the combination C13 (see fig. 7) through the load lock chamber B12.
The basic structures of the combination devices C9 to C12 are the same as those of the combination devices C1 to C4, the combination device C9 corresponds to the combination device C1, the combination device C10 corresponds to the combination device C2, the combination device C11 corresponds to the combination device C3, and the combination device C12 corresponds to the combination device C4. Further, the loading chamber LD in the combining device C1 is replaced with the loading lock chamber B8 in the combining device C5. In addition, the vacuum processing apparatus V10 in the combined apparatus C4 is omitted from the combined apparatus C12.
Load lock chamber B9 corresponds to load lock chamber B1, load lock chamber B10 corresponds to load lock chamber B2, load lock chamber B11 corresponds to load lock chamber B3, and load lock chamber B12 corresponds to load lock chamber B4.
Only the structure will be described below. For details of the cluster tool and load lock chambers, reference may be made to the description of cluster tool C1 through cluster tool C4 and load lock chambers B1 through B4.
The combination C9 and the combination C11 comprise an atmospheric process unit a. The combined equipment C9 includes a transfer chamber TF9 and an atmospheric process device a (atmospheric process devices a15 and a 16) that performs a process mainly under atmospheric pressure. The combined apparatus C11 includes a transfer chamber TF11 and atmospheric process units a (atmospheric process units a17 to a 21).
The transfer chamber TF9 is connected to the load lock chamber B8 via a gate valve. Further, the load lock chamber B9 is connected thereto via another gate valve. The transfer chamber TF9 is provided with a transfer device 70g. The transfer device 70g may transfer substrates from the load lock chamber B8 to the atmospheric process device a. In addition, the substrate taken out from the atmospheric process apparatus a may be transferred to the load lock chamber B9.
The transfer chamber TF11 is connected to the load lock chamber B10 via a gate valve. Further, the load lock chamber B11 is connected to another gate valve. The transfer chamber TF11 is provided with a transfer device 70h. The transfer device 70h may transfer the substrate from the load lock chamber B10 to the atmospheric process device a. In addition, the substrate taken out from the atmospheric process apparatus a may be transferred to the load lock chamber B11.
The combined apparatus C10 and the combined apparatus C12 include a vacuum process device V. The combined apparatus C10 includes a transfer chamber TF10 and vacuum process units V (vacuum process units V21 to V25). The combined apparatus C12 comprises a transfer chamber TF12 and vacuum process units V (vacuum process units V26 to V29).
The transfer chamber TF10 is connected to the load lock chamber B9 via a gate valve. Further, the load lock chamber B10 is connected to another gate valve. The transfer chamber TF10 is provided with a transfer device 71c. The transfer device 71c may reversely transfer the substrate disposed in the load lock chamber B9 up and down to the vacuum process device V. Further, the substrate taken out from the vacuum processing apparatus V may be transferred to the load lock chamber B10 upside down.
The transfer chamber TF12 is connected to the load lock chamber B11 via a gate valve. Further, the load lock chamber B12 is connected thereto via another gate valve. The transfer chamber TF12 is provided with a transfer device 70i. The substrate may be transferred from the load lock chamber B11 to the vacuum process apparatus V and to the load lock chamber B12 by the transfer device 70i.
The load lock chambers B9 and B10 are provided with stages 80e and 80f for mounting substrates on pins. Further, stage 81e and 81f capable of disposing a substrate on the surface thereof are provided in the load lock chambers B11 and B12.
< Combined devices C13 to C16>
Fig. 7 is a plan view illustrating the combining device C13 to the combining device C16. The combination C13 is connected to the combination C14 through the load lock chamber B13. The combination C14 is connected to the combination C15 through the load lock chamber B14. The combination C15 passes through the load lock chamber B15 and the combination C16. The combination C16 is connected to a combination C17 (see fig. 8) through the load lock chamber B16.
The combination C13 and the combination C15 comprise an atmospheric process unit a. The combined equipment C13 includes a transfer chamber TF13 and an atmospheric process unit a (atmospheric process units a22 to a 26) that performs a process mainly under atmospheric pressure. The combined equipment C15 includes a transfer chamber TF15 and atmospheric process units a (atmospheric process units a27 to a 31) that perform processes mainly under atmospheric pressure.
As the atmospheric pressure process apparatus a included in the combined apparatus C13, an apparatus for performing the same photolithography process as the combined apparatus C3 may be used. The apparatus for performing the photolithography process may perform the filling process of the resin.
The transfer chamber TF13 is connected to the load lock chamber B12 via a gate valve. Further, the load lock chamber B13 is connected thereto via another gate valve. The transfer chamber TF13 is provided with a transfer device 70j. The transfer device 70j may transfer substrates from the load lock chamber B12 to the atmospheric process device a. In addition, the substrate taken out from the atmospheric process apparatus a may be transferred to the load lock chamber B13.
The basic structure of the combined device C15 is the same as that of the combined device C2. The transfer chamber TF15 is connected to the load lock chamber B14 via a gate valve. Further, the load lock chamber B15 is connected thereto via another gate valve. The transfer chamber TF15 is provided with a transfer device 70m. The transfer device 70m may transfer the substrate from the load lock chamber B14 to the atmospheric process device a. In addition, the substrate taken out from the atmospheric process apparatus a may be transferred to the load lock chamber B15.
The combining device C14 and the combining device C16 comprise a vacuum process device V. The cluster tool C14 comprises a transfer chamber TF14 and vacuum process units V (vacuum process units V30 and V31). The combining apparatus C16 includes a transfer chamber TF16 and a vacuum process device V (vacuum process device V32).
As the vacuum process apparatus V included in the combined apparatus C14, for example, an ashing apparatus, a dry etching apparatus (having an ashing function), an ALD apparatus, a CVD apparatus, a sputtering apparatus, or the like can be used.
The transfer chamber TF14 is connected to the load lock chamber B13 via a gate valve. Further, the load lock chamber B14 is connected thereto via another gate valve. The transfer chamber TF14 is provided with a transfer device 70k. The transfer device 70k may transfer the substrate from the load lock chamber B13 to the vacuum process device V. In addition, the substrate taken out from the vacuum process apparatus V may be transferred to the load lock chamber B14.
As the vacuum processing apparatus V included in the combined apparatus C16, for example, a dry etching apparatus or the like can be used.
The transfer chamber TF16 is connected to the load lock chamber B15 via a gate valve. Further, the load lock chamber B16 is connected thereto via another gate valve. The transfer chamber TF16 is provided with a transfer device 70n. The transfer device 70n may transfer the substrate from the load lock chamber B15 to the vacuum process device V. In addition, the substrate taken out from the vacuum processing apparatus V may be transferred to the load lock chamber B16.
Stages 81g, 81h, 81i, 81j on which substrates can be placed are provided in the load lock chambers B13 to B16. The load lock chambers B13 to B16 are provided with vacuum pumps VP and valves for introducing inert gas. Thus, the load lock chamber B13 may be controlled to be a reduced pressure or an inert gas atmosphere.
< Combined devices C17, C18>
Fig. 7 is a plan view illustrating the combined apparatuses C17, C18. The combination C17 is connected to the combination C18 via the load lock chamber B17.
The combined plant C17 has an atmospheric process unit a. The combined equipment C17 includes a transfer chamber TF17 and an atmospheric process apparatus a (atmospheric process apparatuses a32 and a 33) that mainly performs a process at atmospheric pressure.
As the atmospheric pressure process apparatus a included in the combined apparatus C17, an etching apparatus and a baking apparatus can be used. As the etching device, a wet etching device can be used. In addition, although a dry etching apparatus may be used, in this case, since the process can be performed at the combining apparatus C16, the combining apparatus C17 may be omitted. In addition, when the dry etching apparatus is used, it is preferable that the isotropic etching process can be performed by reducing the bias to the substrate side or eliminating the bias to the substrate side.
The transfer chamber TF17 is connected to the load lock chamber B16 via a gate valve. Further, the load lock chamber B17 is connected thereto via another gate valve. The transfer chamber TF17 is provided with a transfer device 70p. The transfer device 70p may transfer substrates from the load lock chamber B16 to the atmospheric process device a. In addition, the substrate taken out from the atmospheric process apparatus a may be transferred to the load lock chamber B17.
The combined apparatus C18 comprises a vacuum process unit V. The combined apparatus C18 comprises a transfer chamber TF18 and vacuum process units V (vacuum process units V33 to V35).
As the vacuum process apparatus V included in the combined apparatus C18, for example, a deposition apparatus such as a vapor deposition apparatus, a sputtering apparatus, a CVD apparatus, an ALD apparatus, or a counter substrate bonding apparatus can be used.
The transfer chamber TF18 is connected to the load lock chamber B17 through a gate valve. Furthermore, the connection to the loading and unloading chamber ULD is made via further gate valves. The transfer chamber TF18 is provided with a transfer device 71d. The transfer device 71d may transfer the substrate from the load lock chamber B17 to the vacuum process device V. In addition, the substrate taken out of the vacuum process apparatus V may be transferred to a load lock chamber ULD.
A stage 80g for placing a substrate on a pin is provided in the load lock chamber B17. The load lock chamber B17 is provided with a vacuum pump VP and a valve for introducing inert gas. Thus, the load lock chamber B17 may be controlled to be a reduced pressure or an inert gas atmosphere.
By using the manufacturing apparatus of the above structure, a light-emitting device with high reliability sealed by a protective film can be formed.
For example, a light emitting device that emits light of a first color is formed in the combined apparatuses C1 to C4, a light emitting device that emits light of a second color is formed in the combined apparatuses C5 to C8, a light emitting device that emits light of a third color is formed in the combined apparatuses C9 to C12, an insulating layer is filled in the combined apparatus C13, unnecessary portions are removed in the combined apparatuses C14 to C17, a protective film is formed in the combined apparatus C18, and the above-described processes are continuously performed in an apparatus in which the atmosphere is controlled. These steps will be described in detail later.
In addition, when a light emitting device that emits white light is formed and light emitting devices that emit light of first to third colors are formed using a colored layer such as a color filter, a structure in which the combination devices C1, C2, C3, C4, C13, C14, C15, C16, C17, and C18 are sequentially connected may be employed.
< structural example 2>
Fig. 9 is a block diagram illustrating a manufacturing apparatus of a light emitting device different from that of fig. 3. The manufacturing apparatus shown in fig. 9 is an example including the combination devices C1, C2, C3, C4, C6, C7, C8, C10, C11, C12, C13, C14, C15, C16, C17, and C18, that is, the combination devices C5 and C9 are omitted from the manufacturing apparatus shown in fig. 3. The combination devices C1, C2, C3, C4, C6, C7, C8, C10, C11, C12, C13, C14, C15, C16, C17, C18 are sequentially connected, and the substrate 60a placed in the combination device C1 can be taken out from the combination device C14 as the substrate 60b formed with the light emitting device.
In the manufacturing apparatus shown in fig. 3, the combined apparatuses C5 and C9 include a washing apparatus and a baking apparatus. The step preceding the washing step is an etching (dry etching) step. The washing step may be omitted if the residual gas components, residues, deposits, etc. in these steps do not adversely affect the subsequent steps. In addition, when the washing step is omitted, the residual moisture of the substrate and the like do not need to be taken into consideration, and thus the baking step may not be required. Accordingly, the configuration of fig. 9 in which the combined equipment C5 and C9 is omitted from the manufacturing apparatus shown in fig. 3 may be adopted as the case may be. By omitting the combination devices C5, C9, the total number of combination devices and the total number of load lock chambers can be reduced.
< Combined equipment C1 to Combined equipment C4>
The structures of the combined device C1 to the combined device C4 may be the same as those shown in fig. 4. Note that the load lock chamber B4 is connected to the combining device C6.
< Combined devices C6, C7, C8, C10>
Fig. 10 is a plan view illustrating the combined apparatuses C6, C7, C8, C10. The combination C6 is connected to the combination C7 via the load lock chamber B6. The combination C7 is connected to the combination C8 via the load lock chamber B7. The combination C8 is connected to the combination C10 via the load lock chamber B9. The combination C10 is connected to a combination C11 (see fig. 11) through a load lock chamber B10.
The connection structure between the combination devices is described below. For details of the combination and load lock chambers, reference is made to the description of the combination C6, C7, C8, C10 and load lock chambers B4, B7, B9, B10 described above.
The transfer chamber TF6 included in the combination equipment C6 is connected to the load lock chamber B4 through a gate valve. The load lock chamber B6 is connected to another gate valve. The transfer chamber TF6 is provided with a transfer device 71b. The transfer device 71B may reversely transfer the substrate disposed in the load lock chamber B4 up and down to the vacuum process device V. In addition, the substrate taken out from the vacuum processing apparatus V may be transferred to the load lock chamber B6 upside down.
The transfer chamber TF7 included in the combination equipment C7 is connected to the load lock chamber B6 through a gate valve. The load lock chamber B7 is connected to another gate valve. The transfer chamber TF7 is provided with a transfer device 70e. The transfer device 70e may transfer substrates from the load lock chamber B6 to the atmospheric process device a. In addition, the substrate taken out from the atmospheric process apparatus a may be transferred to the load lock chamber B7.
The transfer chamber TF8 included in the combination equipment C8 is connected to the load lock chamber B7 through a gate valve. Further, the load lock chamber B9 is connected thereto via another gate valve. The transfer chamber TF8 is provided with a transfer device 70f. The transfer device 70f may transfer the substrate from the load lock chamber B7 to the vacuum process device V. In addition, the substrate taken out from the vacuum process apparatus V may be transferred to the load lock chamber B9.
The transfer chamber TF10 included in the combination apparatus C10 is connected to the load lock chamber B9 through a gate valve. Further, the load lock chamber B10 is connected to another gate valve. The transfer chamber TF10 is provided with a transfer device 71c. The transfer device 71c may reversely transfer the substrate disposed in the load lock chamber B9 up and down to the vacuum process device V. Further, the substrate taken out from the vacuum processing apparatus V may be transferred to the load lock chamber B10 upside down.
< Combined devices C11, C12, C13, C14>
Fig. 11 is a plan view illustrating the combined apparatuses C11, C12, C13, C14. The combination C11 is connected to the combination C12 through the load lock chamber B11. The combination C12 is connected to the combination C13 via the load lock chamber B12. The combination C13 is connected to the combination C14 through the load lock chamber B13.
The connection structure between the combination devices is described below. For details of the combination and load lock chambers, reference is made to the description of the combination C11, C12, C13, C14 and load lock chambers B11, B12, B13.
The transfer chamber TF11 included in the combination equipment C11 is connected to the load lock chamber B10 through a gate valve. Further, the load lock chamber B11 is connected to another gate valve. The transfer chamber TF6 is provided with a transfer device 70h. The transfer device 70h may transfer the substrate from the load lock chamber B10 to the atmospheric process device a. In addition, the substrate taken out from the atmospheric process apparatus a may be transferred to the load lock chamber B11.
The transfer chamber TF12 included in the combination equipment C12 is connected to the load lock chamber B11 through a gate valve. Further, the load lock chamber B12 is connected thereto via another gate valve. The transfer chamber TF12 is provided with a transfer device 70i. The transfer device 70i may transfer the substrate from the load lock chamber B11 to the vacuum process device V. In addition, the substrate taken out from the vacuum process apparatus V may be transferred to the load lock chamber B12.
The transfer chamber TF13 included in the combination equipment C13 is connected to the load lock chamber B12 through a gate valve. Further, the load lock chamber B13 is connected thereto via another gate valve. The transfer chamber TF13 is provided with a transfer device 70j. The transfer device 70j may transfer substrates from the load lock chamber B12 to the atmospheric process device a. In addition, the substrate taken out from the atmospheric process apparatus a may be transferred to the load lock chamber B13.
The transfer chamber TF14 included in the combination equipment C14 is connected to the load lock chamber B13 through a gate valve. Furthermore, the connection to the loading and unloading chamber ULD is made via further gate valves. The transfer chamber TF13 is provided with a transfer device 70k. The transfer device 70k may transfer the substrate from the load lock chamber B13 to the vacuum process device V. In addition, the substrate taken out from the vacuum process apparatus V may be transferred to the load lock chamber B14.
< Combined equipment C15 to Combined equipment C18>
The structures of the combined device C15 to the combined device C18 may be the same as those shown in fig. 7 and 8.
< substrate transfer work >
Next, an operation of transferring a substrate from the cluster tool C1 to the cluster tool C2 will be described with reference to the drawings. The transfer operation of the substrate between the other combination equipment having the same configuration as the combination equipment C1 and the other combination equipment having the same configuration as the combination equipment C2 may be the same as the following description.
Fig. 12A is a diagram showing the conveyor 70a included in the combination apparatus C1, the stage 80a included in the load lock chamber B1, and the conveyor 71a included in the combination apparatus C2. Note that for clarity, the chamber walls, gate valves, and the like are not shown.
The conveyor 70a includes a lifting mechanism 91, an arm 92, and a hand 93. The hand 93 has a plane including a cutout portion on which a substrate can be placed. Since the combined apparatus C1 is a combined apparatus including the atmospheric pressure process device a, the hand 93 may be provided with a vacuum suction mechanism or the like. Alternatively, an electrostatic adsorbing mechanism may be provided.
The conveyor 71a includes a lifting mechanism 94, an arm 95, and a substrate fixing portion 96. The substrate fixing portion 96 includes a plane for holding the substrate 60, which has a smaller size than the width of the cutout portion of the hand 93 of the conveyor 70 a. Since the combination apparatus C1 is a combination apparatus including the vacuum process device V, it is preferable to provide the substrate fixing portion 96 with an electrostatic adsorbing mechanism. The transfer device 71a includes a substrate reversing mechanism described later.
Stage 80a has pins 82 on which substrate 60 is placed. The first length of the pin 82 connecting the two points (the length excluding the diameter of the pin 82) is greater than the width of the substrate securing portion 96. In addition, the second length of the pin 82 (including the length of the diameter of the pin 82) connecting the two points is smaller than the width of the cutout portion of the hand 93. The substrate 60 may be fixed stably and the substrate fixing portion 96 may not interfere with the rear surface of the substrate 60. In addition, an elevating mechanism may be provided for the stage 80 a.
First, the substrate 60 held by the hand 93 of the transfer device 70a is transferred to the stage 80a (see fig. 12B), and the substrate 60 is lowered by the elevating mechanism 91 and placed on the pins 82 (see fig. 12C).
Next, the substrate fixing portion 96 of the conveyor 71a is inserted between the pins 82 of the stage 80a upward, and the arm 95 is lifted up to fix the rear surface of the substrate 60 to the substrate fixing portion 96 (see fig. 13A).
Next, the arm 95 is lifted up, and the substrate 60 is carried into the cluster tool C1 by the telescopic operation and the rotating operation of the arm 95 (see fig. 13B).
The substrate 60 is vertically reversed in a state where the substrate 60 is fixed to the substrate fixing portion 96 by a rotation mechanism 97 provided between the substrate fixing portion 96 and the arm portion 95 (see fig. 13C). The substrate 60, which is turned upside down, can be carried into a deposition apparatus or the like in which the substrate is disposed in a face-down manner.
< structural example 3>
Although the configuration example 1 and the configuration example 2 show an example of a serial manufacturing apparatus in which each of the combination apparatuses is connected through the load lock chamber, each of the combination apparatuses may have the load chamber LD and the unload chamber ULD independently.
In this case, the processing object is enclosed in a container in which atmosphere is controlled so as not to be exposed to the atmosphere, and the container may be moved between the combined apparatuses.
Fig. 14 shows an example in which the combination devices C1, C2, C3, and C4 are set as independent devices. Each of the combination equipments is provided with a loading chamber LD and an unloading chamber ULD. The object to be processed is accommodated in the cassette CT, and the cassette CT is placed in a transport container BX for atmosphere control and moved between the combination apparatuses.
Fig. 15A is a diagram illustrating the carrying-out of the cassette CT in the combined apparatus C2. Note that the gate valve is omitted for clarity and the diagram of the chamber wall through the unloading chamber ULD is shown.
First, the atmosphere of the load lock ULD is replaced with an inert gas atmosphere in a state where all the objects to be processed are accommodated in the cassettes CT provided in the load lock ULD. The inside of the transport container BX provided in the transport vehicle VE is replaced with an inert gas atmosphere. In this case, the unloading chamber ULD and the transfer container BX are preferably in a positive pressure state to prevent inflow of the atmospheric air. The transport container BX may be a structure in which the atmosphere does not flow in, or may be evacuated to a negative pressure state.
Next, the carry-out port of the unloading chamber ULD is abutted against the carry-out/carry-in port of the transfer container BX, and the cassette CT is moved from the unloading chamber ULD to the transfer container BX by the transfer device 200. Then, the carry-in/carry-out port of the transport container BX is closed to maintain the inert gas atmosphere inside the transport container BX, and the transport vehicle VE moves the transport container BX to the combining device C2.
Fig. 15B is a diagram illustrating the loading of the cassette CT in the combined apparatus C3. For clarity, a view through the outer wall of the conveyance container BX is shown.
First, the atmosphere of the loading chamber LD is replaced with an inert gas atmosphere. Next, the carry-in port of the loading chamber LD is abutted with the carry-out/carry-in port of the transfer container BX, and the cassette CT is transferred from the transfer container BX to the loading chamber LD by the transfer device 209. Then, the carry-in port of the loading chamber UL is closed, and the process of the cluster tool C2 is started.
Fig. 15C is a diagram illustrating the conveyance container BX and the conveyance carriage VE. The inside of the transfer vehicle VE includes a controller 201, a power source 202, a battery 203, a gas cylinder 205 filled with inert gas, and the like. The power source 202 is connected to a battery 203 and wheels 204. The transfer vehicle VE may be manually or automatically moved according to the control of the controller 201.
The transfer container BX includes an inlet 210 and an outlet 211 for gas, and the inlet 210 is connected to the gas cylinder 205 via a valve 206. The discharge port 211 is connected to the valve 207. One or both of the valve 206 and the valve 207 are pilot valves, and the inside of the transfer container BX can be controlled to be positive pressure by an inert gas. The inert gas is preferably nitrogen, argon or the like.
The transport container BX includes a carry-in/carry-out port 208 and a transport device 209. The form of the carry-in/out port 208 is not limited, and may be, for example, a gate type, or the like.
The transfer device 209 may transfer the cassette CT. In the description of fig. 15A and 15B, the transfer to and from the transfer container BX are performed by the transfer device 200 included in the unloading chamber ULD, and the transfer to and from the loading chamber LD are performed by the transfer device 209 included in the transfer container BX, but the above-described operations may be performed by either one of the transfer device 200 and the transfer device 209. In addition, one of the conveyor 200 and the conveyor 209 may not be provided.
In addition, although the combination devices C1 to C4 are shown in the above, a configuration in which each combination device is independent may be used for the combination devices C5 to C18. In addition, the structure example 3 may be combined with a part of the structure example 1 or the structure example 2.
Fig. 16A is a view illustrating a vacuum processing apparatus V with the substrate facing down, and here, an example of the deposition apparatus 30 is shown. Note that for clarity, fig. 16A is a view in which the chamber wall is transparent and gate valves are omitted.
The deposition apparatus 30 includes a deposition material supply section 31, a mask tool 32, and a substrate alignment section 33. The deposition material supply section 31 is a section where a vapor deposition source is provided when the deposition device 30 is a vapor deposition device. Further, the deposition material supply section 31 is a portion where a target (cathode) is provided when the deposition apparatus 30 is a sputtering apparatus.
As shown in fig. 16B, the substrate 60 may be carried into the substrate alignment portion 33 in a vertically inverted state. A mask jig 32 is provided below the substrate alignment portion 33. Circuitry or the like is provided in advance on the surface of the substrate 60 to bring the substrate 60 into close contact with the mask tool 32 in such a manner that deposition does not occur in areas where deposition is not required. At this time, the position of the portion to be deposited on the substrate 60 and the position of the opening 35 of the mask tool 32 are adjusted in the substrate alignment portion 33.
Since a structure such as a light emitting device is formed in the opening 35, the opening 35 may be adjusted according to the purpose. For example, the size of the opening 35 may be determined according to the size of the exposure region described below.
Fig. 17A to 17C show an example of the number of display devices from which a substrate (e.g., a silicon wafer) having a diameter Φ=12 inches is taken out. In fig. 17A to 17C, the evaluation is performed assuming that the external connection terminal is taken out from the back surface using the through electrode. Thereby, the display area can be enlarged. Further, a pad may be provided in the exposure region. In this case, although the display area is reduced, there is an effect that the manufacturing cost of the structure for taking out the external connection terminal can be reduced.
Fig. 17A to 17C each show that the aspect ratio of the display region is 4:3, examples of the above.
Fig. 17A shows an example in which a sealing region is provided inside an exposure region (32 mm×24 mm) of an exposure device. In the example shown in fig. 17A, the width of the seal region in the up-down direction is 1.5mm, and the width of the seal region in the left-right direction is 2.0mm. At this time, the display area was 28mm by 21mm (aspect ratio 4:3), and the diagonal size was about 1.38 inches. The number of display devices available from one substrate is 72. Note that in the case where the width of the seal region in the up-down direction is 2.0mm and the width of the seal region in the left-right direction is 2.65mm, the size of the display region is 26.7mm×20mm (aspect ratio is 4:3), and the diagonal size is about 1.32 inches. In addition, when the width of the seal region in the up-down direction is 3.0mm and the width of the seal region in the left-right direction is 4.0mm, the size of the display region is 24mm×18mm (aspect ratio is 4:3), and the diagonal line is about 1.18 inches. The number of display devices available from one substrate was 72 in any of the above cases.
Fig. 17B and 17C show examples in which a sealing region is provided outside an exposure region (32 mm×24 mm) of an exposure device. At this time, exposure is performed with a space corresponding to a portion of the sealing region. The inside of the exposure area is provided with a mark area. Fig. 17B shows an example of the case where the width of the mark region in the up-down direction is 0.5mm, the width of the mark region in the left-right direction is 0.7mm, and the width of the seal region is 2.0mm. At this time, the diagonal size of the display area of the display device is about 1.51 inches. The number of display devices available from one substrate is 56. Note that, when the width of the mark region in the up-down direction is 1.0mm and the width of the mark region in the left-right direction is 1.3mm, the diagonal dimension of the display region is about 1.45 inches. Fig. 17C shows an example in which the width of the mark region in the up-down direction is 0.5mm, the width of the mark region in the left-right direction is 0.7mm, and the width of the seal region is 3.0 mm. At this time, the diagonal size of the display area of the display device is about 1.51 inches, which is the same as the structure of fig. 17B. The number of display devices available from one substrate was 49, which was about 13% lower than the structure shown in fig. 17B.
Fig. 18A to 18F show a structural example of a deposition apparatus that can be used for the vacuum process apparatus V. Fig. 18A shows a vacuum vapor deposition apparatus including a substrate holder 51 provided with a substrate 60, a vapor deposition source 52 such as a crucible, and a shutter 53. In addition, the exhaust port 54 is connected to a vacuum pump. The deposition can be performed by opening the shutter by heating the evaporation source under reduced pressure to bring the deposition material into an evaporated or sublimated state.
Fig. 18B shows a sputtering apparatus including an upper electrode 58 on which a substrate 60 is provided, a lower electrode 56 on which a target 57 is provided, and a shutter 53. The gas inlet 55 is connected to a supply source of sputtering gas, and the exhaust port 54 is connected to a vacuum pump. For example, when DC power, RF power, or the like is applied between the upper electrode 58 and the lower electrode 56 under reduced pressure including a noble gas or the like, sputtering is caused, and the material of the target 57 can be deposited on the surface of the substrate 60 by opening the shutter.
Fig. 18C shows a plasma CVD apparatus, which includes an upper electrode 58 having a gas inlet 55 and a shower plate 59, and a lower electrode 56 on which a substrate 60 is provided. The gas inlet 55 is connected to a source gas supply source, and the exhaust port 54 is connected to a vacuum pump. The target material can be deposited on the surface of the substrate 60 by introducing the source gas under reduced pressure and applying high-frequency power or the like between the upper electrode 58 and the lower electrode 56 to decompose the source gas.
Fig. 18D shows a dry etching apparatus including an upper electrode 58 and a lower electrode on which a substrate 60 is disposed. The gas inlet 55 is connected to a supply source of etching gas, and the exhaust port 54 is connected to a vacuum pump. An inorganic film or an organic film formed on the substrate 60 can be etched by introducing an etching gas under reduced pressure, and applying high-frequency power or the like between the upper electrode 58 and the lower electrode 56 to activate the etching gas. The ashing apparatus and the plasma processing apparatus may have the same configuration.
Fig. 18E is a standby chamber including a substrate holder 62 that accommodates a plurality of substrates 60. The exhaust port 54 is connected to a vacuum pump, and the substrate 60 is made to stand by under reduced pressure. The number of substrates 60 that can be accommodated in the substrate holder 62 can be appropriately determined according to the time of the preceding and following steps.
Fig. 18F is an ALD apparatus, here shown in a batch configuration. The ALD apparatus includes a heater 61, a gas inlet 55 is connected to a supply source of a precursor or the like, and an exhaust port 54 is connected to a vacuum pump. The substrate holder 63 accommodates a plurality of substrates 60 therein and is provided on the heater 61. By alternately introducing a precursor, an oxidizing agent, or the like from the gas introduction port 55 under reduced pressure, deposition is repeatedly performed on the substrate 60 in atomic layer units. In the case of a single wafer, the substrate holder 62 may be omitted. The thermal CVD apparatus may have the same structure.
FIG. 18G is a batch ALD apparatus having a different structure than that of FIG. 18F. The basic structure is the same except that the substrates 60 are arranged side by side on the heater 61 in fig. 18G and the substrate holder 62 is not used. In addition, the following structure may be adopted: the gas inlet 55 is provided directly above the substrate 60, and a rotation mechanism or the like is provided for the heater 61, so that the substrate 60 passes directly below the gas inlet 55. The substrate 60 can be replaced by using the rotating mechanism of the heater 61, whereby a plurality of substrates can be processed.
This embodiment mode can be implemented in combination with the structure described in other embodiment modes as appropriate.
(embodiment 2)
A specific example of an organic EL element that can be manufactured using the manufacturing apparatus of a light-emitting device according to one embodiment of the present invention will be described in this embodiment.
In this specification and the like, a device manufactured using a Metal Mask or an FMM (Fine Metal Mask) is sometimes referred to as a device having a MM (Metal Mask) structure. In this specification and the like, a device manufactured without using a metal mask or an FMM is sometimes referred to as a device having a MML (Metal Mask Less) structure.
In this specification and the like, a structure in which light-emitting layers are formed or applied to light-emitting devices of respective colors (here, blue (B), green (G), and red (R)) is sometimes referred to as a SBS (Side By Side) structure. In this specification and the like, a light-emitting device that can emit white light is sometimes referred to as a white light-emitting device. The white light emitting device can realize a display device that displays in full color by combining with a colored layer (e.g., a color filter).
In addition, the light emitting device can be roughly classified into a single structure and a series structure. The single structure device preferably has the following structure: a light emitting unit is included between a pair of electrodes, and the light emitting unit includes one or more light emitting layers. In order to obtain white light emission, the light-emitting layers may be selected so that the respective light-emitting colors of two or more light-emitting layers are in a complementary relationship. For example, by placing the light emission color of the first light emission layer and the light emission color of the second light emission layer in a complementary relationship, a structure that emits light in white on the whole light emitting device can be obtained. In addition, the same applies to a light-emitting device including three or more light-emitting layers.
The device of the tandem structure preferably has the following structure: two or more light emitting units are included between a pair of electrodes, and each light emitting unit includes one or more light emitting layers. In order to obtain white light emission, a structure may be employed in which light emitted from the light-emitting layers of the plurality of light-emitting units is combined to obtain white light emission. Note that the structure to obtain white light emission is the same as that in the single structure. In the device having the tandem structure, an intermediate layer such as a charge generation layer is preferably provided between the plurality of light emitting cells.
In addition, in the case of comparing the above-described white light emitting device (single structure or tandem structure) and the light emitting device of the SBS structure, the power consumption of the light emitting device of the SBS structure can be made lower than that of the white light emitting device. When it is desired to suppress power consumption to be low, a light emitting device employing an SBS structure is preferable. On the other hand, a manufacturing process of the white light emitting device is simpler than that of the SBS structure light emitting device, whereby manufacturing cost can be reduced or manufacturing yield can be improved, so that it is preferable.
In addition, the device of the tandem structure may have a structure (BB, GG, RR, etc.) including light emitting layers that emit light of the same color. A series structure capable of obtaining light emission from a plurality of layers requires a high voltage at the time of light emission, but the current value becomes small when the same light emission intensity as that of a single structure is obtained.
< structural example >
Fig. 19 is a schematic plan view of a display device 100 manufactured by using the manufacturing apparatus of the light emitting device according to the embodiment of the present invention. The display apparatus 100 includes a plurality of light emitting devices 110R that represent red, a plurality of light emitting devices 110G that represent green, and a plurality of light emitting devices 110B that represent blue. In fig. 19, a symbol of R, G, B is described in the light emitting region of each light emitting device in order to easily distinguish each light emitting device.
The light emitting devices 110R, 110G, and 110B are all arranged in a matrix. Fig. 19 shows a so-called stripe (stripe) arrangement in which light emitting devices of the same color are arranged in one direction. Note that the arrangement method of the light emitting device is not limited thereto, and an arrangement method such as a delta arrangement, a zig-zag arrangement, or the like may be employed, and a pentile arrangement or other arrangement may be employed.
As the light emitting device 110R, the light emitting device 110G, and the light emitting device 110B, an EL element such as an OLED (Organic Light Emitting Diode: organic light emitting diode) or a QLED (Quantum-dot Light Emitting Diode: quantum dot light emitting diode) is preferably used. Examples of the light-emitting substance included in the EL element include a substance that emits fluorescence ( light material), a substance that emits phosphorescence (phosphorescent material), an inorganic compound (quantum dot material or the like), a substance that exhibits thermally activated delayed fluorescence (Thermally activated delayed fluorescence: TADF) material), and the like.
Fig. 20A is a schematic cross-sectional view along the chain line A1-A2 in fig. 19.
Fig. 20A shows a cross section of the light emitting device 110R, the light emitting device 110G, and the light emitting device 110B. The light emitting device 110R, the light emitting device 110G, and the light emitting device 110B are all disposed on the pixel circuit, and include a pixel electrode 111 and a common electrode 113.
The light emitting device 110R includes an EL layer 112R between the pixel electrode 111 and the common electrode 113. The EL layer 112R contains a light-emitting organic compound that emits light having a peak at least in the red wavelength region. The EL layer 112G included in the light-emitting device 110G contains a light-emitting organic compound that emits light having a peak at least in a green wavelength region. The EL layer 112B included in the light-emitting device 110B contains a light-emitting organic compound that emits light having a peak at least in the blue wavelength region. Note that a structure in which the EL layer 112R, EL layer 112G and the EL layer 112B emit light of different colors may also be referred to as a SBS (Side By Side) structure.
Each of the EL layers 112R, EL, 112G and 112B may include one or more of an electron injection layer, an electron transport layer, a hole injection layer, and a hole transport layer in addition to a layer containing a light-emitting organic compound (light-emitting layer).
Each of the light emitting devices is provided with a pixel electrode 111. In addition, the common electrode 113 is provided as a continuous layer common between the light emitting devices. A conductive film having visible light transmittance is used for one of the pixel electrode 111 and the common electrode 113, and a conductive film having visible light reflectance is used for the other of the pixel electrode 111 and the common electrode 113. A bottom-emission (bottom-emission) display device can be realized by making the pixel electrode 111 light-transmissive and making the common electrode 113 light-reflective, whereas a top-emission (top-emission) display device can be realized by making the pixel electrode 111 light-transmissive and making the common electrode 113 light-transmissive. Note that a double-emission display device may be realized by making both the pixel electrode 111 and the common electrode 113 light transmissive. In this embodiment mode, an example of manufacturing a top emission type (top emission) display device will be described.
The EL layer 112R, EL, the layer 112G, and the EL layer 112B each have a region contacting the top surface of the pixel electrode 111.
As shown in fig. 20A, a gap is provided between two EL layers of light emitting devices of different colors. As described above, the EL layer 112R, EL and the EL layer 112G and 112B are preferably provided without contact with each other. Thus, it is possible to appropriately prevent the current from flowing through the adjacent two EL layers to generate unintended light emission. Thus, the contrast can be improved, and a display device having high display quality can be realized.
The common electrode 113 is provided with a protective layer 121 so as to cover the light emitting devices 110R, 110G, and 110B. The protective layer 121 has a function of preventing diffusion of impurities from above to each light emitting device. Alternatively, the protective layer 121 has a function of trapping (also referred to as gettering) impurities (typically, impurities such as water and hydrogen) that may enter each light emitting device.
The protective layer 121 may have, for example, a single-layer structure or a stacked-layer structure including at least an inorganic insulating film. Examples of the inorganic insulating film include oxide films or nitride films such as a silicon oxide film, a silicon oxynitride film, a silicon nitride oxide film, a silicon nitride film, an aluminum oxide film, an aluminum oxynitride film, and a hafnium oxide film. Alternatively, a semiconductor material such as indium gallium oxide or indium gallium zinc oxide may be used for the protective layer 121.
The transistor 116 is electrically connected to one of a source and a drain of the transistor 116 by the pixel electrode 111, and a transistor whose channel formation region includes a metal oxide (hereinafter, an OS transistor) can be used, for example. The OS transistor has high mobility and excellent electrical characteristics compared to amorphous silicon. The OS transistor does not need to be crystallized in the process of manufacturing polysilicon, and can be formed in a wiring process or the like. Therefore, an OS transistor can be formed over the transistor 115 (hereinafter, a Si transistor) including silicon formed in the channel formation region of the substrate 60 without a bonding step or the like.
Here, the transistor 116 is a transistor constituting a pixel circuit. The transistor 115 is a transistor constituting a driver circuit or the like of the pixel circuit. That is, since the pixel circuit can be formed on the driving circuit, a display device with a narrow frame can be formed.
As a semiconductor material for an OS transistor, a metal oxide having an energy gap of 2eV or more, preferably 2.5eV or more, and more preferably 3eV or more can be used.
Since the semiconductor layer of the OS transistor has a large energy gap, it exhibits extremely low off-state current characteristics of only a few yA/μm (a current value of 1 μm per channel width). The off-state current value of the OS transistor per channel width of 1 μm at room temperature may be 1aA (1×10) -18 A) Hereinafter, 1zA (1×10) -21 A) The following or 1yA (1×10) -24 A) The following is given. In addition, at room temperature, the off-state current value of the Si transistor of 1 μm per channel width is 1fA (1×10) -15 A) Above and 1pA (1×10) -12 A) The following is given. Therefore, it can be said that the off-state current of the OS transistor is about 10 bits lower than the off-state current of the Si transistor.
Unlike Si transistors, OS transistors do not undergo impact ionization, avalanche breakdown, short channel effects, and the like, and therefore can form circuits with high voltage resistance and high reliability. Further, variation in electrical characteristics due to non-uniformity in crystallinity caused by Si transistors is not likely to occur in OS transistors.
As the semiconductor layer In the OS transistor, for example, a film expressed by "In-M-Zn-based oxide" including indium, zinc, and M (one or more of metals such as aluminum, titanium, gallium, germanium, yttrium, zirconium, lanthanum, cerium, tin, neodymium, and hafnium) can be used. Typically, the In-M-Zn-based oxide can be formed by a sputtering method. Alternatively, the metal oxide layer may be formed by an ALD (Atomic layer deposition: atomic layer deposition) method.
For example, an oxide (IGZO) containing indium (In), gallium (Ga), and zinc (Zn) can be used as the In-M-Zn-based oxide. Alternatively, an oxide (IAZO) containing indium (In), aluminum (Al), and zinc (Zn) may be used. Alternatively, an oxide (IAGZO) containing indium (In), aluminum (Al), gallium (Ga), and zinc (Zn) may be used.
When the In-M-Zn based oxide is formed by a sputtering method, the atomic ratio of the metal elements of the sputtering target for forming the In-M-Zn based oxide preferably satisfies In.gtoreq.M and Zn.gtoreq.M. The atomic number ratio of the metal elements In such a sputtering target is preferably a composition of In: M: zn=1:1:1, in: M: zn=1:1.2, in: M: zn=1:3:2, in: M: zn=3:1:2, in: M: zn=4:2:4.1, in: M: zn=5:1:6, in: M: zn=5:1:7, in: M: zn=5:1:8, or the like or a vicinity thereof. Note that the atomic ratio of the deposited semiconductor layers may vary within ±40% of the atomic ratio of the metal elements in the sputtering target, respectively.
As the semiconductor layer, an oxide semiconductor having a low carrier density can be used. For example, a semiconductor layer having a carrier density of 1×10 can be used 17 /cm 3 Hereinafter, it is preferably 1X 10 15 /cm 3 Hereinafter, more preferably 1X 10 13 /cm 3 Hereinafter, it is more preferable that 1×10 11 /cm 3 Hereinafter, it is more preferable that the ratio is less than 1X 10 10 /cm 3 ,1×10 -9 /cm 3 The above oxide semiconductor. Such an oxide semiconductor is referred to as a high-purity intrinsic or substantially high-purity intrinsic oxide semiconductor. The oxide semiconductor has a low defect state density, and thus can be said to have stable characteristics.
Note that the present invention is not limited to the above description, and an oxide semiconductor having an appropriate composition can be used depending on the semiconductor characteristics and electrical characteristics (field effect mobility, threshold voltage, and the like) of a transistor which are required. In addition, it is preferable to appropriately set the carrier density, impurity concentration, defect density, atomic number ratio of metal element to oxygen, interatomic distance, density, and the like of the semiconductor layer so as to obtain a desired semiconductor characteristic of the transistor.
In addition, the display device shown in fig. 20A has a structure including an OS transistor and a light emitting device having an MML (metal-free mask) structure. By adopting this structure, a leakage current that may flow through the transistor and a leakage current (also referred to as a lateral leakage current, a side leakage current, or the like) that may flow between adjacent light emitting elements can be made extremely low. Further, by adopting the above-described structure, the viewer can observe any one or more of the sharpness of the image, and the high contrast when the image is displayed on the display device. In addition, by adopting a structure in which the leak current that can flow through the transistor and the lateral leak current between the light-emitting elements are extremely low, display in which light leakage or the like that can occur when black is displayed (also referred to as solid black display) can be performed very little.
Fig. 20A shows a structure in which light emitting layers of the light emitting elements of R, G, B are different from each other, but is not limited thereto. For example, as shown in fig. 20B, the EL layer 112W that emits white light may be provided, and the colored layers 114R (red), 114G (green), and 114B (blue) may be provided so as to overlap the EL layer 112W to form the light emitting devices 110R, 110G, and 110B, thereby performing full-color.
The EL layer 112W may have, for example, a series structure in which EL layers each emitting R, G, B light are connected in series. Alternatively, a structure in which light emitting layers that emit light of R, G, B, respectively, are connected in series may be used. As the colored layers 114R, 114G, and 114B, for example, red, green, and blue color filters or the like can be used.
Alternatively, as shown in fig. 20C, a pixel circuit may be formed by the transistor 117 included in the substrate 60, and one of a source and a drain of the transistor 117 may be electrically connected to the pixel electrode 111.
< example of production method >
A method for manufacturing a light-emitting device which can be manufactured using the manufacturing apparatus according to one embodiment of the present invention will be described below. Here, a light emitting device included in the display apparatus 100 shown in the above-described configuration example will be described as an example.
Fig. 21A to 25B are schematic cross-sectional views of respective steps of a method for manufacturing a light-emitting device shown below. Note that in fig. 21A to 25B, the transistor 116 which is a constituent element of the pixel circuit shown in fig. 20A and the transistor 115 which is a constituent element of the driver circuit are omitted.
The thin films (insulating film, semiconductor film, conductive film, and the like) constituting the display device can be formed by a sputtering method, a Chemical Vapor Deposition (CVD) method, a vacuum deposition method, an Atomic Layer Deposition (ALD) method, or the like. The CVD method includes a plasma enhanced chemical vapor deposition (PECVD: plasma Enhanced CVD) method, a thermal CVD method, and the like. In addition, as one of the thermal CVD methods, there is a metal organic chemical vapor deposition (MOCVD: metal Organic CVD) method. The manufacturing apparatus according to one embodiment of the present invention may include an apparatus for forming a thin film by the above method.
In addition, in the formation of a thin film (an insulating film, a semiconductor film, a conductive film, or the like) constituting a display device, a spin coating method, a dipping method, a spray coating method, an inkjet method, a dispenser method, a screen printing method, an offset printing method, a doctor blade (doctor blade) method, a slit coating method, a roll coating method, a curtain coating method, a doctor blade coating method, or the like can be used for coating a resin or the like used in a photolithography process. The manufacturing apparatus according to one embodiment of the present invention may include an apparatus for forming a thin film by the above method. Further, the manufacturing apparatus according to one embodiment of the present invention may include an apparatus for applying a resin by the above method.
In addition, when a thin film constituting the display device is processed, photolithography or the like can be used. Alternatively, the thin film may be processed by nanoimprint. Further, a method of directly forming an island-like thin film by a deposition method using a shadow mask may be used simultaneously.
As a method for processing a thin film by photolithography, there are typically the following two methods. One is a method of forming a resist mask on a thin film to be processed, processing the thin film by etching or the like, and removing the resist mask. Another is a method of processing a photosensitive film into a desired shape by exposing and developing the film after depositing the film.
In the photolithography, for example, i-line (365 nm in wavelength), g-line (436 nm in wavelength), h-line (405 nm in wavelength) or light obtained by mixing these light can be used as the light for exposure. Further, ultraviolet light, krF laser, arF laser, or the like may also be used. In addition, exposure may also be performed using a liquid immersion exposure technique. As the light for exposure, extreme Ultraviolet (EUV) light or X-ray may also be used. In addition, an electron beam may be used instead of the light for exposure. When extreme ultraviolet light, X-rays, or electron beams are used, extremely fine processing can be performed, so that it is preferable. In addition, a photomask is not required when exposure is performed by scanning with a light beam such as an electron beam.
In etching of the thin film, a dry etching method, a wet etching method, or the like can be used. The manufacturing apparatus according to one embodiment of the present invention may include an apparatus for processing a thin film by the above method.
< preparation of substrate 60 >
As the substrate 60, a substrate having at least heat resistance which can withstand the degree of heat treatment to be performed later can be used. In the case of using an insulating substrate as the substrate 60, a glass substrate, a quartz substrate, a sapphire substrate, a ceramic substrate, an organic resin substrate, or the like can be used. Further, a single crystal semiconductor substrate or a polycrystalline semiconductor substrate using silicon, silicon carbide, or the like as a material, a compound semiconductor substrate using silicon germanium, or the like as a material, or a semiconductor substrate such as an SOI substrate may be used. In addition, the shape of the substrate is not limited to a wafer shape, and an angular substrate may be used.
In particular, the substrate 60 is preferably a substrate in which a semiconductor circuit including a semiconductor element such as a Si transistor is formed over the semiconductor substrate or the insulating substrate. The semiconductor circuit preferably constitutes, for example, a pixel circuit, a gate line driver circuit (gate driver), a source line driver circuit (gate driver), or the like. In addition, an arithmetic circuit, a memory circuit, and the like may be configured.
< formation of pixel Circuit and Pixel electrode 111 >
Next, a plurality of pixel circuits are formed over the substrate 60, and a pixel electrode 111 is formed in each pixel circuit (see fig. 21A). First, a conductive film to be the pixel electrode 111 is deposited, a resist mask is formed by photolithography, and unnecessary portions of the conductive film are removed by etching. Then, the resist mask is removed, whereby the pixel electrode 111 can be formed.
As the pixel electrode 111, a material (for example, silver, aluminum, or the like) having high reflectance in the entire wavelength region of visible light is preferably used. The pixel electrode 111 formed using this material can be said to be an electrode having light reflectivity. Thus, not only the light extraction efficiency of the light emitting device but also the color reproducibility can be improved.
In addition, the light emitting device preferably employs a microcavity resonator (microcavity) structure. Therefore, one of the pair of electrodes included in the light-emitting device preferably includes an electrode (semi-transparent-semi-reflective electrode) having transparency and reflectivity to visible light, and the other electrode preferably includes an electrode (reflective electrode) having reflectivity to visible light. When the light emitting device has a microcavity structure, light emission obtained from the light emitting layer can be made to resonate between the two electrodes, and light emitted from the light emitting device can be improved. Therefore, the pixel electrode 111 may have a stacked structure of the material having high reflectance and a light-transmitting conductive film (indium tin oxide or the like).
Next, a baking process for removing moisture remaining on the surface of the pixel electrode 111 is performed. The firing process may be performed using a vacuum firing apparatus or a deposition apparatus. The condition of vacuum firing is preferably 100℃or higher.
Next, surface treatment of the pixel electrode 111 is performed. For example, by CF using a plasma treatment device 4 The fluorine-containing gas generates plasma to irradiate the surface of the pixel electrode 111. The plasma treatment can improve adhesion between the pixel electrode 111 and the EL film formed in the next step, and thus can suppress peeling failure.
< formation of EL film 112Rf >
Next, an EL film 112Rf which becomes the EL layer 112R after deposition on the pixel electrode 111.
The EL film 112Rf includes at least a film containing a red light-emitting organic compound. In addition, an electron injection layer, an electron transport layer, a charge generation layer, a hole transport layer, and a hole injection layer may be stacked. The EL film 112Rf can be formed by, for example, vapor deposition, sputtering, or the like. Note that, not limited thereto, the above-described deposition method may be appropriately utilized.
< formation of protective film 125Rf >
Next, a protective film 125Rf which becomes the protective layer 125R after deposition on the EL film 112Rf (see fig. 21B).
The protective layer 125R is a temporary protective layer, also referred to as a sacrificial layer, for preventing deterioration and disappearance of the EL layer 112R in the manufacturing process of the light-emitting device. The protective film 125Rf has high barrier properties against moisture and the like, and is preferably formed by a deposition method that does not easily damage an organic compound during deposition. Further, it is preferable to use a material that can use an etchant that does not easily damage the organic compound in the etching step. As the protective film 125Rf, an inorganic film such as a metal film, an alloy film, a metal oxide film, a semiconductor film, or an inorganic insulating film can be used.
For example, an inorganic insulating film or a laminated film thereof of a metal such as tungsten, alumina or the like is preferably used. Alternatively, a stacked structure of an aluminum oxide film formed by an ALD method and a silicon nitride film formed by a sputtering method may be used. In the case of using this structure, the deposition temperature in the deposition by the ALD method and the sputtering method is preferably not lower than room temperature and not higher than 120 ℃, and more preferably not lower than room temperature and not higher than 100 ℃. In addition, when the protective layer 125R is a laminated film, the stress of the laminated film is preferably reduced. Specifically, when the stress of each layer constituting the laminated film is-500 MPa or more and +500MPa or less, preferably-200 MPa or more and +200MPa or less, problems occurring in the steps such as film peeling and peeling can be suppressed.
< formation of resist mask 143a >
Next, a resist mask 143a is formed over the pixel electrode 111 corresponding to the light emitting device 110R (see fig. 21C). The resist mask 143a may be formed by a photolithography process.
< formation of protective layer 125R >
Next, the protective film 125Rf is etched using the resist mask 143a as a mask, and the protective layer 125R is formed in an island shape. The etching process may use a dry etching method or a wet etching method. Then, the resist mask 143a is removed by ashing or a resist stripping solution (see fig. 21D).
< formation of EL layer 112R >
Next, the EL film 112Rf is etched using the protective layer 125R as a mask, so that the EL layer 112R is formed in an island shape (see fig. 21E). The etching step preferably uses a dry etching method. Then, the side surface or the like of the EL layer 112R is cleaned by a plasma processing apparatus or the like.
< formation of protective films 126Rf, 128Rf >
Next, a protective film 126Rf and a protective film 128Rf are deposited so as to cover the EL layer 112R and the protective layer 125R (see fig. 21F). As the protective film 126Rf and the protective film 128Rf, the same inorganic film as the protective film 125Rf can be used. The protective film 126Rf and the protective film 128Rf are preferably formed by an ALD method with good coverage. Alternatively, the protective film 126Rf may be formed by an ALD method, and the protective film 128Rf may be formed by CVD or sputtering. For example, aluminum oxide may be used as the protective film 126Rf, and silicon nitride may be used as the protective film 128Rf. A tough protective film can be formed by laminating different kinds of films.
< formation of protective layers 126R, 128R >
Next, the protective films 126Rf and 128Rf are anisotropically etched by dry etching, and the protective films 126R and 128R are formed by leaving a part of the protective films 126Rf and 128Rf (see fig. 22A). Note that, although the protective layer 126R and the protective layer 128R are shown to be formed on the side surface of the EL layer 112R, the side surface of the protective layer 125R, and the side surface of the pixel electrode 111, at least the side surface of the EL layer 112R may be covered.
< formation of EL film 112Gf >
Next, a baking process for removing moisture remaining on the surface of the pixel electrode 111 is performed. The firing process may be performed using a vacuum firing apparatus or a deposition apparatus. Here, the vacuum baking is performed at 100 ℃ or lower, preferably 90 ℃ or lower, and more preferably 80 ℃ or lower, which does not damage the EL layer 112R. From the measurement results by Thermal Desorption Spectroscopy (TDS), it was found that when vacuum baking was performed at 80℃for 30 minutes or longer, the moisture (H) 2 O) is substantially reduced.
Next, the surface of the exposed pixel electrode 111 is treated. For example, by CF using a plasma treatment device 4 Plasma generated by fluorine-containing gas to irradiate pixel electricity The surface of the pole 111. Then, an EL film 112Gf to be an EL layer 112G is deposited on the pixel electrode 111.
The EL film 112Gf includes at least a film containing a green light-emitting organic compound. In addition to this, an electron injection layer, an electron transport layer, a charge generation layer, a hole transport layer, and a hole injection layer may be stacked.
< formation of protective film 125Gf >
Next, a protective film 125Gf which becomes the protective layer 125G after deposition on the EL film 112Gf (see fig. 22B). The protective film 125Gf may be formed of the same material as the protective film 125 Rf.
< formation of resist mask 143b >
Next, a resist mask 143b is formed over the pixel electrode 111 corresponding to the light emitting device 110G (see fig. 22C). The resist mask 143b may be formed by a photolithography process.
< formation of protective layer 125G >
Next, the protective film 125Gf is etched using the resist mask 143b as a mask, and the protective layer 125G is formed in an island shape. The etching process may use a dry etching method or a wet etching method. Then, the resist mask 143b is removed by ashing or a resist stripping solution (see fig. 22D).
< formation of EL layer 112G >
Next, the EL film 112Gf is etched using the protective layer 125G as a mask, so that the EL layer 112G is formed in an island shape (see fig. 22E). The etching step preferably uses a dry etching method. Then, the side surface or the like of the EL layer 112G is cleaned by a plasma processing apparatus or the like.
< formation of protective films 126Gf, 128Gf >
Next, a protective film 126Gf and a protective film 128Gf are deposited so as to cover the EL layer 112G and the protective layer 125G (see fig. 22F). The protective film 126Gf may be an inorganic film similar to the protective film 126 Rf. The protective film 128Gf may be an inorganic film similar to the protective film 128 Rf.
< formation of protective layer 126G >
Next, the protective films 126Gf and 128Gf are anisotropically etched by dry etching, and the protective films 126G and 128G are formed by leaving a part of the protective films 126Gf and 128Gf (see fig. 23A). Note that, although the protective layer 126G and the protective layer 128G are formed on the side surface of the EL layer 112G, the side surface of the protective layer 125G, and the side surface of the pixel electrode 111, at least the side surface of the EL layer 112G may be covered. The protective layers 126G and 128G may be formed so as to overlap with the protective layers 126R and 128R.
< formation of EL film 112Bf >
Next, a baking process for removing moisture remaining on the surface of the pixel electrode 111 is performed. The firing process may be performed using a vacuum firing apparatus or a deposition apparatus. Here, the vacuum baking is performed at 100 ℃ or lower, preferably 90 ℃ or lower, and more preferably 80 ℃ or lower, which does not damage the EL layers 112R and 112G.
Next, the surface of the exposed pixel electrode 111 is treated. For example, by CF using a plasma treatment device 4 The fluorine-containing gas generates plasma to irradiate the surface of the pixel electrode 111. Then, an EL film 112Bf to be an EL layer 112B is deposited on the pixel electrode 111.
The EL film 112Bf includes at least a film containing a blue light-emitting organic compound. In addition to this, an electron injection layer, an electron transport layer, a charge generation layer, a hole transport layer, and a hole injection layer may be stacked.
< formation of protective film 125Bf >
Next, a protective film 125Bf that becomes the protective layer 125B after deposition on the EL film 112Bf (see fig. 23B). The protective film 125Bf may be formed of the same material as the protective film 125 Rf.
< formation of resist mask 143c >
Next, a resist mask 143C is formed over the pixel electrode 111 corresponding to the light emitting device 110B (see fig. 23C). The resist mask 143c may be formed by a photolithography process.
< formation of protective layer 125B >
Next, the protective film 125Bf is etched using the resist mask 143c as a mask, and the protective layer 125B is formed in an island shape. The etching process may use a dry etching method or a wet etching method. Then, the resist mask 143c is removed by ashing or a resist stripping solution (see fig. 23D).
< formation of EL layer 112B >
Next, the EL film 112Bf is etched using the protective layer 125B as a mask, whereby the EL layer 112B is formed in an island shape (see fig. 23E). The etching step preferably uses a dry etching method. Then, the side surface or the like of the EL layer 112B is cleaned by a plasma processing apparatus or the like.
< formation of protective films 126Bf, 128Bf >
Next, a protective film 126Bf and a protective film 128Bf are deposited so as to cover the EL layer 112B and the protective layer 125B (see fig. 23F). The protective film 126Bf may be an inorganic film or the like similar to the protective film 126 Rf. The protective film 128Bf may be an inorganic film similar to the protective film 128 Rf.
< formation of insulating layer 127 >
Next, an insulating layer 127 is formed so as to fill between the pixel electrodes and between the EL layers (see fig. 24A). The step can be eliminated by forming the insulating layer 127, whereby disconnection or the like of a conductive film (cathode) formed on the EL layer in a later process can be prevented. Further, by covering the vicinity of the side surface of the EL layer with the insulating layer 127, intrusion of impurities into the EL layer, peeling of the EL layer, and the like can be prevented. The insulating layer 127 may be referred to as an interlayer insulating layer provided between the conductive film and the pixel electrode 111.
The insulating layer 127 preferably uses an insulating layer containing an organic material. For example, an acrylic resin, a polyimide resin, an epoxy resin, an imide resin, a polyamide resin, a polyimide amide resin, a silicone resin, a siloxane resin, a benzocyclobutene resin, a phenol resin, a precursor of the above-described resins, or the like can be used as the insulating layer 127. Further, as the insulating layer 127, an organic material such as polyvinyl alcohol (PVA), polyvinyl butyral, polyvinylpyrrolidone, polyethylene glycol, polyglycerol, pullulan, water-soluble cellulose, or an alcohol-soluble polyamide resin can be used. The insulating layer 127 may be formed using a photosensitive resin such as an ultraviolet curable resin. The photosensitive resin may be a positive type material or a negative type material, and may be formed in the same process as the photolithography process using a photoresist or the like, for example.
Further, it is preferable that baking treatment for reducing moisture and oxygen contained in the insulating layer 127 is performed after the insulating layer 127 is formed. FIG. 27 shows the chemical amplification type negative resist available for the insulating layer 127 measured by TDS to moisture (H) around 500 ℃ 2 O) and oxygen (O) 2 ) As a result of the amount of detachment. Three samples of different firing treatment conditions were compared in fig. 27. It was found that the amount of moisture and oxygen released from the calcined sample was smaller than that of the sample not subjected to the calcination treatment after PEB (post-exposure calcination). As is clear from fig. 27, the amount of moisture and oxygen released is smaller under the condition of baking at a higher temperature (110 ℃ c.×1 hr.) than under the condition of baking at 100 ℃ c.×1hr. Therefore, it is preferable to bake the EL layer after PEB at a temperature in a range where the EL layer is not damaged. In the baking step, the vacuum baking is preferable because moisture and other release gases can be released at a lower temperature than in the atmospheric baking. The ultimate vacuum pressure of the vacuum baking is not particularly limited, and may be a pressure lower than the normal pressure.
Next, ashing treatment is performed to planarize the insulating layer 127 (see fig. 24B). Since the aperture ratio decreases when the insulating layer 127 has a region overlapping each EL layer, it is preferable that the insulating layer 127 is not provided on each EL layer. In addition, when the insulating layer 127 is formed without the insulating layer 127 on each EL layer, this step is not required. Further, as long as the insulating layer 127 on each EL layer can be removed, the top surface of the insulating layer 127 may be slightly concave or convex as indicated by a broken line in the figure.
< formation of Barrier film 130f >
Next, a barrier film 130f is formed over the protective film 128Bf and the insulating layer 127 (see fig. 24C). By providing the barrier film 130f, the release gas or the like from the insulating layer 127 can be suppressed, whereby the reliability of the light emitting device can be further improved. The barrier film 130f may be an inorganic film similar to the protective film 125Rf formed by CVD, ALD, sputtering, or the like.
< formation of resist mask 143d >
Next, a resist mask 143D is formed over the insulating layer 127 (see fig. 24D). The resist mask 143d may be formed using a photolithography process. The resist mask 143d is preferably formed so as not to overlap the EL layers.
< formation of Barrier layer 130, formation of protective layer 128B >
Next, the barrier film 130f and the protective film 128Bf are etched by dry etching to form a barrier layer 130 and a protective layer 128B (see fig. 24E).
< formation of protective layer 126B > removal of protective layers 125R, 125G, 125B >
Next, the protective film 126Bf is etched using the barrier layer 130 as a mask, whereby the protective layer 126B is formed. The protective layers 125R, 125G, 125B are removed (see fig. 24F). The protective layers 126B and 128B are formed on the side surfaces of the EL layer 112B, the side surfaces of the protective layer 125B, and the side surfaces of the pixel electrode 111, but may cover at least the side surfaces of the EL layer 112B. The protective layers 126B and 128B may be formed so as to overlap with the protective layers 126G and 128G.
It is preferable that a part of the protective film 126Bf is etched and the protective layers 125R, 125G, 125B are removed by wet etching or the like using an etchant suitable for a constituent material. In addition, it is preferable to perform the baking treatment after this step. The firing step may be performed by a vacuum firing apparatus or a deposition apparatus in the subsequent step. Here, the conditions of vacuum baking are 100 ℃ or lower, preferably 90 ℃ or lower, and more preferably 80 ℃ or lower, which do not damage the EL layers 112R, 112G, 112B. As is clear from the TDS measurement results, when vacuum baking was performed at 80℃for 90 minutes or longer, the moisture (H) 2 O) is substantially reduced.
< formation of common electrode >
Next, a conductive layer which serves as the common electrode 113 of the light-emitting device is formed over the EL layer 112R, EL, the layer 112G, EL, and the barrier layer 130 which are exposed in the above step (see fig. 25A). As the common electrode 113, a thin metal film (for example, an alloy of silver and magnesium) which transmits light emitted from the light-emitting layer in a semi-transparent manner, or a stacked film of either one or both of an indium tin oxide or an oxide containing one or more of indium, gallium, zinc, and the like (for example) can be used. The common electrode 113 formed of such a film can be said to be an electrode having light transmittance. In the step of forming the conductive layer serving as the common electrode 113, a vapor deposition device, a sputtering device, or the like may be used.
Note that, in order to improve reliability, a layer having a function of any one of an electron injection layer, an electron transport layer, a charge generation layer, a hole transport layer, and a hole injection layer may be used as a common layer and provided over the EL layer 112R, EL layer 112G, EL layer 112B before the common electrode 113 is formed.
The pixel electrode 111 includes an electrode having light reflectivity, and the common electrode 113 includes an electrode having light transmissivity, so that light emitted from the light emitting layer can be emitted to the outside through the common electrode 113. In other words, a top emission type light emitting device is formed.
< formation of protective layer >
Next, a protective layer 121 is formed over the common electrode 113 (see fig. 25B). In the step of forming the protective layer 121, a sputtering apparatus, a CVD apparatus, an ALD apparatus, or the like can be used.
The above is an example of a method for manufacturing a light-emitting device which can be manufactured by the manufacturing apparatus according to one embodiment of the present invention. Fig. 25C is an enlarged view of the area a shown in fig. 25B. Fig. 25D is an enlarged view of the region B shown in fig. 25B.
In addition, in a light-emitting device which can be manufactured using the manufacturing apparatus according to one embodiment of the present invention, as shown in fig. 25E, the pixel electrode and the EL layer may have the same area. Alternatively, as shown in fig. 25F, a structure in which the area of the EL layer is larger than the area of the pixel electrode may be employed. The aperture ratio can be further improved by adopting such a structure.
< example of manufacturing apparatus >
Fig. 26 shows an example of a manufacturing apparatus that can be used for the manufacturing steps from the formation of the EL film 112Rf to the formation of the protective layer 121. The basic structure of the manufacturing apparatus shown in fig. 26 is the same as that of the manufacturing apparatus shown in fig. 3 to 8.
The combination devices C1 to C18 are specifically described below. Fig. 26 is a schematic perspective view of the entire manufacturing apparatus, and facilities (facilities), gate valves, and the like are omitted. For clarity, the interior of the transfer chambers TF1 to TF18 and the load lock chambers B1 to B17 are visible.
< Combined installation C1>
The combined equipment C1 comprises a loading chamber LD and normal pressure process devices A1 and A2. The normal pressure process device A1 may be a washing device, and the normal pressure process device A2 may be a roasting device. A washing process before depositing the EL film 112Rf is performed in the combined apparatus C1.
< Combined installation C2>
The combined plant C2 comprises vacuum process units V1 to V5. The vacuum process units V1 to V5 are the following: a surface treatment device that performs surface treatment of a substrate (pixel electrode) for forming the EL film 112Rf, an evaporation device for forming the EL film 112Rf, and a deposition device (e.g., a sputtering device, an ALD device, etc.) for forming the protective film 125 Rf. For example, the vacuum process device V1 may be a plasma processing device, and the vacuum process device V2 may be a device for forming an organic compound layer serving as the light emitting layer (R). The vacuum process devices V3 and V4 may be devices for forming organic compound layers such as an electron injection layer, an electron transport layer, a charge generation layer, a hole transport layer, and a hole injection layer. In addition, the vacuum process device V5 may be a forming device that forms the protective film 125 Rf.
< Combined installation C3>
The combined plant C3 comprises atmospheric process units A3 to A7. The atmospheric process units A3 to A7 may be units for photolithography processes. For example, the atmospheric process device A3 may be a resin (photoresist) coating device, the atmospheric process device A4 may be a pre-baking device, the atmospheric process device A5 may be an exposure device, the atmospheric process device A6 may be a developing device, and the atmospheric process device A7 may be a post-baking device. Alternatively, the atmospheric pressure process device A5 may be a nanoimprint device.
< Combined installation C4>
The combined plant C4 comprises vacuum process units V6 to V10. For example, the vacuum processing apparatus V6 may be a dry etching apparatus that performs formation of the EL layer 112R. The vacuum processing apparatus V7 may be a plasma processing apparatus that cleans the side surface of the EL layer 112R or the like. The vacuum process device V8 may be a standby chamber. The vacuum process device V9 may be an ALD device that performs deposition of the protective films 126Rf and 128 Rf. The vacuum processing apparatus V10 may be a dry etching apparatus for forming the protective layer 126R and the protective layer 128R.
< Combined installation C5>
The combined equipment C5 comprises normal pressure process devices A8 and A9. The normal pressure process device A8 can be a washing device, and the normal pressure process device A9 can be a roasting device. In the combined apparatus C5, a washing process before the EL film 112Gf is deposited is performed.
< Combined installation C6>
The combined plant C6 comprises vacuum process units V11 to V15. The vacuum process units V11 to V15 are the following: a surface treatment device that performs surface treatment of a substrate (pixel electrode) for forming the EL film 112Gf, a vapor deposition device for forming the EL film 112Gf, and a deposition device (e.g., a sputtering device, an ALD device, etc.) for forming the protective film 125 Gf. For example, the vacuum process device V11 may be a plasma processing device, and the vacuum process device V12 may be a device for forming an organic compound layer serving as the light emitting layer (G). The vacuum process devices V13 and V14 may be devices for forming organic compound layers such as an electron injection layer, an electron transport layer, a charge generation layer, a hole transport layer, and a hole injection layer. In addition, the vacuum processing apparatus V15 may be a forming apparatus that forms the protective film 125 Gf.
< Combined installation C7>
The combined plant C7 comprises atmospheric process units a10 to a14. The atmospheric process units a10 to a14 may be units for photolithography processes. The purpose of the apparatus may be the same as that of the combined apparatus C3.
< Combined installation C8>
The combined plant C8 comprises vacuum process units V16 to V20. For example, the vacuum processing apparatus V16 may be a dry etching apparatus that performs formation of the EL layer 112G. The vacuum processing apparatus V17 may be a plasma processing apparatus that cleans the side surface of the EL layer 112G or the like. The vacuum process device V18 may be a standby chamber. The vacuum process device V19 may be an ALD device that performs deposition of the protective films 126Gf and 128 Gf. The vacuum processing apparatus V20 may be a dry etching apparatus for forming the protective layer 126G and the protective layer 128G.
< Combined installation C9>
The combined plant C9 comprises atmospheric process units a15, a16. The atmospheric process unit a15 may be a washing unit and the atmospheric process unit a16 may be a roasting unit. In the combined apparatus C9, a washing process before depositing the EL film 112Bf is performed.
< Combined installation C10>
The combined apparatus C10 includes vacuum process devices V21 to V25. The vacuum process units V21 to V25 are the following: a surface treatment device that performs surface treatment of a substrate (pixel electrode) for forming the EL film 112Bf, an evaporation device for forming the EL film 112Bf, and a deposition device (e.g., a sputtering device, an ALD device, etc.) for forming the protective film 125 Bf. For example, the vacuum process device V21 may be a plasma processing device, and the vacuum process device V22 may be a device for forming an organic compound layer serving as the light emitting layer (B). The vacuum process devices V23 and V24 may be devices for forming organic compound layers such as an electron injection layer, an electron transport layer, a charge generation layer, a hole transport layer, and a hole injection layer. In addition, the vacuum process device V25 may be a forming device that forms the protective film 125 Bf.
< Combined installation C11>
The combined plant C11 comprises atmospheric process units a17 to a21. The atmospheric process units a17 to a21 may be units for photolithography processes. The purpose of the apparatus may be the same as that of the combined apparatus C3.
< Combined installation C12>
The combined plant C12 comprises vacuum process units V26 to V29. For example, the vacuum processing apparatus V26 may be a dry etching apparatus that performs formation of the EL layer 112B. The vacuum processing apparatus V27 may be a plasma processing apparatus that cleans the side surface of the EL layer 112G or the like. The vacuum process device V28 may be a standby chamber. The vacuum process device V29 may be an ALD device for performing deposition of the protective films 126Bf and 128 Bf.
< Combined installation C13>
The combined plant C13 comprises atmospheric process units a22 to a26. The atmospheric process units a22 to a26 may be units for photolithography processes. The purpose of the apparatus may be the same as that of the combined device C3.
< Combined equipment C14>
The combined apparatus C14 includes vacuum process units V30 and V31. The vacuum process device V30 may be an ashing device for planarizing the insulating layer 127 or a dry etching device having an ashing function. The vacuum process apparatus V31 may be a deposition apparatus (e.g., a sputtering apparatus, an ALD apparatus, a CVD apparatus, etc.) for forming the barrier film 130 f.
< Combined installation C15>
The combined plant C15 comprises atmospheric process units a27 to a31. The atmospheric process units a27 to a31 may be units for photolithography processes. The purpose of the apparatus may be the same as that of the combined device C3.
< Combined installation C16>
The combined apparatus C16 comprises a vacuum process unit V32. The vacuum processing apparatus V29 may be a dry etching apparatus for etching the barrier film 130f and the protective film 128 Bf.
< Combined installation C17>
The combined apparatus C15 includes atmospheric process units a32 and a33. The atmospheric process unit a32 may be a wet etching unit. The atmospheric pressure processing apparatus a32 performs etching steps of the protective films 126Bf and the protective layers 125R, 125G, 125B.
< Combined device C18>
The combined apparatus C18 comprises vacuum process units V33 to V35 and an unloading chamber ULD. The vacuum processing apparatus V33 may be a forming apparatus (for example, an evaporation apparatus) for forming an organic compound layer of any one of an electron injection layer, an electron transport layer, a charge generation layer, a hole transport layer, and a hole injection layer. The vacuum process device V34 may be a deposition device (e.g., a sputtering device) forming the common electrode 113. The vacuum process device V35 may be a deposition device (e.g., a sputtering device) that forms the protective layer 121. Alternatively, the common electrode 113 and the protective layer 121 may be formed as a stacked film by providing a separate vacuum process apparatus V and providing a plurality of different deposition apparatuses (for example, a vapor deposition apparatus, an ALD apparatus, or the like).
Tables 1 and 2 show steps and processing apparatuses using the manufacturing apparatus shown in fig. 26, and components corresponding to the manufacturing methods shown in fig. 21A to 25B. Note that the load lock chamber and the description of the carry-in/carry-out of the substrates of the respective devices are omitted.
TABLE 1
TABLE 2
The manufacturing apparatus according to one embodiment of the present invention has a function of automatically processing step numbers 1 to 72 shown in tables 1 and 2.
This embodiment mode can be implemented in combination with the structure described in other embodiment modes as appropriate.
[ description of the symbols ]
A: normal pressure process device, A1: normal pressure process device, A2: normal pressure process device, A3: normal pressure process device, A4: normal pressure process device, A5: normal pressure process device, A6: normal pressure process device, A7: normal pressure process device, A8: normal pressure process device, A9: normal pressure process device, A10: normal pressure process device, a11: normal pressure process device, A12: normal pressure process device, a13: atmospheric pressure process unit, a14: normal pressure process device, a15: atmospheric process unit, a16: normal pressure process device, a17: normal pressure process device, a18: normal pressure process device, a19: normal pressure process device, a20: normal pressure process device, a21: atmospheric process unit, a22: atmospheric process unit, a23: normal pressure process device, a24: normal pressure process device, a25: atmospheric pressure process unit, a26: atmospheric process unit, a27: atmospheric pressure process unit, a28: normal pressure process device, a29: normal pressure process device, A30: normal pressure process device, a31: atmospheric process unit, a32: atmospheric process unit, a33: normal pressure process device, B1: load lock chamber, B2: load lock chamber, B3: load lock chamber, B4: load lock chamber, B5: load lock chamber, B6: load lock chamber, B7: load lock chamber, B8: load lock chamber, B9: load lock chamber, B10: load lock chamber, B11: load lock chamber, B12: load lock chamber, B13: load lock chamber, B14: load lock chamber, B15: load lock chamber, B16: load lock chamber, B17: load lock chamber, C: plasma processing apparatus, D: deposition apparatus, C1: combining equipment, C2: combining equipment, C3: combining equipment, C4: combining equipment, C5: combining equipment, C6: combining equipment, C7: combining equipment, C8: combining equipment, C9: combining equipment, C10: combining equipment, C11: combining equipment, C12: combining equipment, C13: combining equipment, C14: combining equipment, C15: combining equipment, C16: combining equipment, C17: combining device, C18: combining equipment, E1: etching apparatus, E2: etching device, S: surface treatment apparatus, TF: transfer chamber, TF1: transfer chamber, TF2: transfer chamber, TF3: transfer chamber, TF4: transfer chamber, TF5: transfer chamber, TF6: transfer chamber, TF7: transfer chamber, TF8: transfer chamber, TF9: transfer chamber, TF10: transfer chamber, TF11: transfer chamber, TF12: transfer chamber, TF13: transfer chamber, TF14: transfer chamber, TF15: transfer chamber, TF16: transfer chamber, TF17: transfer chamber, TF18: transfer chamber, V: vacuum process device, V1: vacuum process device, V2: vacuum process device, V3: vacuum process device, V4: vacuum process device, V5: vacuum process device, V6: vacuum process device, V7: vacuum process device, V8: vacuum process device, V9: vacuum process device, V10: vacuum process device, V11: vacuum process device, V12: vacuum process device, V13: vacuum process device, V14: vacuum process device, V15: vacuum process device, V16: vacuum process device, V17: vacuum process device, V18: vacuum process device, V19: vacuum process device, V20: vacuum process device, V21: vacuum process device, V22: vacuum process device, V23: vacuum process device, V24: vacuum process device, V25: vacuum process device, V26: vacuum process device, V27: vacuum process device, V28: vacuum process device, V29: vacuum process device, V30: vacuum process device, V31: vacuum process device, V32: vacuum process device, V33: vacuum process device, V34: vacuum process device, V35: vacuum process device, W: standby chamber, 30: deposition apparatus, 31: deposition material supply section, 32: mask tool, 33: substrate alignment portion, 35: opening portion, 40: gate valve, 51: substrate holder, 52: vapor deposition source, 53: gate, 54: exhaust port, 55: inlet port, 56: lower electrode, 57: target material, 58: upper electrode, 59: spray plate, 60: substrate, 60a: substrate, 60b: substrate, 61: heater, 62: substrate holder, 63: substrate holder, 70: conveyor, 70a: conveyor, 70b: conveyor, 70c: conveyor, 70d: conveyor, 70e: conveyor, 70f: conveyor, 70g: conveyor, 70h: conveyor, 70i: conveyor, 70j: conveyor, 70k: conveyor, 70m: conveyor, 70n: conveyor, 70p: conveyor, 71a: conveyor, 71b: conveyor, 71c: conveyor, 71d: conveyor, 80a: stage, 80b: stage, 80c: stage, 80d: stage, 80e: stage, 80f: stage, 80g: stage, 81a: stage, 81b: stage, 81c: stage, 81d: stage, 81e: stage, 81f: stage, 81g: stage, 81h: stage, 81i: stage, 81j: stage, 82: pin, 91: lifting mechanism, 92: arm portion, 93: hand, 94: lifting mechanism, 95: arm, 96: substrate fixing portion 97: rotation mechanism, 100: display device, 110B: light emitting device, 110G: light emitting device, 110R: light emitting device, 111: pixel electrode, 112B: EL layer, 112Bf: EL film, 112G: EL layer, 112Gf: EL film, 112R: EL layer, 112Rf: EL film, 112W: EL layer, 113: common electrode, 114B: coloring layer, 114G: coloring layer, 114R: coloring layer, 115: transistors, 116: transistors, 117: transistor, 121: protective layer, 125B: protective layer, 125Bf: protective film, 125G: protective layer, 125Gf: protective film, 125R: protective layer, 125Rf: protective film, 126B: protective layer, 126Bf: protective film, 126G: protective layer, 126Gf: protective film, 126R: protective layer, 126Rf: protective film, 127: insulating layer, 128B: protective layer, 128Bf: protective film, 128G: protective layer, 128Gf: protective film, 128R: protective layer, 128Rf: protective film, 130: barrier layer, 130f: barrier film, 143a: resist mask, 143b: resist mask, 143c: resist mask, 143d: resist mask, 200: transfer device, 201: controller, 202: power source, 203: battery, 204: wheel, 205: gas cylinder, 206: valve, 207: valve, 208: carry-out/carry-in port, 209: conveyor, 210: inlet, 211: and a discharge port.

Claims (15)

1. An apparatus for manufacturing a light emitting device, comprising:
a loading chamber, a first etching device, a plasma processing device, a standby chamber, a deposition device, a second etching device, an unloading chamber, a transfer chamber, and a transfer device,
wherein the conveying device is arranged in the conveying chamber,
the loading chamber, the first etching device, the plasma processing device, the standby chamber, the deposition device, the second etching device, and the unloading chamber are respectively connected with the transfer chamber through gate valves,
the transfer device may transfer the workpiece from any one of the loading chamber, the first etching device, the plasma processing device, the standby chamber, the deposition device, the second etching device, and the unloading chamber to any other,
an object to be processed, on which an organic compound film, a first inorganic film and a resist mask are laminated in this order on a silicon substrate, is carried into the loading chamber,
the first etching device, the plasma processing device, the standby chamber, the deposition device and the second etching device are sequentially used for conveying the processed object,
and, the organic compound film is processed into an island-shaped organic compound layer, a protective layer is formed on a side surface of the organic compound layer, and the object to be processed is transferred to the unloading chamber.
2. The apparatus for manufacturing a light-emitting device according to claim 1,
the first etching device is a dry etching device, the first inorganic film is formed into an island shape using the resist mask as a mask, and the organic compound film is processed into the island-shaped organic compound layer using the island-shaped first inorganic film as a mask.
3. The apparatus for manufacturing a light-emitting device according to claim 2,
wherein the first etching device has an ashing function of removing the resist mask.
4. The apparatus for manufacturing a light-emitting device according to any one of claim 1 to 3,
wherein the plasma processing apparatus cleans the side surfaces of the island-shaped organic compound layer by irradiating the side surfaces of the island-shaped organic compound layer with plasma generated from an inert gas.
5. The apparatus for manufacturing a light-emitting device according to any one of claims 1 to 4,
wherein the standby chamber can accommodate a plurality of the objects to be processed.
6. The apparatus for manufacturing a light-emitting device according to any one of claims 1 to 5,
wherein the deposition device is an ALD device and deposits a second inorganic film covering the island-shaped first inorganic film and the island-shaped organic compound layer.
7. The apparatus for manufacturing a light-emitting device according to claim 6,
wherein the deposition device is a batch processing type.
8. The apparatus for manufacturing a light emitting device according to claim 6 or 7,
the second etching device is a dry etching device, and the protective layer is formed on the side surface of the island-shaped organic compound layer by anisotropically etching the second inorganic film.
9. An apparatus for manufacturing a light emitting device,
wherein the manufacturing apparatus of a light-emitting device according to any one of claims 1 to 8 is used as a third combination means,
a plurality of apparatuses performing a photolithography process of the resist mask are used as a second combination device,
a plurality of apparatuses for performing the deposition process of the organic compound film and the first inorganic film are used as a first combination device.
10. The apparatus for manufacturing a light-emitting device according to claim 9,
wherein the first combined device, the second combined device, and the third combined device are connected in that order.
11. The apparatus for manufacturing a light-emitting device according to claim 9,
wherein the object to be processed is stored and transferred in a container controlled to an inert gas atmosphere between the first and second combined equipment and between the second and third combined equipment.
12. The apparatus for manufacturing a light-emitting device according to any one of claims 9 to 11,
the device comprises three combinations of the first combination device, the second combination device and the third combination device.
13. The apparatus for manufacturing a light-emitting device according to any one of claims 9 to 12,
wherein the first combined device comprises a surface treatment means,
and the surface treatment device uses plasma generated from a halogen-containing gas.
14. The apparatus for manufacturing a light-emitting device according to any one of claims 9 to 13,
wherein the first combination device comprises one or more deposition devices selected from an evaporation device, a sputtering device, a CVD device, and an ALD device.
15. The apparatus for manufacturing a light-emitting device according to any one of claims 9 to 14,
wherein the second combination device comprises a coating device, an exposure device, a developing device and a roasting device.
CN202280022590.0A 2021-03-25 2022-03-11 Apparatus for manufacturing light emitting device Pending CN117016044A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2021-051710 2021-03-25
JP2021-065856 2021-04-08
JP2022-001992 2022-01-10
JP2022001992 2022-01-10
PCT/IB2022/052186 WO2022200906A1 (en) 2021-03-25 2022-03-11 Light emitting device manufacturing apparatus

Publications (1)

Publication Number Publication Date
CN117016044A true CN117016044A (en) 2023-11-07

Family

ID=88569466

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280022590.0A Pending CN117016044A (en) 2021-03-25 2022-03-11 Apparatus for manufacturing light emitting device

Country Status (1)

Country Link
CN (1) CN117016044A (en)

Similar Documents

Publication Publication Date Title
JP5171773B2 (en) E-book
US8460857B2 (en) Manufacturing method for wiring
US9419105B2 (en) Method for processing substrate and method for fabricating apparatus
KR20010015386A (en) Method of fabricating an EL display device, and apparatus for forming a thin film
JP2004327272A (en) Manufacturing device and light emitting device
JP6869253B2 (en) Mask patterns, masks, and mask manufacturing methods
JP4408127B2 (en) Method for manufacturing light emitting device
CN117016044A (en) Apparatus for manufacturing light emitting device
WO2022200906A1 (en) Light emitting device manufacturing apparatus
WO2022214907A1 (en) Light emitting device manufacturing apparatus
JP4439827B2 (en) Manufacturing apparatus and light emitting device manufacturing method
JP4368633B2 (en) Manufacturing equipment
WO2023285913A1 (en) Apparatus for producing light emitting device
WO2022172114A1 (en) Apparatus for manufacturing light-emitting device
WO2022153151A1 (en) Light-emitting device manufacturing apparatus
JP2023021074A (en) Manufacturing device for light-emitting device and light-receiving device
WO2022137022A1 (en) Manufacturing device for display device
WO2022123381A1 (en) Method for manufacturing light-emitting device
KR101847978B1 (en) Apparatus for manufacturing organic light emitting diodes
TWI429323B (en) Organic electroluminescent element
JP2006032156A (en) Display device and manufacturing method of display device
WO2024004502A1 (en) Electronic device manufacturing method and film forming method
JP2004119505A (en) Method for washing semiconductor substrate manufacturing equipment and its apparatus
JP2005228616A (en) Manufacturing method of organic electroluminescent element
JPH05129234A (en) Dry etching apparatus

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination