CN116917536A - 用于沉积含金属的薄膜的第6族脒盐桨轮状化合物 - Google Patents

用于沉积含金属的薄膜的第6族脒盐桨轮状化合物 Download PDF

Info

Publication number
CN116917536A
CN116917536A CN202280014771.9A CN202280014771A CN116917536A CN 116917536 A CN116917536 A CN 116917536A CN 202280014771 A CN202280014771 A CN 202280014771A CN 116917536 A CN116917536 A CN 116917536A
Authority
CN
China
Prior art keywords
precursor
formula
substituted
transition metal
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280014771.9A
Other languages
English (en)
Inventor
J·P·科伊尔
M·T·萨沃
S·V·伊瓦诺夫
A·C·库珀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Wortham Materials Usa LLC
Merck Patent GmbH
Original Assignee
Wortham Materials Usa LLC
Merck Patent GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Wortham Materials Usa LLC, Merck Patent GmbH filed Critical Wortham Materials Usa LLC
Publication of CN116917536A publication Critical patent/CN116917536A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic Table
    • C07F11/005Compounds containing elements of Groups 6 or 16 of the Periodic Table compounds without a metal-carbon linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C211/00Compounds containing amino groups bound to a carbon skeleton
    • C07C211/65Metal complexes of amines
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C279/00Derivatives of guanidine, i.e. compounds containing the group, the singly-bound nitrogen atoms not being part of nitro or nitroso groups
    • C07C279/02Guanidine; Salts, complexes or addition compounds thereof
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D207/00Heterocyclic compounds containing five-membered rings not condensed with other rings, with one nitrogen atom as the only ring hetero atom
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D207/00Heterocyclic compounds containing five-membered rings not condensed with other rings, with one nitrogen atom as the only ring hetero atom
    • C07D207/02Heterocyclic compounds containing five-membered rings not condensed with other rings, with one nitrogen atom as the only ring hetero atom with only hydrogen or carbon atoms directly attached to the ring nitrogen atom
    • C07D207/04Heterocyclic compounds containing five-membered rings not condensed with other rings, with one nitrogen atom as the only ring hetero atom with only hydrogen or carbon atoms directly attached to the ring nitrogen atom having no double bonds between ring members or between ring members and non-ring members
    • C07D207/10Heterocyclic compounds containing five-membered rings not condensed with other rings, with one nitrogen atom as the only ring hetero atom with only hydrogen or carbon atoms directly attached to the ring nitrogen atom having no double bonds between ring members or between ring members and non-ring members with hetero atoms or with carbon atoms having three bonds to hetero atoms with at the most one bond to halogen, e.g. ester or nitrile radicals, directly attached to ring carbon atoms
    • C07D207/14Nitrogen atoms not forming part of a nitro radical
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

所公开并要求保护的主题涉及有机金属脒盐及胍盐桨轮状化合物、含有该化合物的组合物及使用该化合物作为前体以沉积含金属的膜的方法。

Description

用于沉积含金属的薄膜的第6族脒盐桨轮状化合物
技术领域
本发明公开并要求保护的主题涉及有机金属脒盐(amidinate)及胍盐(guanidinate)桨轮状(paddlewheel)化合物、含有该化合物的组合物及使用该化合物作为前体以沉积含金属的膜的方法。
背景技术
含过渡金属膜用于半导体及电子装置应用中。化学气相沉积(CVD)及原子层沉积(ALD)已用作用于产生用于半导体装置的薄膜的主要沉积技术。这些方法能够透过含金属化合物(前体)的化学反应来实现保形膜(金属、金属氧化物、金属氮化物、金属硅化物等)。化学反应发生在可包括金属、金属氧化物、金属氮化物、金属硅化物及其他表面的表面上。在CVD及ALD中,前体分子在实现具有高保形性及低杂质的高品质膜中起着关键作用。CVD及ALD工艺中基板的温度是选择前体分子中的一项重要考虑。在150至500摄氏度(℃)的范围内的较高基板温度促进较高膜生长率。优选前体分子必须在此温度范围内稳定。优选前体能够呈液相递送至反应容器。与固相前体相比,前体的液相递送一般可更均匀递送前体至反应容器。
越来越多地使用CVD及ALD工艺,因为它们具有增强的组成控制、高膜均匀性及有效掺杂控制的优点。此外,CVD及ALD工艺提供在与现代微电子装置相关的高度非平面几何形状上的优异保形阶梯覆盖。
CVD是一种使用前体以于基板表面上形成薄膜的化学工艺。在典型CVD工艺中,该前体在低压或环境压力反应室中通过基板(例如,晶圆)的表面。该前体于该基板表面上反应及/或分解,产生经沉积材料的薄膜。可使用等离子体以辅助前体的反应或用于改良材料性质。通过气体流过该反应室移除挥发性副产物。经沉积膜厚度可难以控制,因为其取决于许多参数诸如温度、压力、气流体积及均匀性、化学耗尽效应及时间的协调。
ALD是一种用于沉积薄膜的化学方法。其是基于表面反应的自限制、依序、独特的膜生长技术,其可提供精确的厚度控制及将由前体提供的材料的保形薄膜沉积于具有不同组成的表面基板上。在ALD中,该前体在反应期间分离。使第一前体通过基板表面于该基板表面上产生单层。任何过量的未反应前体均泵出反应室。然后使第二前体或共反应物通过该基板表面并与该第一前体反应,在该基板表面上的首次形成的膜单层上形成第二膜单层。可使用等离子体以辅助前体或共反应物的反应或用于改良材料品质。重复此循环以产生所需厚度的膜。
薄膜及特别是含金属的薄膜具有多种重要应用,诸如于纳米技术及半导体装置的制造中。这样的应用的实例包括电容器电极、栅电极、粘合剂扩散障壁及集成电路。
为形成氮化钼膜,已使用化合物Mo(VI)(NMe2)2(NtBu)2
以制备氮化物膜。参见Chem.Mater.,19,263-269(2007)。前体一般称为MoBure。MoBure在低于100℃下蒸发并经由热原子层沉积以氨沉积氮化钼膜。于260至300℃的反应器温度范围内,生长速率是/循环。膜组合物含有1:1的Mo:N比率且主要是非晶型的。未报导该薄膜的电阻率值。内部实验具有针对MoBure测量的>2000μΩ·cm的电阻率。
已报导通过等离子体增强的原子层沉积及化学气相沉积以氢由MoBure形成碳化钼及碳氮化钼膜的薄膜的其他方法。这样的膜(在150℃下通过等离子体增强的工艺沉积)的电阻率介于170至200μΩ·cm之间。参见J.Vac.Sci.Technol.,A35,01B141(2017)及ThinSol id Fi lms,692,137607(2017)。无文献确定描述这样的膜(例如,含钼膜),且由无卤素含金属前体沉积的如此低电阻率是未知的。通常,需要等离子体增强的工艺以由无卤素钼及钨前体实现低电阻率。
钼桨轮状化合物一般为文献中已知的。尽管已知的化合物尚未作为ALD及CVD的前体进行研究。事实上,大多数实例含有芳族取代基,其不利地影响前体挥发性的关键物理性质。具有ALD及CVD应用潜力的钼桨轮状化合物的最著名实例是Yamaguchi,Y.等人,Inorganica Chim.Acta.,358,2363-2370(2005)的Mo2(OAc){(NiPr)2CMe}3。然而,如本领域技术人员认知并了解,乙酸盐配体于这样的化合物中的存在是氧杂质的来源,氧杂质对需具有低电阻率的薄膜的应用可为有害的。因此,本发明公开并要求保护的主题提供在无乙酸盐配体的情况下合成的第6族(即,铬、钼及钨)桨轮状化合物。这些新颖桨轮状前体是热稳定的且适合作为CVD及ALD前体,其可优选以液相递送,具有低杂质且可产生具有高保形性及低电阻率的高品质膜。
本文公开并要求保护的无乙酸盐的桨轮状化合物的合成经确定取决于正确选择脒盐或胍盐配体。无意或不受理论束缚,当遵循来自文献的合成方法时,所有四种乙酸盐配体的完全代替均取决于该脒盐或胍盐配体的空间主体(bulk)。
发明概述
本发明公开并要求保护的主题涉及用作ALD及CVD前体的铬、钼及钨的脒盐及胍盐桨轮状化合物。
在一个实施方案中,该前体是具有下文显示的通式I的脒盐(““Ad””)桨轮状化合物:
其中:M是铬、钼及钨中之一;及
R1、R2及R3各自独立地选自H、D、未经取代的直链C1-C6烷基、经卤素取代的直链C1-C6烷基、经氨基取代的直链C1-C6烷基、未经取代的支链C3-C6烷基、经卤素取代的支链C3-C6烷基、经氨基取代的支链C3-C6烷基、未经取代的胺、经取代胺、-Si(CH3)3、C3-C8未经取代的环烷基、经卤素取代的C3-C8环烷基、经氨基取代的C3-C8环烷基、C3-C8未经取代的芳族基团、经卤素取代的C3-C8芳族基团及经氨基取代的C3-C8芳族基团。在此实施方案的一个方面中,所有四种脒盐配体具有相同化学结构。在此实施方案的另一方面中,该脒盐配体中的两者或更多者具有相同化学结构。在此实施方案的另一方面中,所有四种脒盐配体具有不同化学结构。
在此实施方案的另外方面中,式I化合物包括如下文显示的杂环Ad配体(式II-A及式II-B)及/或杂环双环Ad配体(式II-C),其中(a)R1及R3及(b)R2及R3中之一或两者独立地构成5或6元杂环的部分且是以下中之一:(i)未经取代的亚烷基连接基、(ii)经取代亚烷基连接基、(iii)未经取代的其中含有选自氧及氮的杂原子的亚杂烷基连接基,及(iv)经取代的含有选自氧及氮的杂原子的亚杂烷基连接基。
在一个方面中,该前体具有式II-A:
其中:M是铬、钼及钨中之一;
R2选自H、D、未经取代的直链C1-C6烷基、经卤素取代的直链C1-C6烷基、经氨基取代的直链C1-C6烷基、未经取代的支链C3-C6烷基、经卤素取代的支链C3-C6烷基、经氨基取代的支链C3-C6烷基、未经取代的胺、经取代胺、-Si(CH3)3、C3-C8未经取代的环烷基、经卤素取代的C3-C8环烷基、经氨基取代的C3-C8环烷基、C3-C8未经取代的芳族基团、经卤素取代的C3-C8芳族基团、经氨基取代的C3-C8芳族基团;及
R1及R3构成5或6元杂环的部分且是以下中之一:(i)未经取代的亚烷基连接基、(ii)经取代亚烷基连接基、(iii)未经取代的其中含有选自氧及氮的杂原子的亚杂烷基连接基,及(iv)经取代的含有选自氧及氮的杂原子的亚杂烷基连接基。
在一个方面中,该前体具有式I I-B:
其中:M是铬、钼及钨中之一;
R1选自H、D、未经取代的直链C1-C6烷基、经卤素取代的直链C1-C6烷基、经氨基取代的直链C1-C6烷基、未经取代的支链C3-C6烷基、经卤素取代的支链C3-C6烷基、经氨基取代的支链C3-C6烷基、未经取代的胺、经取代胺、-Si(CH3)3、C3-C8未经取代的环烷基、经卤素取代的C3-C8环烷基、经氨基取代的C3-C8环烷基、C3-C8未经取代的芳族基团、经卤素取代的C3-C8芳族基团、经氨基取代的C3-C8芳族基团;及
R2及R3构成5或6元杂环的部分且是以下中之一:(i)未经取代的亚烷基连接基、(ii)经取代亚烷基连接基、(iii)未经取代的其中含有选自氧及氮的杂原子的亚杂烷基连接基,及(iv)经取代的含有选自氧及氮的杂原子的亚杂烷基连接基。
在一个方面中,该前体具有式I I-B:
其中:M是铬、钼及钨中之一;及
(a)R1及R3及(b)R2及R3中的各者独立地构成5或6元杂环的部分且是以下中之一:(i)未经取代的亚烷基连接基、(ii)经取代亚烷基连接基、(iii)未经取代的其中含有选自氧及氮的杂原子的亚杂烷基连接基,及(iv)经取代的含有选自氧及氮的杂原子的亚杂烷基连接基。
如本领域技术人员将了解,上文式II-A及式II-B及/或式II-C中描述的各5或6元环中的亚烷基及亚杂烷基的主链将含有除其上的任何取代基或侧链外的三或四个原子。
在另一实施方案中,该前体是具有下文显示的通式III的胍盐(“Gd”)桨轮状化合物:
其中:M是铬、钼及钨中之一;及
R1、R2、R3A及R3B各自独立地选自H、D、未经取代的直链C1-C6烷基、经卤素取代的直链C1-C6烷基、经氨基取代的直链C1-C6烷基、未经取代的支链C3-C6烷基、经卤素取代的支链C3-C6烷基、经氨基取代的支链C3-C6烷基、未经取代的胺、经取代胺、-Si(CH3)3、C3-C8未经取代的环烷基、经卤素取代的C3-C8环烷基、经氨基取代的C3-C8环烷基、C3-C8未经取代的芳族基团、经卤素取代的C3-C8芳族基团及经氨基取代的C3-C8芳族基团。在此实施方案的一个方面中,所有四种胍盐配体具有相同化学结构。在此实施方案的另一方面中,该胍盐配体中的两者或更多者具有相同化学结构。在此实施方案的另一方面中,所有四种胍盐配体具有不同化学结构。
在此实施方案的另外方面中,式III化合物包括如下文显示的杂环Gd配体(式IV-A及式IV-B)及/或杂环双环Gd配体(式IV-C),其中(a)R1及R3及(b)R2及R3中之一或两者独立地构成5或6元杂环的部分且是以下中之一:(i)未经取代的亚烷基连接基、(ii)经取代亚烷基连接基、(iii)未经取代的其中含有选自氧及氮的杂原子的亚杂烷基连接基,及(iv)经取代的含有选自氧及氮的杂原子的亚杂烷基连接基。
在一个方面中,该前体具有式IV-A:
其中:M是铬、钼及钨中之一;
R2选自H、D、未经取代的直链C1-C6烷基、经卤素取代的直链C1-C6烷基、经氨基取代的直链C1-C6烷基、未经取代的支链C3-C6烷基、经卤素取代的支链C3-C6烷基、经氨基取代的支链C3-C6烷基、未经取代的胺、经取代胺、-Si(CH3)3、C3-C8未经取代的环烷基、经卤素取代的C3-C8环烷基、经氨基取代的C3-C8环烷基、C3-C8未经取代的芳族基团、经卤素取代的C3-C8芳族基团、经氨基取代的C3-C8芳族基团;及
R1及RX构成5或6元杂环的部分且是以下中之一:(i)未经取代的亚烷基连接基、(ii)经取代亚烷基连接基、(iii)未经取代的其中含有选自氧及氮的杂原子的亚杂烷基连接基,及(iv)经取代的含有选自氧及氮的杂原子的亚杂烷基连接基,其中RZ是R3A及R3B中之一及RX是R3A及R3B中的另一者,其未经连接基连接至R1
在一个方面中,该前体具有式IV-B:
其中:M是铬、钼及钨中之一;
R1选自H、D、未经取代的直链C1-C6烷基、经卤素取代的直链C1-C6烷基、经氨基取代的直链C1-C6烷基、未经取代的支链C3-C6烷基、经卤素取代的支链C3-C6烷基、经氨基取代的支链C3-C6烷基、未经取代的胺、经取代胺、-Si(CH3)3、C3-C8未经取代的环烷基、经卤素取代的C3-C8环烷基、经氨基取代的C3-C8环烷基、C3-C8未经取代的芳族基团、经卤素取代的C3-C8芳族基团、经氨基取代的C3-C8芳族基团;及
R2及RZ构成5或6元杂环的部分且是以下中之一:(i)未经取代的亚烷基连接基、(ii)经取代亚烷基连接基、(iii)未经取代的其中含有选自氧及氮的杂原子的亚杂烷基连接基,及(iv)经取代的含有选自氧及氮的杂原子的亚杂烷基连接基,其中RZ是R3A及R3B中之一及RX是R3A及R3B中的另一者,其未经连接基连接至R2
在一个方面中,该前体具有式IV-C:
其中:M是铬、钼及钨中之一;及
(a)R1及RX及(b)R2及RZ中的各者独立地构成5或6元杂环的部分且是以下中之一:(i)未经取代的亚烷基连接基、(ii)经取代亚烷基连接基、(iii)未经取代的其中含有选自氧及氮的杂原子的亚杂烷基连接基,及(iv)经取代的含有选自氧及氮的杂原子的亚杂烷基连接基,其中RZ是R3A及R3B中之一及RX是R3A及R3B中的另一者。
如本领域技术人员将了解,上文式IV-A及式IV-B及/或式IV-C中描述的各5或6元环中的亚烷基及亚杂烷基的主链将含有除其上的任何取代基或侧链外的三或四个原子。
化合物的上文前体,及下文更具体描述的实施方案为其中这样的污染物(卤化物及氧)是有害的应用提供无卤化物及无氧前体。
本发明公开并要求保护的主题进一步包括(i)包含本发明公开并要求保护的前体的组合物及配制剂,(ii)在沉积工艺中使用本发明公开并要求保护的前体的方法,及(iii)在沉积工艺中产生的来源于本发明公开并要求保护的前体的含金属的膜。相较于已知方法,该方法产生性质改良的薄膜,这可归因于桨轮状前体的低氧化态。这样的金属及含金属的薄膜可通过热或等离子体ALD及CVD使用本发明公开并要求保护的前体产生。
该前体可用以在温和条件下产生含金属(例如,钼)的薄膜。例如,已在不存在等离子体的情况下在热ALD工艺中由本发明公开并要求保护的主题的脒化钼(I I)前体沉积具有低电阻率的碳氮化钼薄膜。传统上,由MoBure产生的碳氮化钼薄膜需要等离子体增强的工艺。无意受理论束缚或不受理论束缚,桨轮状化合物的“刚性结构”似乎非常有效地稳定低价金属原子以提供具有热稳定性及挥发性的化合物。相比之下,例如,MoBure具有(VI)氧化态,其需要强烈还原的氢等离子体以沉积具有低电阻率的薄膜。
附图说明
所附图式(包括于本文中以提供本公开主题的进一步了解且并入本说明书内并构成本说明书的一部分)阐述本公开主题的实施方案并连同本说明书一起有助于解释本公开主题的原理。在该图中:
图1阐述以下的热重分析:实施例1至4(其中A=Mo2(3A)4;B=Mo2-(3B)4;C=Mo2-(3TT)4,如表5中阐述,及比较实施例Mo2-(3J)3(Ac));
图2阐述实施例1的四(N,N’-二甲基甲脒盐)二钼的质子(1H)NMR;
图3阐述实施例2的四(N,N’-二乙基甲脒盐)二钼的质子(1H)NMR;
图4阐述实施例3的四(N-仲丁基亚氨基吡咯烷酸盐)二钼的质子(1H)NMR;
图5阐述通过实施例5的NH3 CCVD工艺在350℃晶圆温度下沉积的含Mo膜的自上而下及横截面SEM:10sec Mo2(Et-FMD)4/30sec Ar吹扫/5sec NH3/30sec Ar吹扫;
图6阐述通过实施例5的NH3 CCVD工艺在350℃晶圆温度下沉积的含Mo膜的自上而下及横截面SEM:20sec Mo2(Et-FMD)4/30sec Ar吹扫/5sec NH3/30sec Ar吹扫;及
图7阐述通过实施例5的NH3 CCVD工艺在350℃晶圆温度下沉积的含Mo膜的俄歇(Auger)深度分布:10sec Mo2(Et-FMD)4/30sec Ar吹扫/5sec NH3/30sec Ar吹扫。
图8阐述Mo2(Me-FMD)4的晶体结构。
图9阐述Mo2(Et-FMD)4的晶体结构。
图10阐述通过实施例11的NH3 ALD工艺在360℃及375℃晶圆温度下于高纵横比图案化晶圆上沉积的含Mo膜的横截面TEM:20sec Mo2(Et-FMD)4/30sec Ar吹扫/5sec NH3/30sec Ar吹扫;
图11阐述通过实施例12的NH3 CCVD工艺在400℃晶圆温度下于氧化硅基板上沉积的连续薄膜的横截面TEM:10sec Mo2(Me-FMD)4/30sec Ar吹扫/5sec NH3/30sec Ar吹扫;
图12阐述如实施例15中描述,在具有及没有由Mo2(Me-FMD)4沉积的种子层的情况下,在通过MoO2Cl2/H2热ALD沉积Mo金属膜于晶圆上后,该氧化硅晶圆的照片;
图13阐述通过MoO2Cl2/H2热ALD沉积于通过实施例16的NH3 CCVD工艺沉积的种子层上的低电阻率Mo金属膜的横截面TEM:10sec Mo2(Me-FMD)4/30secAr吹扫/5sec NH3/30sec Ar吹扫;
图14阐述使用Mo2(Me-FMD)4的实验晶胞参数的模拟粉末X射线衍射(PXRD)光谱;及
图15阐述使用Mo2(Et-FMD)4的实验晶胞参数的模拟粉末X射线衍射(PXRD)光谱。
具体实施方式
本文引述的所有参考文献(包括公开、专利申请及专利)均以引用的方式并入本文中,其引用程度如同每个参考文献经各个地且特定地指出以引用的方式并入并以其全文陈述于本文中。
除非另外于本文中指明或与内容明显矛盾,否则,于描述所公开并要求保护的主题的上下文中(尤其是在以下权利要求书的上下文中)使用术语“一”及“一个”及“该”及相似指示物应解释为涵盖单数及复数。除非另有注明,否则术语“包括”、“具有”、“包含”及“含有”应解释为开放式术语(即意指“包括但不限于”)。除非另外于本文中指明,否则本文中值范围的叙述仅是意欲用作各个提及落入于该范围内的各单独值的速记方法,且将各单独值并入至本说明书中如同其经各个引述于文中那样。除非另外于本文中指明或另外与内容明显矛盾,否则本文所描述的所有方法可以任何适宜顺序进行。除非另外要求保护,否则本文所提供的任何及所有实例、或示例性语言(例如“诸如”)的使用仅意欲更好地阐述所公开并要求保护的主题且不对所公开并要求保护的主题的范围构成限制。本说明书中的任何语言均不应解释为指示为实践所公开并要求保护的主题所必需的任何非要求保护的要素。在本说明书及权利要求书中术语“包括”或“包含”的使用包括“基本上由……组成”及“由……组成”的较狭窄语言。
本文描述所公开并要求保护的主题的实施方案,包括发明人已知的用于进行所公开并要求保护的主题的最佳模式。本领域技术人员在阅读前述描述后当可明了那些实施方案的变化。发明人期望本领域技术人员适当地采用此类变化,且发明人期望以不同于如本文所具体描述的其他方式来实践所公开并要求保护的主题。因此,所公开并要求保护的主题包括适用法律所允许的于本发明随附权利要求书中所列举的主题的所有修改及等效物。此外,除非另外于本文中指明或另外与内容明显矛盾,否则所公开并要求保护的主题涵盖上述要素在其所有可能变化中的任何组合。
应理解,术语“硅”在沉积为微电子装置上的材料时将包括多晶硅。
为便于参考,“微电子装置”或“半导体装置”对应于具有集成电路、存储器及其他电子结构制造于其上的半导体晶圆,及平板显示器、相变存储装置、太阳能面板及经制造以用于微电子、集成电路或计算机芯片应用中的其他产品(包括太阳能基板、光伏装置及微机电系统(MEMS))。太阳能基板包括但不限于硅、非晶型硅、多晶硅、单晶硅、CdTe、硒化铜铟、硫化铜铟及镓载砷化镓。太阳能基板可为经掺杂或未掺杂。应理解,术语“微电子装置”或“半导体装置”并不意指以任何方式进行限制且包括将最终成为微电子装置或微电子总成的任何基板。
如本文所定义,术语“障壁材料”对应于本领域中用于密封金属线(例如铜互连件)以最小化该金属(例如铜)扩散至介电材料中的任何材料。优选的障壁层材料包括钽、钛、钌、铪、及其他耐火金属及其氮化物及硅化物。
“实质上不含”在本文中定义为小于0.001重量%。“实质上不含”也包括0.000重量%。术语“不含”意指0.000重量%。如本文所用,“约”或“大约”意欲对应于在规定值的±5%内。
“Ad配体”意指脒盐配体。“Gd配体”意指胍盐配体。
除非另有说明,否则“亚烷基”意指具有一至六个碳原子的直链饱和二价烃基或具有三至六个碳原子的支链饱和二价烃基(例如,亚甲基、亚乙基、亚丙基、1-甲基亚丙基、2-甲基亚丙基、亚丁基、亚戊基,及类似物)。
“亚杂烷基”意指如上文定义的-(亚烷基)-基团,其中亚烷基链中的一、二或三个碳是经-O-、N(H、烷基或经取代烷基)、S、SO、SO2或CO替代。在一些优选实施方案中,该碳是经O或N替代。
在所有此类组合物中,其中该组合物的特定组分是参考重量百分比(或“重量%”)范围(包括零下限)进行论述,应理解,此类组分可存在或不存在于组合物的各种特定实施方案中,及在此类组分存在的情况下,其可以基于其中采用此类组分的组合物的总重量计低至0.001重量百分比的浓度存在。请注意,组分的所有百分比均为重量百分比且基于组合物的总重量(即100%)计。对“一个/种或多个/种”或“至少一个/种”的任何提及包括“两个/种或更多个/种”及“三个/种或更多个/种”且依此类推。
在适用的情况下,除非另外指明,否则所有重量百分比均为“净”,意指其不包括在添加至组合物时其存在的水溶液。例如,“净”是指未经稀释的酸或其他材料的重量%量(即内含物100g 85%磷酸构成85g酸及15克稀释剂)。
此外,当以重量%来指代本文所描述的组合物时,应理解,在任何情况下,所有组分(包括非必需组分,诸如杂质)的重量%不得加至超过100重量%。在“基本上由所列举组分组成”的组合物中,此类组分可总计达组合物的100重量%或可总计达小于100重量%。在组分总计达小于100重量%的情况下,此类组合物可包括某些少量非必需污染物或杂质。例如,在一个此类实施方案中,配制剂可含有2重量%或更少的杂质。在另一个实施方案中,配制剂可含有1重量%或小于1重量%的杂质。在另一个实施方案中,配制剂可含有0.05重量%或小于0.05重量%的杂质。在其它此类实施方案中,成分可占至少90重量%,更优选至少95重量%,更优选至少99重量%,更优选至少99.5重量%,最优选至少99.9重量%,且可包括不实质影响湿式蚀刻剂的性能的其他成分。否则,若不存在显著非必需杂质组分,则应理解,所有必需组成组分的组合物将基本上总计达100重量%。
本文所采用的标题无意为限制性的;相反地,其仅出于组织目的而加以包括。
示例性实施方案
本发明公开并要求保护的主题涉及用作ALD及CVD前体的铬、钼及钨的脒盐及胍盐桨轮状化合物。
脒盐桨轮状前体
本发明公开并要求保护的主题的一个方面涉及式I的脒盐桨轮状化合物:
其中:M是铬、钼及钨中之一;及
R1、R2及R3各自独立地选自H、D、未经取代的直链C1-C6烷基、经卤素取代的直链C1-C6烷基、经氨基取代的直链C1-C6烷基、未经取代的支链C3-C6烷基、经卤素取代的支链C3-C6烷基、经氨基取代的支链C3-C6烷基、未经取代的胺、经取代胺、-Si(CH3)3、C3-C8未经取代的环烷基、经卤素取代的C3-C8环烷基、经氨基取代的C3-C8环烷基、C3-C8未经取代的芳族基团、经卤素取代的C3-C8芳族基团及经氨基取代的C3-C8芳族基团。在此实施方案的一个方面中,所有四种脒盐配体具有相同化学结构。在此实施方案的另一方面中,该脒盐配体中的两者或更多者具有相同化学结构。在此实施方案的另一方面中,所有四种脒盐配体具有不同化学结构。
在此实施方案的一个方面中,R1、R2及R3各自独立地选自H、未经取代的直链C1至C3烷基及未经取代的支链C3或C4烷基。在一个方面中,R1、R2及R3中的一或多者是甲基。在一个方面中,R1、R2及R3中的一或多者是乙基。在一个方面中,R1、R2及R3中的一或多者是丙基。在一个方面中,R1、R2及R3中的一或多者是异丙基。在一个方面中,R1、R2及R3中的一或多者是仲丁基。在一个方面中,R1、R2及R3中的一或多者是正丁基。在一个方面中,R1、R2及R3中的一或多者是异丁基。
在此实施方案的一个方面中,M是铬。在此实施方案的另一方面中,M是钼。在此实施方案的另一方面中,M是钨。
在一些实施方案中,脒盐配体(“Ad配体”)具有如表1中列举的结构:
/>
/>
表1
在此实施方案的一个方面中,式I化合物具有下列结构,其中Ad配体是甲脒盐配体:
其中:M=Cr、Mo、W;
R1=C1-C5经取代或未经取代的烷基;及
R2=C1-C5经取代或未经取代的烷基。
在此实施方案的一个实例中,M=Mo及R1及R2中的各者是甲基(-CH3):
/>
在此实施方案的一个实例中,M=Mo及R1及R2中的各者是乙基(-CH2CH3):
在此实施方案的另外方面中,式I化合物包括如下文显示的杂环Ad配体(式I I-A及式I I-B)及/或杂环双环Ad配体(式I I-C),其中(a)R1及R3及(b)R2及R3中之一或两者独立地构成5或6元杂环的部分且是以下中之一:(i)未经取代的亚烷基连接基、(ii)经取代亚烷基连接基、(iii)未经取代的其中含有选自氧及氮的杂原子的亚杂烷基连接基,及(iv)经取代的含有选自氧及氮的杂原子的亚杂烷基连接基。
在一个方面中,该前体具有式I I-A:
其中:M是铬、钼及钨中之一;
R2选自H、D、未经取代的直链C1-C6烷基、经卤素取代的直链C1-C6烷基、经氨基取代的直链C1-C6烷基、未经取代的支链C3-C6烷基、经卤素取代的支链C3-C6烷基、经氨基取代的支链C3-C6烷基、未经取代的胺、经取代胺、-Si(CH3)3、C3-C8未经取代的环烷基、经卤素取代的C3-C8环烷基、经氨基取代的C3-C8环烷基、C3-C8未经取代的芳族基团、经卤素取代的C3-C8芳族基团、经氨基取代的C3-C8芳族基团;及
R1及R3构成5或6元杂环的部分且是以下中之一:(i)未经取代的亚烷基连接基、(ii)经取代亚烷基连接基、(iii)未经取代的其中含有选自氧及氮的杂原子的亚杂烷基连接基,及(iv)经取代的含有选自氧及氮的杂原子的亚杂烷基连接基。
如本领域技术人员将了解,上文实施方案中描述的亚烷基及亚杂烷基的主链将含有除其上的任何取代基或侧链外的三或四个原子。
在此实施方案的一个方面中,R1及R3构成5元杂环的部分。在另一方面中,R1及R3是未经取代的含有三个碳的亚烷基连接基。在另一方面中,R1及R3是经取代的含有三个碳的亚烷基连接基。在另一方面中,R1及R3是含有三个碳的经至少一个卤素原子取代的经取代亚烷基连接基。在另一方面中,R1及R3是含有三个碳的经至少一个氟原子取代的经取代亚烷基连接基。在另一方面中,R1及R3是含有三个碳的经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。在另一方面中,R1及R3是未经取代的含有两个碳及氧的亚杂烷基连接基。在另一方面中,R1及R3是未经取代的含有两个碳及氮的亚杂烷基连接基。在另一方面中,R1及R3是含有两个碳、氧或氮原子且经卤素取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该卤素是氟。在另一方面中,R1及R3是含有两个碳、氧或氮原子且经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。
在此实施方案的一个方面中,R1及R3构成6元杂环的部分。在另一方面中,R1及R3是未经取代的含有四个碳的亚烷基连接基。在另一方面中,R1及R3是经取代的含有四个碳的亚烷基连接基。在另一方面中,R1及R3是含有四个碳的经至少一个卤素原子取代的经取代亚烷基连接基。在另一方面中,R1及R3是含有四个碳的经至少一个氟原子取代的经取代亚烷基连接基。在另一方面中,R1及R3是含有四个碳的经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。在另一方面中,R1及R3是未经取代的含有三个碳及氧的亚杂烷基连接基。在另一方面中,R1及R3是未经取代的含有三个碳及氮的亚杂烷基连接基。在另一方面中,R1及R3是含有三个碳、氧或氮原子且经卤素取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该卤素是氟。在另一方面中,R1及R3是含有三个碳、氧或氮原子且经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。
在一个方面中,该前体具有式I I-B:
其中:M是铬、钼及钨中之一;
R1选自H、D、未经取代的直链C1-C6烷基、经卤素取代的直链C1-C6烷基、经氨基取代的直链C1-C6烷基、未经取代的支链C3-C6烷基、经卤素取代的支链C3-C6烷基、经氨基取代的支链C3-C6烷基、未经取代的胺、经取代胺、-Si(CH3)3、C3-C8未经取代的环烷基、经卤素取代的C3-C8环烷基、经氨基取代的C3-C8环烷基、C3-C8未经取代的芳族基团、经卤素取代的C3-C8芳族基团、经氨基取代的C3-C8芳族基团;及
R2及R3构成5或6元杂环的部分且是以下中之一:(i)未经取代的亚烷基连接基、(ii)经取代亚烷基连接基、(iii)未经取代的其中含有选自氧及氮的杂原子的亚杂烷基连接基,及(iv)经取代的含有选自氧及氮的杂原子的亚杂烷基连接基。
如本领域技术人员将了解,上文实施方案中描述的亚烷基及亚杂烷基的主链将含有除其上的任何取代基或侧链外的三或四个原子。
在此实施方案的一个方面中,R2及R3构成5元杂环的部分。在另一方面中,R2及R3是未经取代的含有三个碳的亚烷基连接基。在另一方面中,R2及R3是经取代的含有三个碳的亚烷基连接基。在另一方面中,R2及R3是含有三个碳的经至少一个卤素原子取代的经取代亚烷基连接基。在另一方面中,R2及R3是含有三个碳的经至少一个氟原子取代的经取代亚烷基连接基。在另一方面中,R2及R3是含有三个碳的经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。在另一方面中,R2及R3是未经取代的含有两个碳及氧的亚杂烷基连接基。在另一方面中,R2及R3是未经取代的含有两个碳及氮的亚杂烷基连接基。在另一方面中,R2及R3是含有两个碳、氧或氮原子且经卤素取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该卤素是氟。在另一方面中,R2及R3是含有两个碳、氧或氮原子且经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。
在此实施方案的一个方面中,R2及R3构成6元杂环的部分。在另一方面中,R2及R3是未经取代的含有四个碳的亚烷基连接基。在另一方面中,R2及R3是经取代的含有四个碳的亚烷基连接基。在另一方面中,R2及R3是含有四个碳的经至少一个卤素原子取代的经取代亚烷基连接基。在另一方面中,R2及R3是含有四个碳的经至少一个氟原子取代的经取代亚烷基连接基。在另一方面中,R2及R3是含有四个碳的经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。在另一方面中,R2及R3是未经取代的含有三个碳及氧的亚杂烷基连接基。在另一方面中,R2及R3是未经取代的含有三个碳及氮的亚杂烷基连接基。在另一方面中,R2及R3是含有三个碳、氧或氮原子且经卤素取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该卤素是氟。在另一方面中,R2及R3是含有三个碳、氧或氮原子且经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。
在一个方面中,该前体具有式I I-C:
其中:M是铬、钼及钨中之一;及
(a)R1及R3及(b)R2及R3中的各者独立地构成5或6元杂环的部分且是以下中之一:(i)未经取代的亚烷基连接基、(ii)经取代亚烷基连接基、(iii)未经取代的其中含有选自氧及氮的杂原子的亚杂烷基连接基,及(iv)经取代的含有选自氧及氮的杂原子的亚杂烷基连接基。
如本领域技术人员将了解,上文实施方案中描述的亚烷基及亚杂烷基的主链将含有除其上的任何取代基或侧链外的三或四个原子。
在此实施方案的一个方面中,(a)R1及R3及(b)R2及R3中的各者各自独立地构成5元杂环的部分。在另一方面中,(a)R1及R3及(b)R2及R3中的各者各自独立地是未经取代的含有三个碳的亚烷基连接基。在另一方面中,(a)R1及R3及(b)R2及R3中的各者各自独立地是经取代的含有三个碳的亚烷基连接基。在另一方面中,(a)R1及R3及(b)R2及R3中的各者各自独立地是含有三个碳的经至少一个卤素原子取代的经取代亚烷基连接基。在另一方面中,(a)R1及R3及(b)R2及R3中的各者各自独立地是含有三个碳的经至少一个氟原子取代的经取代的亚烷基连接基。在另一方面中,(a)R1及R3及(b)R2及R3中的各者各自独立地是含有三个碳的经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。在另一方面中,(a)R1及R3及(b)R2及R3中的各者各自独立地是未经取代的含有两个碳及氧的亚杂烷基连接基。在另一方面中,(a)R1及R3及(b)R2及R3中的各者各自独立地是未经取代的含有两个碳及氮的亚杂烷基连接基。在另一方面中,(a)R1及R3及(b)R2及R3中的各者各自独立地是含有两个碳、氧或氮原子且经卤素取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该卤素是氟。在另一方面中,(a)R1及R3及(b)R2及R3中的各者各自独立地是含有两个碳、氧或氮原子且经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。在此实施方案的另一方面中,(a)R1及R3及(b)R2及R3中的各者是相同的。在此实施方案的另一方面中,(a)R1及R3及(b)R2及R3中的各者是不同的。
在此实施方案的一个方面中,(a)R1及R3及(b)R2及R3中的各者各自独立地构成6元杂环的部分。在另一方面中,(a)R1及R3及(b)R2及R3中的各者各自独立地是未经取代的含有四个碳的亚烷基连接基。在另一方面中,(a)R1及R3及(b)R2及R3中的各者各自独立地是经取代的含有四个碳的亚烷基连接基。在另一方面中,(a)R1及R3及(b)R2及R3中的各者各自独立地是含有四个碳的经至少一个卤素原子取代的经取代亚烷基连接基。在另一方面中,(a)R1及R3及(b)R2及R3中的各者各自独立地是含有四个碳的经至少一个氟原子取代的经取代亚烷基连接基。在另一方面中,(a)R1及R3及(b)R2及R3中的各者各自独立地是含有四个碳的经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。在另一方面中,(a)R1及R3及(b)R2及R3中的各者各自独立地是未经取代的含有三个碳及氧的亚杂烷基连接基。在另一方面中,(a)R1及R3及(b)R2及R3中的各者各自独立地是未经取代的含有三个碳及氮的亚杂烷基连接基。在另一方面中,(a)R1及R3及(b)R2及R3中的各者各自独立地是含有三个碳、氧或氮原子且经卤素取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该卤素是氟。在另一方面中,(a)R1及R3及(b)R2及R3中的各者各自独立地是含有三个碳、氧或氮原子且经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。在此实施方案的另一方面中,(a)R1及R3及(b)R2及R3中的各者是相同的。在此实施方案的另一方面中,(a)R1及R3及(b)R2及R3中的各者是不同的。
在一些实施方案中,栓系(tethered)杂环Ad配体(式II-A及式II-B)及/或杂环双环Ad配体(式II-C)具有如表2中列举的结构:
表2
在此实施方案的一个方面中,式II-A及/或II-B的化合物具有下列结构,其中Ad配体是亚氨基吡咯烷酸盐配体:
其中:M=Cr、Mo、W;及
R*=R1及R2中的任一者均不与R3形成环且是C1-C5经取代或未经取代的烷基。
在此实施方案的另一方面中,式I I-A及/或I I-B的化合物具有下列结构,其中Ad配体是亚氨基哌啶酸盐配体:
其中:M=Cr、Mo、W;及
R*=R1及R2中的任一者均不与R3形成环且是C1-C5经取代或未经取代的烷基。
在此实施方案的一个实例中,M=Mo及R*是仲丁基(-CH(CH3)CH2CH3):
在一个方面中,该前体具有式I I-D:
其中:M是铬、钼及钨中之一;及
(a)R1及R2中的各者独立地构成5或6元杂环的部分且是以下中之一:(i)未经取代的亚烷基连接基、(ii)经取代亚烷基连接基、(iii)未经取代的其中含有选自氧及氮的杂原子的亚杂烷基连接基,及(iv)经取代的含有选自氧及氮的杂原子的亚杂烷基连接基。
如本领域技术人员将了解,上文实施方案中描述的亚烷基及亚杂烷基的主链将含有除其上的任何取代基或侧链外的二或三个原子。
在一些实施方案中,栓系杂环Ad配体(式II-D)具有如表3中列举的结构,且是基于2-咪唑啉配体:
表3
在一些实施方案中,栓系杂环Ad配体(式II-D)具有如表4中列举的结构,且是基于1,4,5,6四氢嘧啶配体:
表4
在此实施方案的一个方面中,式II-D化合物具有下列结构,其中Ad配体是2-甲基-2-咪唑啉配体:
其中:M=Cr、Mo、W。
在此实施方案的一个方面中,式II-D化合物具有下列结构,其中Ad配体是1,4,5,6-四氢嘧啶配体:
胍盐桨轮状前体
本发明公开并要求保护的主题的另一方面涉及式III的铬、钼及钨的胍盐(“Gd”)桨轮状化合物:
其中:M是铬、钼及钨中之一;及
R1、R2、R3A及R3B各自独立地选自H、D、未经取代的直链C1-C6烷基、经卤素取代的直链C1-C6烷基、经氨基取代的直链C1-C6烷基、未经取代的支链C3-C6烷基、经卤素取代的支链C3-C6烷基、经氨基取代的支链C3-C6烷基、未经取代的胺、经取代胺、-Si(CH3)3、C3-C8未经取代的环烷基、经卤素取代的C3-C8环烷基、经氨基取代的C3-C8环烷基、C3-C8未经取代的芳族基团、经卤素取代的C3-C8芳族基团及经氨基取代的C3-C8芳族基团。在此实施方案的一个方面中,所有四种胍盐配体具有相同化学结构。在此实施方案的另一方面中,该胍盐配体中的两者或更多者具有相同化学结构。在此实施方案的另一方面中,所有四种胍盐配体具有不同化学结构。
在此实施方案的一个方面中,R1、R2及R3各自独立地选自H、未经取代的直链C1至C3烷基及未经取代的支链C3或C4烷基。在一个方面中,R1、R2及R3中的一或多者是甲基。在一个方面中,R1、R2及R3中的一或多者是乙基。在一个方面中,R1、R2及R3中的一或多者是丙基。在一个方面中,R1、R2及R3中的一或多者是异丙基。在一个方面中,R1、R2及R3中的一或多者是仲丁基。在一个方面中,R1、R2及R3中的一或多者是正丁基。在一个方面中,R1、R2及R3中的一或多者是异丁基。
在此实施方案的一个方面中,M是铬。在此实施方案的另一方面中,M是钼。在此实施方案的另一方面中,M是钨。
在一些实施方案中,栓系胍盐配体(“Gd配体”)具有如表5中列举的结构:
/>
表5
在此实施方案的另外方面中,式III化合物包括如下文显示的杂环Gd配体(式IV-A及式IV-B)及/或杂环双环Ad配体(式IV-C),其中(a)R1及R3A或3B及(b)R2及R3A或3B中之一或两者独立地构成5或6元杂环的部分且是以下中之一:(i)未经取代的亚烷基连接基、(ii)经取代亚烷基连接基、(iii)未经取代的其中含有选自氧及氮的杂原子的亚杂烷基连接基,及(iv)经取代的含有选自氧及氮的杂原子的亚杂烷基连接基。
在一个方面中,该前体具有式IV-A:
其中:M是铬、钼及钨中之一;
R2选自H、D、未经取代的直链C1-C6烷基、经卤素取代的直链C1-C6烷基、经氨基取代的直链C1-C6烷基、未经取代的支链C3-C6烷基、经卤素取代的支链C3-C6烷基、经氨基取代的支链C3-C6烷基、未经取代的胺、经取代胺、-Si(CH3)3、C3-C8未经取代的环烷基、经卤素取代的C3-C8环烷基、经氨基取代的C3-C8环烷基、C3-C8未经取代的芳族基团、经卤素取代的C3-C8芳族基团、经氨基取代的C3-C8芳族基团;及
R1及RX构成5或6元杂环的部分且是以下中之一:(i)未经取代的亚烷基连接基、(ii)经取代亚烷基连接基、(iii)未经取代的其中含有选自氧及氮的杂原子的亚杂烷基连接基,及(iv)经取代的含有选自氧及氮的杂原子的亚杂烷基连接基,其中RZ是R3A及R3B中之一及RX是R3A及R3B中的另一者,其未由连接基连接至R1
如本领域技术人员将了解,上文实施方案中描述的亚烷基及亚杂烷基的主链将含有除其上的任何取代基或侧链外的三或四个原子。
在此实施方案的一个方面中,R1及RX构成5元杂环的部分。在另一方面中,R1及RX是未经取代的含有三个碳的亚烷基连接基。在另一方面中,R1及RX是经取代的含有三个碳的亚烷基连接基。在另一方面中,R1及RX是含有三个碳的经至少一个卤素原子取代的经取代亚烷基连接基。在另一方面中,R1及RX是含有三个碳的经至少一个氟原子取代的经取代亚烷基连接基。在另一方面中,R1及RX是含有三个碳的经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。在另一方面中,R1及RX是未经取代的含有两个碳及氧的亚杂烷基连接基。在另一方面中,R1及RX是未经取代的含有两个碳及氮的亚杂烷基连接基。在另一方面中,R1及RX是含有两个碳、氧或氮原子且经卤素取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该卤素是氟。在另一方面中,R1及RX是含有两个碳、氧或氮原子且经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。
在此实施方案的一个方面中,R1及RX构成6元杂环的部分。在另一方面中,R1及RX是未经取代的含有四个碳的亚烷基连接基。在另一方面中,R1及RX是经取代的含有四个碳的亚烷基连接基。在另一方面中,R1及RX是含有四个碳的经至少一个卤素原子取代的经取代亚烷基连接基。在另一方面中,R1及RX是含有四个碳的经至少一个氟原子取代的经取代亚烷基连接基。在另一方面中,R1及RX是含有四个碳的经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。在另一方面中,R1及RX是未经取代的含有三个碳及氧的亚杂烷基连接基。在另一方面中,R1及RX是未经取代的含有三个碳及氮的亚杂烷基连接基。在另一方面中,R1及RX是含有三个碳、氧或氮原子且经卤素取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该卤素是氟。在另一方面中,R1及RX是含有三个碳、氧或氮原子且经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。
在一个方面中,该前体具有式IV-B:
其中:M是铬、钼及钨中之一;
R1选自H、D、未经取代的直链C1-C6烷基、经卤素取代的直链C1-C6烷基、经氨基取代的直链C1-C6烷基、未经取代的支链C3-C6烷基、经卤素取代的支链C3-C6烷基、经氨基取代的支链C3-C6烷基、未经取代的胺、经取代胺、-Si(CH3)3、C3-C8未经取代的环烷基、经卤素取代的C3-C8环烷基、经氨基取代的C3-C8环烷基、C3-C8未经取代的芳族基团、经卤素取代的C3-C8芳族基团、经氨基取代的C3-C8芳族基团;及
R2及RZ构成5或6元杂环的部分且是以下中之一:(i)未经取代的亚烷基连接基、(ii)经取代亚烷基连接基、(iii)未经取代的其中含有选自氧及氮的杂原子的亚杂烷基连接基,及(iv)经取代的含有选自氧及氮的杂原子的亚杂烷基连接基,其中RZ是R3A及R3B中之一及RX是R3A及R3B中的另一者,其未由连接基连接至R2
如本领域技术人员将了解,上文实施方案中描述的亚烷基及亚杂烷基的主链将含有除其上的任何取代基或侧链外的三或四个原子。
在此实施方案的一个方面中,R2及RZ构成5元杂环的部分。在另一方面中,R2及RZ是未经取代的含有三个碳的亚烷基连接基。在另一方面中,R2及RZ是经取代的含有三个碳的亚烷基连接基。在另一方面中,R2及RZ是含有三个碳的经至少一个卤素原子取代的经取代亚烷基连接基。在另一方面中,R2及RZ是含有三个碳的经至少一个氟原子取代的经取代亚烷基连接基。在另一方面中,R2及RZ是含有三个碳的经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。在另一方面中,R2及R3是未经取代的含有两个碳及氧的亚杂烷基连接基。在另一方面中,R2及RZ是未经取代的含有两个碳及氮的亚杂烷基连接基。在另一方面中,R2及RZ是含有两个碳、氧或氮原子且经卤素取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该卤素是氟。在另一方面中,R2及RZ是含有两个碳、氧或氮原子且经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。
在此实施方案的一个方面中,R2及RZ构成6元杂环的部分。在另一方面中,R2及RZ是未经取代的含有四个碳的亚烷基连接基。在另一方面中,R2及RZ是经取代的含有四个碳的亚烷基连接基。在另一方面中,R2及RZ是含有四个碳的经至少一个卤素原子取代的经取代亚烷基连接基。在另一方面中,R2及RZ是含有四个碳的经至少一个氟原子取代的经取代亚烷基连接基。在另一方面中,R2及RZ是含有四个碳的经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。在另一方面中,R2及RZ是未经取代的含有三个碳及氧的亚杂烷基连接基。在另一方面中,R2及RZ是未经取代的含有三个碳及氮的亚杂烷基连接基。在另一方面中,R2及RZ是含有三个碳、氧或氮原子且经卤素取代的经取代的亚杂烷基连接基。在此方面的一优选实施方案中,该卤素是氟。在另一方面中,R2及RZ是含有三个碳、氧或氮原子且经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。
在一个方面中,该前体具有式IV-C:
其中:M是铬、钼及钨中之一;及
(a)R1及RX及(b)R2及RZ中的各者独立地构成5或6元杂环的部分且是以下中之一:(i)未经取代的亚烷基连接基、(ii)经取代亚烷基连接基、(iii)未经取代的其中含有选自氧及氮的杂原子的亚杂烷基连接基,及(iv)经取代的含有选自氧及氮的杂原子的亚杂烷基连接基,其中RZ是R3A及R3B中之一及RX是R3A及R3B中的另一者。
如本领域技术人员将了解,上文实施方案中描述的亚烷基及亚杂烷基的主链将含有除其上的任何取代基或侧链外的三或四个原子。
在此实施方案的一个方面中,(a)R1及RX及(b)R2及RZ中的各者各自独立地构成5元杂环的部分。在另一方面中,(a)R1及RX及(b)R2及RZ中的各者各自独立地是未经取代的含有三个碳的亚烷基连接基。在另一方面中,(a)R1及RX及(b)R2及RZ中的各者各自独立地是经取代的含有三个碳的亚烷基连接基。在另一方面中,(a)R1及RX及(b)R2及RZ中的各者各自独立地是含有三个碳的经至少一个卤素原子取代的经取代亚烷基连接基。在另一方面中,(a)R1及RX及(b)R2及RZ中的各者各自独立地是含有三个碳的经至少一个氟原子取代的经取代亚烷基连接基。在另一方面中,(a)R1及RX及(b)R2及RZ中的各者各自独立地是含有三个碳的经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。在另一方面中,(a)R1及RX及(b)R2及RZ中的各者各自独立地是未经取代的含有两个碳及氧的亚杂烷基连接基。在另一方面中,(a)R1及RX及(b)R2及RZ中的各者各自独立地是未经取代的含有两个碳及氮的亚杂烷基连接基。在另一方面中,(a)R1及RX及(b)R2及RZ中的各者各自独立地是含有两个碳、氧或氮原子且经卤素取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该卤素是氟。在另一方面中,(a)R1及RX及(b)R2及RZ中的各者各自独立地是含有两个碳、氧或氮原子且经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。在此实施方案的另一方面中,(a)R1及RX及(b)R2及RZ中的各者是相同的。在此实施方案的另一方面中,(a)R1及RX及(b)R2及RZ中的各者是不同的。
在此实施方案的一个方面中,(a)R1及RX及(b)R2及RZ中的各者各自独立地构成6元杂环的部分。在另一方面中,(a)R1及RX及(b)R2及RZ中的各者各自独立地是未经取代的含有四个碳的亚烷基连接基。在另一方面中,(a)R1及RX及(b)R2及RZ中的各者各自独立地是经取代的含有四个碳的亚烷基连接基。在另一方面中,(a)R1及RX及(b)R2及RZ中的各者各自独立地是含有四个碳的经至少一个卤素原子取代的经取代亚烷基连接基。在另一方面中,(a)R1及RX及(b)R2及RZ中的各者各自独立地是含有四个碳的经至少一个氟原子取代的经取代亚烷基连接基。在另一方面中,(a)R1及RX及(b)R2及RZ中的各者各自独立地是含有四个碳的经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。在另一方面中,(a)R1及RX及(b)R2及RZ中的各者各自独立地是未经取代的含有三个碳及氧的亚杂烷基连接基。在另一方面中,(a)R1及RX及(b)R2及RZ中的各者各自独立地是未经取代的含有三个碳及氮的亚杂烷基连接基。在另一方面中,(a)R1及RX及(b)R2及RZ中的各者各自独立地是含有三个碳、氧或氮原子且经卤素取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该卤素是氟。在另一方面中,(a)R1及RX及(b)R2及RZ中的各者各自独立地是含有三个碳、氧或氮原子且经甲基、乙基、正丙基、异丙基、仲丁基、正丁基、异丁基或叔丁基中的至少一者取代的经取代亚杂烷基连接基。在此方面的一优选实施方案中,该取代基是一个或多个甲基。在此实施方案的另一方面中,(a)R1及RX及(b)R2及RZ中的各者是相同的。在此实施方案的另一方面中,(a)R1及RX及(b)R2及RZ中的各者是不同的。
在一些实施方案中,栓系杂环Gd配体(式IV-A及式IV-B)及/或杂环双环Gd配体(式IV-C)具有如表6中列举的结构:
/>
表6
突显的桨轮状前体
下表7鉴别通式(i)M2-(Ad配体)4及(ii)M2-(Gd配体)4的桨轮状前体的特定实施方案,其包括表1至6中阐述的配体。
/>
/>
表7
在一个优选实施方案中,该前体是表7中阐述的M2-(3A)4,其中M=Mo:
在一个优选实施方案中,该前体是表7中阐述的M2-(3A)4,其中M=Cr:
在一个优选实施方案中,该前体是表7中阐述的M2-(3A)4,其中M=W:
在另一优选实施方案中,该前体是表7中阐述的M2-(3B)4,其中M=Mo:
在另一优选实施方案中,该前体是表7中阐述的M2-(3B)4,其中M=Cr:
在另一优选实施方案中,该前体是表7中阐述的M2-(3B)4,其中M=W:
在一个优选实施方案中,该前体是表7中阐述的M2-(3D)4,其中M=W:
在一个优选实施方案中,该前体是表7中阐述的M2-(3XX)4,其中M=Mo:
在一个优选实施方案中,该前体是表7中阐述的M2-(3XX)4,其中M=Cr:
在一个优选实施方案中,该前体是表7中阐述的M2-(3XX)4,其中M=W:
在一个优选实施方案中,该前体是表7中阐述的M2-(3UU)4,其中M=Mo:
在一个优选实施方案中,该前体是表7中阐述的M2-(3UU)4,其中M=Cr:
在一个优选实施方案中,该前体是表7中阐述的M2-(3UU)4,其中M=W:
在一个优选实施方案中,该前体是表7中阐述的M2-(3Z)4,其中M=Mo:
在一个优选实施方案中,该前体是表7中阐述的M2-(3Z)4,其中M=Cr:
在一个优选实施方案中,该前体是表7中阐述的M2-(3Z)4,其中M=W:
在一个优选实施方案中,该前体是表7中阐述的M2-(3Z)4,其中M=Mo:
在一个优选实施方案中,该前体是表7中阐述的M2-(3Z)4,其中M=Cr:
在一个优选实施方案中,该前体是表7中阐述的M2-(3Z)4,其中M=W:
在一个优选实施方案中,该前体是表7中阐述的M2-(3KK)4,其中M=Mo:
在一个优选实施方案中,该前体是表7中阐述的M2-(3KK)4,其中M=Cr:
在一个优选实施方案中,该前体是表7中阐述的M2-(3KK)4,其中M=W:
在一个优选实施方案中,该前体是表7中阐述的M2-(3QQ)4,其中M=Mo:
在一个优选实施方案中,该前体是表7中阐述的M2-(3QQ)4,其中M=Cr:
在一个优选实施方案中,该前体是表7中阐述的M2-(3QQ)4,其中M=W:
在一个优选实施方案中,该前体是表7中阐述的M2-(3TT)4,其中M=Mo:
在一个优选实施方案中,该前体是表7中阐述的M2-(3TT)4,其中M=Cr:
在一个优选实施方案中,该前体是表7中阐述的M2-(3TT)4,其中M=W:
本发明公开并要求保护的前体不限于彼等表7中例示者。另外,Ad配体及Gd配体不限于彼等表1至7中例示者。
合成方法
本发明公开并要求保护的桨轮状前体一般根据下式制造(此处使用钼以形成脒化钼(I I)桨轮状化合物示例):
在上文反应中,将乙酸钼(II)悬浮于适宜溶剂(例如,THF、甲苯、己烷)中并缓慢添加脒化钾溶液。脒化钾可通过硫酸脒鎓与六甲基二硅氮烷钾的反应制备。将该反应混合物搅拌一段时间(约4至48h),然后通过真空蒸馏移除该溶剂。粗反应材料用适宜溶剂(例如,己烷、甲苯、THF)萃取并通过过滤从任何不溶性固体分离。通过真空蒸馏移除滤液的溶剂以提供呈固体的产物。该固体通过真空升华纯化。
在一替代合成途径中,本发明公开并要求保护的桨轮状前体一般根据下式制造(此处使用钼以形成脒化钼(II)桨轮状化合物示例):
在上文反应中,将乙酸钼(II)悬浮于适宜溶剂(例如,THF、甲苯、己烷)中并缓慢添加脒化钠溶液。脒化钠可通过“脒”(质子化脒盐配体)与氢化钠的反应制备。将该反应混合物搅拌一段时间(约4至48h),然后通过真空蒸馏移除该溶剂。粗反应材料用适宜溶剂(例如,己烷、甲苯、THF)萃取并通过过滤从任何不溶性固体分离。通过真空蒸馏移除滤液的溶剂以提供呈固体的产物。该固体通过再结晶纯化。
本领域技术人员将认知其他金属(即,铬及钨)及/或胍盐配体可用于相同一般工艺中。因此,在一个实施方案中,本发明公开并要求保护的主题包括根据下列反应合成式M2-(Ad配体)4及/或M2-(Ad配体)4的前体:
其中M是铬、钼及钨中之一及该Ad配体及Gd配体是如上文描述(包括于表1至6中)。在此实施方案的一个方面中,M是铬。在此实施方案的一个方面中,M是钼。在此实施方案的一个方面中,M是钨。在此实施方案的另一方面中,通过此工艺合成的式M2-(Ad配体)4及/或M2-(Ad配体)4的前体包括表7中阐述的那些。
使用方法
本发明公开的前体可使用本领域技术人员已知的任何化学气相沉积工艺沉积以形成含铬、钼及钨的膜。如本文所用,术语“化学气相沉积工艺”是指其中将基板暴露于一种或多种挥发性前体的任何工艺,该一种或多种挥发性前体于基板表面上反应及/或分解以产生所需沉积。如本文所用,术语“原子层沉积工艺”是指将材料的膜沉积至各种组成的基板上的自限制性(例如,在各反应循环中沉积的膜材料的量为恒定)、连续表面化学。尽管本文所使用的前体、试剂及来源可有时描述为“气态”,但应理解,前体可为液体或固体,其在有或没有惰性气体下经由直接蒸发、起泡(bubbl ing)或升华输送至反应器中。在一些情况下,汽化前体可通过等离子体产生器。如本文所用的术语“反应器”包括但不限于反应腔室、反应容器或沉积腔室。
其中可利用所公开并要求保护的前体的化学气相沉积工艺包括但不限于用于制造半导体型微电子装置的工艺,诸如ALD、CVD、脉冲CVD、等离子体增强ALD(PEALD)及/或等离子体增强CVD(PECVD)。适用于本文所公开的方法的沉积工艺的实例包括但不限于循环性CVD(CCVD)、MOCVD(金属有机CVD)、热化学气相沉积、等离子体增强化学气相沉积(“PECVD”)、高密度PECVD、光子辅助CVD、等离子体-光子辅助(“PPECVD”)、低温化学气相沉积、化学辅助气相沉积、热丝化学气相沉积、液体聚合物前体的CVD、来自超临界流体的沉积及低能CVD(LECVD)。在某些实施方案中,经由原子层沉积(ALD)、等离子体增强ALD(PEALD)或等离子体增强循环性CVD(PECCVD)工艺沉积含金属膜。
在一个实施方案中,例如,使用ALD工艺沉积含金属膜。在另一个实施方案中,使用CCVD工艺沉积含金属膜。在另一个实施方案中,使用热CVD工艺沉积含金属膜。
其上可沉积所公开并要求保护的前体的适宜基板并无特定限制且根据所欲最终用途而变化。例如,基板可选自氧化物诸如基于HfO2的材料、基于TiO2的材料、基于ZrO2的材料、基于稀土氧化物的材料、基于三元氧化物的材料等,或选自基于氮化物的膜。其他基板可包括固体基板诸如金属基板(例如Au、Pd、Rh、Ru、W、Al、Ni、Ti、Co、Pt及金属硅化物(例如TiSi2、CoSi2及NiSi2);含有金属氮化物的基板(例如TaN、TiN、WN、TaCN、TiCN、TaSiN及TiSiN);半导体材料(例如Si、SiGe、GaAs、InP、钻石、GaN及SiC);绝缘体(例如SiO2、Si3N4、SiON、HfO2、Ta2O5、ZrO2、TiO2、Al2O3及钛酸钡锶);其组合。
优选的基板包括氧化硅、氧化铝、TiN、Ru、Co、Cu及Si型基板。这样的前体的一个优点是将连续膜直接沉积于氧化硅及氧化铝上的能力。
在此类沉积方法及工艺中,可利用氧化剂。氧化剂通常以气态形式引入。适宜氧化剂的实例包括但不限于氧气、水汽、臭氧、氧等离子体或其混合物。
沉积方法及工艺也可涉及一种或多种净化气体。用于净化掉未消耗的反应物及/或反应副产物的净化气体为不与前体反应的惰性气体。示例性净化气体包括但不限于氩气(Ar)、氮气(N2)、氦气(He)、氖气及其混合物。例如,将净化气体(诸如Ar)以在约10至约2000sccm的范围内的流速供应至反应器中,持续约0.1至10000秒,由此净化未反应的材料及可留在反应器中的任何副产物。
沉积方法及工艺要求将能量施加至前体、氧化剂、其他前体或其组合中的至少一者以引起反应且在基板上形成含有金属的膜或涂层。此能量可通过但不限于热、等离子体、脉冲等离子体、螺旋等离子体、高密度等离子体(hel icon plasma)、感应耦合等离子体、X射线、电子束(e-beam)、光子、远程等离子体方法及其组合提供。在一些工艺中,可使用二级RF频率源以修改基板表面处的等离子体特性。当利用等离子体时,等离子体产生工艺可包括其中等离子体直接产生于反应器中的直接等离子体产生工艺,或替代地,其中等离子体产生于反应器外部且供应至反应器中的远程等离子体产生工艺。
当用于此类沉积方法及工艺中时,适宜前体(诸如本发明所公开并要求保护的前体)可以多种方式递送至反应腔室诸如CVD或ALD反应器。在一些情况下,可利用液体递送系统。在其他情况下,可采用经组合的液体递送及闪蒸工艺单元,诸如例如由MSP Corporation of Shoreview,MN制造的涡轮汽化器,以使得低挥发性材料能够体积递送,这导致重复输送且沉积而没有前体的热分解。本文所描述的前体组合物可经由直接液体注入(DLI)有效用作来源试剂以提供这些金属前体的蒸气流至ALD或CVD反应器中。
当用于这些沉积方法及工艺中时,所公开并要求保护的前体包括烃溶剂,该烃溶剂因其能够被干燥至次ppm水平的水而是特别期望的。可用于前体中的示例性烃溶剂包括但不限于甲苯、均三甲苯、枯烯(异丙苯)、伞花烃(4-异丙基甲苯)、1,3-二异丙基苯、辛烷、十二烷、1,2,4-三甲基环己烷、正丁基环己烷及十氢萘(十氢化萘)。所公开并要求保护的前体也可在不锈钢容器中进行储存并使用。在某些实施方案中,烃溶剂为高沸点溶剂或具有100摄氏度或更高的沸点。也可将所公开并要求保护的前体与其他适宜金属前体混合,且将该混合物用于同时递送两种金属以进行含二元金属膜的生长。
可使用氩气及/或其他气体流作为载气以帮助在前体脉冲期间将含有所公开并要求保护的前体中的至少一者的蒸气递送至反应腔室。当递送前体时,反应腔室工艺压力介于1至50托之间,优选介于5至20托之间。
基板温度可为高品质含金属膜的沉积中的重要工艺变量。典型基板温度范围为约150℃至约550℃。较高温度可促进较高膜生长速率。
鉴于前述,本领域技术人员将认识到,所公开并要求保护的主题进一步包括如下在化学气相沉积工艺中使用所公开并要求保护的前体。
在一个实施方案中,所公开并要求保护的主题包括一种用于在基板的至少一个表面上形成含过渡金属膜的方法,该方法包括以下步骤:
a.于反应容器中提供基板的至少一个表面;
b.通过选自热化学气相沉积(CVD)工艺及热原子层沉积(ALD)工艺的沉积工艺,使用一种或所公开并要求保护的前体作为用于沉积工艺的金属源化合物,在该至少一个表面上形成含过渡金属膜。
在此实施方案的另一个方面中,该方法包括将至少一种反应物引入至反应容器中。在此实施方案的另一个方面中,该方法包括将至少一种反应物引入至反应容器中,其中该至少一种反应物选自水、二原子氧、氧等离子体、臭氧、NO、N2O、NO2、一氧化碳、二氧化碳及其组合的组。在此实施方案的另一个方面中,该方法包括将至少一种反应物引入至反应容器中,其中该至少一种反应物选自氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氢等离子体及其组合的组。在此实施方案的另一个方面中,该方法包括将至少一种反应物引入至反应容器中,其中该至少一种反应物选自组氢、氢等离子体、氢及氦的混合物、氢及氩的混合物、氢/氦等离子体、氢/氩等离子体、含硼化合物、含硅化合物及其组合。
在一个实施方案中,所公开并要求保护的主题包括一种经由热原子层沉积(ALD)工艺或类热ALD工艺形成含过渡金属膜的方法,该方法包括以下步骤:
a.于反应容器中提供基板;
b.将所公开并要求保护的前体中的一者或多者引入至该反应容器中;
c.用第一净化气体净化该反应容器;
d.将源气体引入至该反应容器中;
e.用第二净化气体净化该反应容器;
f.依序重复步骤b至e直至获得含过渡金属膜的所需厚度。
在此实施方案的另一个方面中,该源气体为选自水、二原子氧、氧等离子体、臭氧、NO、N2O、NO2、一氧化碳、二氧化碳及其组合中的一种或多种含氧源气体。在此实施方案的另一个方面中,该源气体为选自氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氢等离子体及其混合物的一种或多种含氮源气体。在此实施方案的另一个方面中,该第一及第二净化气体各独立地选自氩气、氮气、氦气、氖气及其组合中的一者或多者。在此实施方案的另一个方面中,该方法进一步包括施加能量至前体、源气体、基板及其组合中的至少一者,其中该能量为热、等离子体、脉冲等离子体、螺旋等离子体、高密度等离子体、感应耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合中的一者或多者。在此实施方案的另一个方面中,该方法的步骤b进一步包括使用载气流将前体引入至反应容器中以将该前体的蒸气输送至该反应容器中。在此实施方案的另一个方面中,该方法的步骤b进一步包括使用溶剂介质,该溶剂介质包含甲苯、均三甲苯、异丙基苯、4-异丙基甲苯、1,3-二异丙基苯、辛烷、十二烷、1,2,4-三甲基环己烷、正丁基环己烷及十氢萘及其组合中的一者或多者。
在一个实施方案中,使用本发明公开并要求保护的前体以沉积薄衬垫或种子层,接着使用另一前体沉积主体金属膜。本发明的前体的一个优点是直接于金属氧化物及氧化硅基板上沉积低电阻率薄膜的能力。不受理论束缚,据信由本发明的前体沉积的薄膜可由含卤素前体生长金属膜、可防止卤素扩散至该基板内、可减小由主体金属膜引起的应力,且可改良金属膜的阶梯覆盖。在一个实施方案中,使用含卤素前体沉积主体金属薄膜。含卤素前体包括但不限于五氯化钼(MoCl5)、二氯二氧化钼(MoO2Cl2)、六氟化钼(MoF6)、五氯化钨(WCl5)、六氯化钨(WCl6)、二氯二氧化钨(WO2Cl2)、六氟化钨(WF6)、四氯化钒(VCl4)、三氯化钒(VOCl3)等。
在一个实施方案中,本发明公开并要求保护的主题包括一种经由原子层沉积(ALD)工艺或ALD类工艺形成低电阻率含过渡金属的膜的方法,其包括以下步骤:
a.通过选自化学气相沉积(CVD)工艺及原子层沉积(ALD)工艺的沉积工艺使用本发明公开并要求保护的前体中的一或多者作为用于该沉积工艺的金属源化合物于至少一个表面上形成含过渡金属的膜。
b.通过选自化学气相沉积(CVD)工艺及原子层沉积(ALD)工艺的沉积工艺使用至少一种含卤素前体直接于步骤(a)中沉积的表面上形成含过渡金属的膜。
在一个实施方案中,通过上文方法并使用本发明公开并要求保护的前体沉积的膜具有的低于大约500μOhm cm的电阻率。在另一实施方案中,通过上文方法并使用本发明公开并要求保护的前体沉积的膜具有低于大约400μOhm cm的电阻率。在另一实施方案中,通过上文方法并使用本发明公开并要求保护的前体沉积的膜具有低于大约300μOhm cm的电阻率。在另一实施方案中,通过上文方法并使用本发明公开并要求保护的前体沉积的膜具有低于大约200μOhm cm的电阻率。在另一实施方案中,通过上文方法并使用本发明公开并要求保护的前体沉积的膜具有低于大约100μOhm cm的电阻率。
实施例
现将参考本发明的更特定实施方案及为这样的实施方案提供支持的实验结果。下文给出实施例更充分阐述本发明公开并要求保护的主题且不应视为以任何方式限制所公开的主题。
本领域技术人员将明了,可于本公开主题及本文提供的特定实施例中作出各种修饰及改变而不背离本公开主题的精神或范围。因此,本公开主题(包括由下列实施例提供的描述)旨在涵盖落入任何权利要求及其等效物范围内的所公开主题的修饰及改变。
材料及方法
实施例中描述的所有反应及操作均在氮气氛下使用惰性气氛手套箱或标准施伦克(Schlenk)技术进行。原样使用Millipore-Sigma的无水四氢呋喃(THF)及己烷。原样使用StremChemicals的乙酸钼。硫酸N,N’-二烷基甲脒鎓是根据由Hellmut,B.等人,ChemischeBerichte,98(8),2754-61(1965)报导的程序制备。硫酸甲脒鎓与两个当量的六甲基二硅氮烷钾反应以制备甲脒化钾盐。仲丁基亚氨基吡咯烷是根据由Wasslen,Y.等人,DaltonTransaction,39(38),9046-9054(2010)报导的程序制备并在使用前与六甲基二硅氮烷钾反应。N,N’-二异丙基乙脒锂是根据Coles,M.P.等人,Organometallics,16(24),5183-5194(1997)报导的程序制备。
在配备MoKα辐射的BrukerD8Venture衍射仪上进行单晶X射线衍射研究。使用φ及/>扫描于氮气流中在100(2)K下收集数据。
由于仪器、样品及样品制剂中的差异,报导的峰值在该峰值前带有修饰语“约”。由于峰值中固有的变化,所以这是固态化学领域中的常见实践。粉末图中峰的2θx轴值的典型精度约为加或减0.2°2θ。因此,于“约9.2°2θ”处出现的粉末衍射峰意指当在大多数X射线衍射仪上在大多数条件下测量时,该峰可介于9.0°2θ至9.4°2θ之间。
特定实施例
实施例1:四(N,N’-二甲基甲脒盐)二钼(表7:Mo2-(3A)4,其中M=Mo;也称为Mo2(Me-FMD)4)的合成:
将乙酸钼(0.50g,1.17mmol)悬浮于15mL THF中。添加溶解于5mLTHF中的N,N’-二甲基甲脒钾(0.60g,5.44mmol)并将该混合物搅拌18h。在减压下移除所有挥发性组分以产生固体。该固体用己烷(50mL)萃取,接着过滤以移除不溶性固体。滤液在减压下还原至干燥以提供黄色固体。
分析:升华:在100mTorr下130℃;1H NMR(C 6D 6,25℃):3.37(s,24H),7.61(s,4H)。参见图2。
实施例2:四(N,N’-二甲基甲脒盐)二钼(表7:Mo2-(3A)4,其中M=Mo;也称为Mo2(Me-FMD)4)的合成:
将N,N’-二甲基甲脒(7.2g,100mmol)溶解于150mL THF中。在剧烈搅拌下缓慢添加氢化钠(5g,210mmol)。在室温下将所得悬浮液搅拌3天。将该悬浮液过滤并在真空下将滤液蒸发至干燥。使用所得灰白色固体N,N’-二甲基甲脒钠而无需另外纯化。将N,N’-二甲基甲脒钠(9.4g,100mmol)及Mo2OAc4(10.7g,25mmol)组合于400mL THF中并在室温下搅拌3天以形成具有悬浮固体的橙色溶液。在真空下移除该THF及所得固体用己烷(3x250mL)萃取并过滤。将所得己烷溶液组合并缓慢蒸发以产生橙黄色晶体。
分析:1H NMR(C6D6,25℃):3.37(s,24H),7.61(s,4H)。
实施例3:四(N,N’-二甲基甲脒盐)二钼(表7:Mo2-(3A)4,其中M=Mo;也称为Mo2(Me-FMD)4)的晶体结构:
使用实施例2中制备的晶体以测定Mo2-(3A)4的晶体结构。在配备MoKα辐射的Bruker D8Venture衍射仪上进行单晶X射线衍射研究。用Paratone油将一块0.20x0.20x0.25mm的黄色块体安装于冷冻环(Cryoloop)上。使用φ及/>扫描于氮气流中在100(2)K下收集数据。晶体与探测器的距离是50mm及曝露时间是每帧1秒,使用0.7°的扫描宽度。数据收集完成99.9%至以θ计25.242°。收集总计14961次反射及发现1879次反射是对称独立的,及Rint为0.0266。
指标化(indexing)及晶胞修正(unit cell refinement)指示原始、单斜晶格。发现空间群是P21/n。数据使用Bruker SAINT软件程序整合并使用SADABS软件程序缩放。通过直接方法(SHELXT)的解决方案产生与建议结构一致的完整定相模型。由全矩阵最小二乘法(SHELXL-2014)对所有非氢原子进行各向异性修正。使用骑式(riding)模型放置所有碳结合的氢原子。使用SHELXL-2014中适当的HFIX命令使其位置相对于其母原子受限。参见图8。
使用Mo2(Me-FMD)4及Cu Ka1辐射源的实验晶胞参数由模拟粉末X射线衍射(PXRD)光谱选择的峰如下并列举于图14中。
实施例4:四(N,N’-二乙基甲脒盐)二钼的合成(表7:Mo2-(3B)4,其中M=Mo;也称为Mo2(Et-FMD)4):
将硫酸N,N’-二乙基甲脒鎓(16.67g,73.7mmol)溶解于250mLTHF中。在剧烈搅拌下滴加六甲基二硅氮烷钾(29.40g,147.4mmol)于125mL THF中的溶液。将淡黄色浆液搅拌过夜。添加呈固体的Mo2OAc4(7.50g,17.5mmol)并持续搅拌4天。在减压下移除所有挥发性组分以产生固体。该固体用己烷(3x50mL)萃取。过滤各萃取物以移除不溶性固体。在减压下将组合的滤液还原至干燥以提供7.50g黄色固体。
分析:升华:110至130℃,100mTorr,6.4g(60%);1H NMR(C 6D 6,25℃):1.00(t,24H),3.62(q,16H)8.00(s,4H)。参见图3。
实施例5:四(N,N’-二乙基甲脒盐)二钼的合成(表7:Mo2-(3B)4,其中M=Mo;也称为Mo2(Et-FMD)4):
将N,N’-二乙基甲脒(10g,100mmol)溶解于150mL THF中。在剧烈搅拌下缓慢添加氢化钠(5g,210mmol)。在室温下将所得悬浮液搅拌3天。将该悬浮液过滤,并在真空下将滤液蒸发至干燥。使用所得灰白色固体N,N’-二乙基甲脒钠而无需另外纯化。将N,N’-二乙基甲脒钠(12.2g,100mmol)及Mo2OAc4(10.7g,25mmol)组合于400mL THF中并在室温下搅拌3天以形成具有悬浮固体的橙色溶液。在真空下移除THF及所得固体用己烷(3x 150mL)萃取并过滤。将所得己烷溶液组合并缓慢蒸发以产生橙色晶体。
分析:1H NMR(C6D 6,25℃):1.00(t,24H),3.62(q,16H)8.00(s,4H)。
实施例6:四(N,N’-二乙基甲脒盐)二钼的结晶结构(表7:Mo2-(3B)4,其中M=Mo;也称为Mo2(Et-FMD)4)
在配备Mo Kα辐射的Bruker D8Venture衍射仪上进行单晶X射线衍射研究。用Paratone油将一块0.22x 0.20x0.16mm的黄色块体安装于冷冻环上。使用φ及/>扫描于氮气流中在100(2)K下收集数据。晶体与探测器的距离是60mm及曝露时间是每帧3秒,使用0.6°的扫描宽度。数据收集完成99.9%至以θ计25.242°。收集总计48790次反射及发现5259次反射是对称独立的,及Rint为0.0539。
指标化及晶胞修正指示原始、单斜晶格。发现空间群是P21/n。数据使用BrukerSAINT软件程序整合并使用SADABS软件程序缩放。通过直接方法(SHELXT)的解决方案产生与建议结构一致的完整定相模型。由全矩阵最小二乘法(SHELXL-2014)对所有非氢原子进行各向异性修正。使用骑式(riding)模型放置所有碳结合的氢原子。使用SHELXL-2014中适当的HFIX命令使其位置相对于其母原子受限。参见图9。
/>
使用Mo2(Et-FMD)4及Cu Ka1辐射源的实验晶胞参数由模拟粉末X射线衍射(PXRD)光谱选择的峰如下并列举于图15中。
实施例7:四(N-仲丁基亚氨基吡咯烷酸盐)二钼的合成(表7:Mo2-(3TT)4,其中M=Mo;也称为Mo2(sBu-IP)4):
/>
遵循如上文针对实施例1(即,[Mo2(Me-FMD)4])描述的相似程序但使用N-仲丁基-亚氨基吡咯烷酸钾代替N,N’-二甲基甲脒钾,获得黄色固体(90%)。
分析:升华:在70mTorr下140℃;1H NMR(C 6D 6,25℃):0.60-1.70(br,24H),2.00-2.20(br,8H),2.60-3.00(br,8H),3.50-4.10(br,12H)。参见图4。
示例性的沉积方法
实施例8:含Mo膜的热化学气相沉积
该沉积实验于200mmCN-1喷淋头型沉积反应器中进行。将如实施例4中产生的Mo2(Et-FMD)4装入200sccm SS316容器内,连接至沉积反应器递送系统并加热至153℃。通过20sccm氩流入具有Mo2(Et-FMD)4的容器内将足够的Mo2(Et-FMD)4蒸气递送至沉积室。热循环CVD(CCVD)通过加热至250℃、350℃及450℃的Si基板上进行5sec Mo2(Et-FMD)4/20secAr吹扫的100次循环展现。含钼膜的厚度通过X射线荧光(XRF)测量。在250℃下几乎未观测到沉积,这表明前体至少在此温度下是热稳定的且可用于原子层沉积。通过热CVD在350℃下沉积~及在450℃下沉积~/>的含钼膜。膜片电阻通过四点探针电极法测量。膜厚度及膜电阻汇总于表8中。该实验表明在250℃以上,本发明公开并要求保护的前体可用于含钼膜的CVD或CCVD。通过热CVD在450℃下还证实具有低电阻率(<200μOhmcm)的含Mo膜。还预期具有甚至更低电阻率的膜可通过此工艺在较高沉积温度下沉积。
表8:含钼膜的热CVD
实施例9:含Mo膜的氨循环化学气相沉积
该沉积实验于200mm CN-1喷淋头型沉积反应器中进行。将如实施例4中产生的Mo2(Et-FMD)4装入200sccm SS316容器内,连接至沉积反应器递送系统并加热至153℃。通过20sccm氩流入具有Mo2(Et-FMD)4的容器内将足够的Mo2(Et-FMD)4蒸气递送至沉积室。氨循环CVD(CCVD)通过100次循环的脉冲方法展现,脉冲方法使用钼前体及氨共试剂的脉冲,在前体与共试剂脉冲之间用氩吹扫:10或20sec Mo2(Et-FMD)4/30sec Ar吹扫/5sec NH3/30sec Ar吹扫。膜在300℃及350℃下沉积于Si基板上。含钼膜的厚度通过X射线荧光(XRF)测量。膜片电阻通过四点探针电极法测量。膜厚度及膜电阻汇总于表9中。该实验显示添加NH3脉冲增加含Mo膜的沉积速率。具有低电阻率(<200μOhm cm)的含钼膜的沉积通过无等离子体辅助的氨循环热CVD证实。通过无卤素热沉积工艺沉积低电阻率含钼膜的能力是本发明公开并要求保护的前体的优点之一。
表9:含钼膜的氨循环CVD
图5及6显示通过氨循环CVD工艺沉积的含Mo膜的SEM。
图7显示通过氨循环CVD工艺沉积的含Mo膜的俄歇深度分布且证实通过氨循环CVD将氮并入该膜内。
实施例10:含Mo膜的氢等离子体循环化学气相沉积
该沉积实验于200mm CN-1喷淋头型沉积反应器中进行。将如实施例4中产生的Mo2(Et-FMD)4装入200sccm SS316容器内,连接至沉积反应器递送系统并加热至153℃。通过20sccm氩流入具有Mo2(Et-FMD)4的容器内将足够的Mo2(Et-FMD)4蒸气递送至沉积室。氢等离子体循环CVD(CCVD)通过100次循环的脉冲方法展现,该脉冲方法使用钼前体及氢等离子体共试剂的脉冲,在前体与共试剂脉冲之间用氩吹扫:10sec Mo2(Et-FMD)4/30sec Ar吹扫/5sec具有175瓦特射频功率的氢等离子体/30sec Ar吹扫。膜在350℃下沉积于Si及TiN基板上。含钼膜的厚度通过X射线荧光(XRF)测量。膜片电阻通过四点探针电极法测量。膜厚度及膜电阻汇总于表10中。该实验显示添加氢等离子体步骤将膜电阻率进一步降低至137μOhmcm。
表10:含钼膜的氢循环CVD
以针对热原子层沉积典型的方法沉积低电阻率含钼膜。该方法使用氨气作为共试剂及使用氩作为吹扫气。钼前体及氨的各脉冲通过吹扫脉冲分离。该方法与一种其中使用氢等离子体作为共试剂的方法比较。热氨法提供电阻率值<300μΩ·cm的含钼膜。氢等离子体方法提供电阻率值<200μΩ·cm的含钼膜。
实施例11:含Mo膜于氧化硅图案化晶圆上的ALD
该沉积实验于200mm CN-1喷淋头型沉积反应器中进行。将如实施例4中产生的Mo2(Et-FMD)4装入200sccm SS316容器内,连接至沉积反应器递送系统并加热至160℃。通过20sccm氩流入具有Mo2(Et-FMD)4的容器内将足够的Mo2(Et-FMD)4蒸气递送至沉积室内。含Mo膜的ALD通过100次循环的脉冲方法展现,该脉冲方法使用钼前体及氨共试剂的脉冲,在前体与共试剂脉冲之间用氩吹扫:10sec Mo2(Et-FMD)4/30sec Ar吹扫/5sec NH3/30sec Ar吹扫。腔室压力是20托。通过TEM测量图案化基板的顶部、中间及底部的膜厚度。如图10中显示,结构的纵横比(A/R)通过总结构深度除以该结构中间的结构宽度计算。中间A/R通过该中间的深度/>除以该结构中间的宽度计算。底部A/R通过该顶部的深度/>除以该结构底部的宽度计算。
表11:由Mo2(Et-FMD)4沉积的MoCN膜的阶梯覆盖
此实施例显示本发明的前体可于高纵横比结构上保形沉积低电阻率MoCN膜。
实施例12:连续MoCN膜于氧化硅上的沉积
该沉积实验于200mm CN-1喷淋头型沉积反应器中进行。将如实施例2中产生的Mo2(Me-FMD)4装入200sccm SS316容器内,连接至沉积反应器递送系统并加热至160℃。通过20sccm氩流入具有Mo2(Me-FMD)4的容器内将足够的Mo2(Me-FMD)4蒸气递送至沉积室。连续2.6nm MoCN膜通过氨循环CVD(CCVD)通过30次循环的脉冲方法,使用Mo2(Me-FMD)4及氨共试剂的脉冲加以沉积,在前体与共试剂脉冲之间用氩吹扫:10sec Mo2(Me-FMD)4/30sec Ar吹扫/10sec NH3/10sec Ar吹扫。膜在400℃下沉积于热氧化硅上。含钼膜的厚度通过TEM测量并显示于图11上。此膜的片电阻通过四点电极法测量,7850Ohm sq,其对应于2041μOhm cm的薄膜电阻率。该实施例证实本发明的前体可使连续导电MoCN薄膜沉积于氧化硅基板上。
实施例13:含Mo膜的表征
该沉积实验于200mm CN-1喷淋头型沉积反应器中进行。将如实施例2中产生的Mo2(Me-FMD)4装入200sccm SS316容器内,连接至沉积反应器递送系统并加热至160℃。通过20sccm氩流入具有Mo2(Me-FMD)4的容器内将足够的Mo2(Me-FMD)4蒸气递送至沉积室。MoCN膜通过氨循环CVD(CCVD),使用Mo2(Me-FMD)4及氨共试剂的脉冲加以沉积,在前体与共试剂脉冲之间用氩吹扫:10sec Mo2(Me-FMD)4/30sec Ar吹扫/10sec NH3/10sec Ar吹扫。膜在350及400℃下沉积于热氧化硅上。含钼膜的厚度通过XRR测量及膜组合物通过XPS测量,表12。该实施例证实使用本发明的前体沉积低电阻率的MoCxNy膜于氧化硅基板上,其中x在大约0.5至1的范围内且N低于0.5。
表12:用Mo2(Me-FMD)4沉积的电阻率及膜组合物
实施例14:含Mo膜于氧化硅上的等离子体增强的沉积
该沉积实验于200mm CN-1喷淋头型沉积反应器中进行。将如实施例2中产生的Mo2(Me-FMD)4装入200sccm SS316容器内,连接至沉积反应器递送系统并加热至160℃。通过20sccm氩流入具有Mo2(Me-FMD)4的容器内将足够的Mo2(Et-FMD)4蒸气递送至沉积室。MoCN膜通过循环CVD(CCVD),使用Mo2(Me-FMD)4及氢、氮或氨共试剂的脉冲加以沉积,在前体与共试剂脉冲之间用氩吹扫:10sec Mo2(Et-FMD)4/30sec Ar吹扫/10sec NH3/10sec Ar吹扫。在共试剂脉冲期间,施用射频等离子体。膜在250℃及350℃下沉积于热氧化硅上。含钼膜的厚度通过XRF测量及膜片电阻通过四点探针方法测量,表13。该实施例证实使用本发明的前体沉积低电阻率MoCN薄膜于氧化硅基板上,其中且电阻率是低至大约300μOhm cm。
/>
表13:通过PEALD以Mo2(Me-FMD)4沉积的膜的膜厚度及电阻率
实施例15:在具有及不具有通过Mo2(Me-FMD)4沉积的种子层的情况下通过MoO2Cl2/H2工艺沉积Mo金属薄膜于氧化硅基板上
在此实验中,尝试在500℃晶圆温度下通过150次循环的下列工艺直接沉积Mo金属薄膜于氧化硅基板上:2sec MoO2Cl2/6sec Ar吹扫/10sec H 2/5sec Ar吹扫(腔室压力是30托)。MoO2Cl2购自S igma Aldr ich并自加热至60℃的316SS容器递送。如图12中显示,在氧化硅晶圆上未观测到Mo沉积。在另一实验中,Mo金属膜使用150次循环的相同MoO2Cl2/H2工艺但于通过30次循环的下列工艺沉积的种子层上沉积:10sec Mo2(Me-FMD)4/30sec Ar吹扫/10sec NH 3/10sec Ar吹扫(腔室压力是1托,晶圆温度是400℃)。如图12中显示,当使用Mo2(Me-FMD)4时,沉积连续Mo膜。
实施例16:使用通过Mo2(Me-FMD)4沉积的种子层通过MoO2Cl2/H2工艺沉积Mo金属膜于图案化氧化硅基板上
在此实验中,于图案化氧化硅基板上沉积Mo金属膜。在该工艺的第一步骤中,在400℃晶圆温度下通过30次循环的下列序列沉积种子层:10sec Mo2(Me-FMD)4/30sec Ar吹扫/10sec NH 3/10sec Ar吹扫(腔室压力是1托)。在此步骤过后,在500℃下通过1100次循环的下列序列沉积主体Mo金属膜:2sec MoO2Cl2/6sec Ar吹扫/10sec H 2/5sec Ar吹扫(腔室压力是30托)。MoO2Cl2购自S igma Aldr ich并从加热至60℃的316SS容器递送。图13显示通过本发明的工艺保形填充图案化氧化硅基板。
预期本发明方法可结合于半导体制造处常见的沉积工具一起使用以产生用于逻辑应用及其他潜在功能的含钼层。
实施例的汇总
此类化合物为其中卤化物及氧污染是有害的应用提供无卤化物及无氧前体。当脒盐配体是甲脒盐时,前体性质(诸如热稳定性、挥发性及组成)是最佳的。具体地,当氮烷基取代基是小的(C1-C5)且脒配体的环碳的环外取代基是氢原子时。另一适宜的脒配体是亚氨基吡咯烷酸盐。此脒是单环且不对称的。金属及含金属的薄膜可通过热或等离子体原子层沉积及化学气相沉积产生。相较于已知方法,该方法产生性质改良的薄膜,这可归因于桨轮状前体的低氧化态。
通过配体的适当选择获得全同配位脒化钼(I I)或胍盐桨轮状化合物。小的脒(诸如甲脒)、小的胍或空间上不受阻的单环及双环脒及胍(例如,亚氨基吡咯烷)形成全同配位钼(I I)桨轮状化合物。而较大的脒(N,N-二异丙基-乙脒)形成杂配脒化钼(I I)桨轮状化合物。避免配体组合物及金属配位球中的氧消除在薄膜沉积工艺期间氧污染的可能性。
本文描述的方法通过低温、热原子层沉积提供钼膜。低温、热工艺提供与现有半导体制造方法的更好的整合、比较高温工艺更好的材料相容性及使较低热预算成为可能。使用ALD产生钼膜的能力提供该膜生长方法固有的优点,包括厚度的高均匀度、涂布高纵横比特征的能力及对极薄层的膜厚度的精确控制。另外,由于前体的设计,因此避免薄膜污染,诸如氧及卤化物。刚性桨轮状结构改良该前体在所需容器温度下的储存寿命。低氧化态的钼原子沉积具有所需电性质的富电子薄膜。另外,沉积速率大于MoBure的两倍。
前述描述主要旨在出于阐述的目的。尽管所公开并要求保护的主题已关于其示例性实施方案加以显示并描述,但本领域技术人员应了解可在不背离所公开并要求保护的主题的精神及范围下于其中作出前述及其形式及细节上的各种其他变化、省略及补充。

Claims (85)

1.一种式M2-(脒盐配体)4的前体,其中M是铬、钼及钨中之一。
2.根据权利要求1的前体,其中M是铬。
3.根据权利要求1的前体,其中M是钼。
4.根据权利要求1的前体,其中M是钨。
5.根据权利要求1的前体,其中所有四种脒盐配体具有相同化学结构。
6.根据权利要求1的前体,其中该脒盐配体中的两者或更多者具有相同化学结构。
7.根据权利要求1的前体,其中所有四种脒盐配体具有不同化学结构。
8.根据权利要求1至7中任一项的前体,其中该脒盐配体选自下列脒盐配体:
9.根据权利要求1至8中任一项的前体,其中该前体具有下列结构中之一:
10.一种式M2-(胍盐配体)4的前体,其中M是铬、钼及钨中之一。
11.根据权利要求10的前体,其中M是铬。
12.根据权利要求10的前体,其中M是钼。
13.根据权利要求10的前体,其中M是钨。
14.根据权利要求10的前体,其中所有四种胍盐配体具有相同化学结构。
15.根据权利要求10的前体,其中该胍盐配体中的两者或更多者具有相同的化学结构。
16.根据权利要求10的前体,其中所有四种胍盐配体具有不同化学结构。
17.根据权利要求10至16中任一项的前体,其中该胍盐配体选自下列胍盐配体:
18.根据权利要求10至17中任一项的前体,其中该前体具有下列结构中之一:
19.一种前体,其具有式:
20.根据权利要求19的前体,其特征在于X射线粉末衍射图实质上与图14中显示的一致。
21.根据权利要求19的前体,其特征在于X射线粉末衍射图包含四个或更多个选自由以下组成的组的2θ值:
22.根据权利要求19的前体,其特征在于X射线粉末衍射图具有于11.16±0.2、11.98±0.2、13.00±0.2、13.98±0.2及16.64±0.2度2θ的特征峰。
23.一种前体,其具有式:
24.一种前体,其具有式:
25.一种前体,其具有式:
26.根据权利要求25的前体,其特征在于X射线粉末衍射图实质上与图15中显示的一致。
27.根据权利要求25的前体,其特征在于X射线粉末衍射图包含四个或更多个选自由以下组成的组的2θ值:
/>
28.根据权利要求25的前体,其特征在于X射线粉末衍射图具有于10.78±0.2、11.36±0.2、11.62±0.2、11.86±0.2及13.6±0.2度2θ的特征峰。
29.一种前体,其具有式:
30.一种前体,其具有式:
31.一种前体,其具有式:
32.一种前体,其具有式:
33.一种前体,其具有式:
34.一种前体,其具有式:
/>
35.一种前体,其具有式:
36.一种前体,其具有式:
37.一种前体,其具有式:
38.一种前体,其具有式:
/>
39.一种前体,其具有式:
40.一种前体,其具有式:
41.一种前体,其具有式:
42.一种前体,其具有式:
43.一种前体,其具有式:/>
44.一种前体,其具有式:
45.一种前体,其具有式:
46.一种前体,其具有式:
47.一种前体,其具有式:
48.一种前体,其具有式:
49.一种前体,其具有式:
50.一种前体,其具有式:
51.一种前体,其具有式:
52.一种前体,其具有式:
53.一种用于在基板的至少一个表面上形成含过渡金属的膜的方法,其包括:
a.在反应容器中提供该基板的至少一个表面;
b.通过选自化学气相沉积(CVD)工艺及原子层沉积(ALD)工艺的热沉积工艺使用一种或多种根据权利要求1至52的前体作为用于该沉积工艺的金属源化合物在该至少一个表面上形成含过渡金属的膜。
54.根据权利要求53的方法,其进一步包括将至少一种反应物引入该反应容器内。
55.根据权利要求53的方法,其进一步包括将至少一种选自以下的组的反应物引入该反应容器内:水、双原子氧、氧等离子体、臭氧、NO、N2O、NO2、一氧化碳、二氧化碳及其组合。
56.根据权利要求53的方法,其进一步包括将至少一种选自以下的组的反应物引入该反应容器内:氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氢等离子体,及其组合。
57.根据权利要求53的方法,其进一步包括将至少一种选自以下的组的反应物引入该反应容器内:氢、氢等离子体、氢及氦的混合物、氢及氩的混合物、氢/氦等离子体、氢/氩等离子体、含硼化合物、含硅化合物及其组合。
58.根据权利要求53的方法,其中该含过渡金属的膜具有低于大约500μOhm cm的电阻率。
59.根据权利要求53的方法,其中该含过渡金属的膜具有低于大约400μOhm cm的电阻率。
60.根据权利要求53的方法,其中该含过渡金属的膜具有低于大约300μOhm cm的电阻率。
61.根据权利要求53的方法,其中该含过渡金属的膜具有低于大约200μOhm cm的电阻率。
62.根据权利要求53的方法,其中该含过渡金属的膜具有低于大约100μOhm cm的电阻率。
63.一种经由热原子层沉积(ALD)工艺或热ALD类工艺形成含过渡金属的膜的方法,该方法包括以下步骤:
a.在反应容器中提供基板;
b.将根据权利要求1至52的前体中的一或多者引入该反应容器内;
c.用第一吹扫气体吹扫该反应容器;
d.将源气体引入该反应容器内;
e.用第二吹扫气体吹扫该反应容器;
f.依序重复步骤b至e直至获得所需厚度的含过渡金属的膜。
64.根据权利要求63的方法,其中该源气体选自以下的含氧源气体中的一或多者:水、双原子氧、氧等离子体、臭氧、NO、N2O、NO2、一氧化碳、二氧化碳及其组合。
65.根据权利要求63的方法,其中该源气体选自以下的含氮源气体中的一或多者:氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氢等离子体及其混合物。
66.根据权利要求63的方法,其中该第一及第二吹扫气体各自独立地选自氩、氮、氦、氖,及其组合中的一或多者。
67.根据权利要求63的方法,其进一步包括将能量施加至该前体、该源气体、该基板,及其组合中的至少一者,其中该能量是热、等离子体、脉冲等离子体、螺旋等离子体、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合中的一或多者。
68.根据权利要求63的方法,其中该步骤b进一步包括使用载气流将该前体的蒸气递送至该反应容器内而将该前体引入该反应容器内。
69.根据权利要求63的方法,其中步骤b进一步包括使用包含以下中的一或多者的溶剂介质:甲苯、均三甲苯、异丙苯、4-异丙基甲苯、1,3-二异丙苯、辛烷、十二烷、1,2,4-三甲基环己烷、正丁基环己烷及十氢萘及其组合。
70.根据权利要求63的方法,其中该含过渡金属的膜具有低于大约500μOhm cm的电阻率。
71.根据权利要求63的方法,其中该含过渡金属的膜具有低于大约400μOhm cm的电阻率。
72.根据权利要求63的方法,其中该含过渡金属的膜具有低于大约300μOhm cm的电阻率。
73.根据权利要求63的方法,其中该含过渡金属的膜具有低于大约200μOhm cm的电阻率。
74.根据权利要求63的方法,其中该含过渡金属的膜具有低于大约100μOhm cm的电阻率。
75.一种用于在基板的至少一个表面上形成含过渡金属的膜的方法,其包括:
a.在反应容器中提供该基板的至少一个表面;
b.通过选自热化学气相沉积(CVD)工艺及热原子层沉积(ALD)工艺的沉积工艺使用前体作为用于该沉积工艺的金属源化合物在该至少一个表面上形成含过渡金属的膜;及
c.使用一种或多种根据权利要求1至52的前体作为掺杂材料。
76.根据权利要求75的方法,其中该含过渡金属的膜具有低于大约500μOhm cm的电阻率。
77.根据权利要求75的方法,其中该含过渡金属的膜具有低于大约400μOhm cm的电阻率。
78.根据权利要求75的方法,其中该含过渡金属的膜具有低于大约300μOhm cm的电阻率。
79.根据权利要求75的方法,其中该含过渡金属的膜具有低于大约200μOhm cm的电阻率。
80.根据权利要求75的方法,其中该含过渡金属的膜具有低于大约100μOhm cm的电阻率。
81.一种前体供应包装,其包含容器及根据权利要求1至52中任一项的前体,其中该容器适用于容纳该前体并分配该前体。
82.一种合成根据权利要求1至52中任一项的前体的方法,其包括使式M2(OAc)4的化合物根据下列反应进行反应:
其中M是铬、钼及钨中之一。
83.根据权利要求82的方法,其中M是铬。
84.根据权利要求82的方法,其中M是钼。
85.根据权利要求82的方法,其中M是钨。
CN202280014771.9A 2021-02-15 2022-02-11 用于沉积含金属的薄膜的第6族脒盐桨轮状化合物 Pending CN116917536A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163149524P 2021-02-15 2021-02-15
US63/149,524 2021-02-15
PCT/US2022/016044 WO2022173999A1 (en) 2021-02-15 2022-02-11 Group 6 amidinate paddlewheel compounds for deposition of metal containing thin films

Publications (1)

Publication Number Publication Date
CN116917536A true CN116917536A (zh) 2023-10-20

Family

ID=80461102

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280014771.9A Pending CN116917536A (zh) 2021-02-15 2022-02-11 用于沉积含金属的薄膜的第6族脒盐桨轮状化合物

Country Status (6)

Country Link
US (1) US20240166676A1 (zh)
JP (1) JP2024507177A (zh)
KR (1) KR20230144628A (zh)
CN (1) CN116917536A (zh)
TW (1) TW202246294A (zh)
WO (1) WO2022173999A1 (zh)

Also Published As

Publication number Publication date
US20240166676A1 (en) 2024-05-23
KR20230144628A (ko) 2023-10-16
JP2024507177A (ja) 2024-02-16
WO2022173999A1 (en) 2022-08-18
TW202246294A (zh) 2022-12-01

Similar Documents

Publication Publication Date Title
KR101659725B1 (ko) 휘발성 디하이드로피라지닐 및 디하이드로피라진 금속 착화합물
JP6596737B2 (ja) アミドイミン配位子を含む金属複合体
CN102482771B (zh) 用于气相沉积的含钛前体
JP5181292B2 (ja) 非対称配位子源、低対称性金属含有化合物、およびそれらを含むシステムと方法
US8357784B2 (en) Beta-diketiminate ligand sources and metal-containing compounds thereof, and systems and methods including same
EP2307589B1 (en) Method for deposition of transition metal-containing films using heteroleptic cyclopentadienyl transition metal precursors
US20090199739A1 (en) Organometallic compounds, processes for the preparation thereof and methods of use thereof
TWI373472B (en) Titanium complex, method for producing the same, titanium-containing thin film, and method for forming the same
US20080152793A1 (en) Method for the deposition of a ruthenium containing film with aryl and diene containing complexes
JP5148186B2 (ja) イミド錯体、その製造方法、金属含有薄膜及びその製造方法
US20090203928A1 (en) Organometallic compounds, processes for the preparation thereof and methods of use thereof
EP2734533B1 (en) Heteroleptic pyrrolecarbaldimine precursors
TW201335417A (zh) 用於ald沈積金屬矽酸鹽膜之含矽化合物
KR20230110312A (ko) 란타나이드 및 란타나이드 유사 전이 금속 착물
TWI756959B (zh) 膜或塗層之方法
CN116917536A (zh) 用于沉积含金属的薄膜的第6族脒盐桨轮状化合物
KR20140075024A (ko) 알칼리 금속 디아자부타디엔 화합물 및 알칼리 금속-함유 필름 침착을 위한 그의 용도
TW202406923A (zh) 用於沉積含鉬薄膜的液態二(芳烴)鉬組合物
JP7496028B2 (ja) 有機金属化合物、これを含む前駆体組成物、およびこれを用いた薄膜の製造方法
EP4180437A1 (en) Titanium complex, method for producing same, and method for producing titanium-containing thin film
TW202337892A (zh) 用於含鉍氧化物薄膜的烷基及芳基異配位鉍前驅物
WO2024097547A1 (en) High purity alkynyl amines for selective deposition
WO2024107593A1 (en) Intramolecular stabilized group 13 metal complexes with improved thermal stability for vapor phase thin-film deposition techniques

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination