CN116368256A - 处理基板的方法和设备 - Google Patents

处理基板的方法和设备 Download PDF

Info

Publication number
CN116368256A
CN116368256A CN202180074693.7A CN202180074693A CN116368256A CN 116368256 A CN116368256 A CN 116368256A CN 202180074693 A CN202180074693 A CN 202180074693A CN 116368256 A CN116368256 A CN 116368256A
Authority
CN
China
Prior art keywords
flow rate
gas
supplying
power
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180074693.7A
Other languages
English (en)
Inventor
钟姚颖
许绍杰
江梓成
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN116368256A publication Critical patent/CN116368256A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1078Multiple stacked thin films not being formed in openings in dielectrics

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文提供了用于处理基板的方法和设备。例如,一种方法包括:以第一流速将第一气体供应至设置在沉积腔室的内部体积内的基板支撑件,并以第二流速将第一气体供应至沉积腔室的内部体积中;将第一气体的第一流速降低至第三流速;供应DC功率、或DC功率和AC功率以在其间诱发AC偏压;以切换模式将第二气体供应至沉积腔室中,与此同时以第二流速和第三流速供应第一气体并增加DC功率或AC功率中的至少一者以增加AC偏压;以及在以切换模式供应第二气体的同时,将来自靶材的材料沉积至基板上以形成阻挡层。

Description

处理基板的方法和设备
技术领域
本公开的实施例总体涉及用于处理基板的方法和设备,并且更具体地涉及被配置为改善设置在两个材料层之间的氮化钽(TaN)阻挡层的方法和设备。
背景技术
被配置为提供TaN阻挡层的常规方法和设备是已知的。例如,常规方法和设备有时使用专门的沉积腔室(例如,电离-物理沉积腔室(PVD)),提供O2空气中断,和/或提供相对较厚的TaN阻挡层。然而,此类方法和设备非常昂贵,具有极低的生产量,和/或可增加接触电阻(RC)。
发明内容
本文提供了用于处理基板的方法和设备。在一些实施例中,所述方法包括:以第一流速将第一气体供应至设置在沉积腔室的内部体积内的基板支撑件,并以第二流速将第一气体供应至沉积腔室的内部体积中;将第一气体的第一流速降低至第三流速;将DC功率、或DC功率和AC功率中的至少一者供应至设置在沉积腔室中的基板支撑件或靶材中的至少一者以在其间诱发AC偏压;以切换模式将第二气体供应至沉积腔室中,所述切换模式改变第二气体的流速,与此同时以第二流速和第三流速供应第一气体并增加DC功率或AC功率中的至少一者以增加AC偏压;以及在以切换模式供应第二气体的同时,将来自靶材的材料沉积至设置在基板支撑件上的基板上,以在基板上形成阻挡层。
在至少一些实施例中,公开了一种其上存储有指令的非暂时性计算机可读存储介质,所述指令当由处理器执行时,使得用于处理基板的方法得以执行。所述方法包括:以第一流速将第一气体供应至设置在沉积腔室的内部体积内的基板支撑件,并以第二流速将第一气体供应至沉积腔室的内部体积中;将第一气体的第一流速降低至第三流速;将DC功率、或DC功率和AC功率中的至少一者供应至设置在沉积腔室中的基板支撑件或靶材中的至少一者以在其间诱发AC偏压;以切换模式将第二气体供应至沉积腔室中,所述切换模式改变第二气体的流速,与此同时以第二流速和第三流速供应第一气体并增加DC功率或AC功率中的至少一者以增加AC偏压;以及在以切换模式供应第二气体的同时,将来自靶材的材料沉积至设置在基板支撑件上的基板上,以在基板上形成阻挡层。
在至少一些实施例中,公开了一种用于处理基板的沉积腔室,所述沉积腔室包括:气体源,所述气体源被配置为将至少一种气体提供至沉积腔室中;DC电源和RF电源,所述DC电源和RF电源被配置为在基板支撑件与靶材之间诱发AC偏压,所述基板支撑件和靶材各自设置在沉积腔室的内部体积内;以及控制器,所述控制器被配置为:以第一流速将第一气体供应至设置在沉积腔室的内部体积内的基板支撑件,并以第二流速将第一气体供应至沉积腔室的内部体积中;将第一气体的第一流速降低至第三流速;将DC功率、或DC功率和AC功率中的至少一者供应至设置在沉积腔室中的基板支撑件或靶材中的至少一者以在其间诱发AC偏压;以切换模式将第二气体供应至沉积腔室中,所述切换模式改变第二气体的流速,与此同时以第二流速和第三流速供应第一气体并增加DC功率或AC功率中的至少一者以增加AC偏压;以及在以切换模式供应第二气体的同时,将来自靶材的材料沉积至设置在基板支撑件上的基板上,以形成基板的阻挡层。
下面描述本公开的其他和进一步的实施例。
附图说明
通过参考附图中描绘的本公开的说明性实施例,可以理解上面简要总结并且下面更详细讨论的本公开的实施例。然而,附图仅图示了本公开的典型实施例,因此不应被认为是对范围的限制,因为本公开可以允许其他同等有效的实施例。
图1描绘了根据本公开的至少一些实施例的处理腔室的示意性剖视图。
图2是根据本公开的至少一些实施例的处理基板的方法的流程图。
图3是根据本公开的至少一些实施例的盖环的局部剖视图。
图4是根据本公开的至少一些实施例的使用图2的方法形成的基板的示意性横截面侧视图。
为了促进理解,在可能的情况下,使用相同的附图标记来表示附图中共享的元件。附图不是按比例绘制的,并且为了清楚起见可以简化。一个实施例的元件和特征可以有益地并入其他实施例中,而无需进一步叙述。
具体实施方式
本文提供了用于处理基板的方法和设备的实施例。例如,本文所述的方法和设备被配置为在设置在基板上的两个材料层之间沉积改进的氮化钽(TaN)阻挡层。与被配置为沉积TaN阻挡层的常规方法和设备不同,本文所述的方法和设备有利地相对便宜,具有非常高的生产量和/或可以降低RC。
图1描绘了根据本公开的一些实施例的处理腔室100(例如,物理气相沉积(PVD)腔室)的示意性截面图。合适的PVD腔室的示例包括
Figure BDA0004209722760000031
Plus和SIP/>
Figure BDA0004209722760000032
PVD处理腔室,这两种处理腔室都可以从加利福尼亚州圣克拉拉市(Santa Clara,California)的应用材料公司(Applied Materials,Inc.)商购。来自应用材料公司或其他制造商的其他处理腔室也可以从本文所公开的本发明设备受益。
处理腔室100含有:基板支撑件102,基板支撑件102用于在其上接收基板104;以及溅射源,诸如靶材106。基板支撑件102位于至少部分由壁108(例如,接地外壳)限定的内部体积内,壁108可以是腔室壁(如图所示)或接地屏蔽件。
处理腔室100包括馈送结构110,馈送结构110用于将RF能量和DC能量耦合至靶材106。例如,如本文所述,馈送结构110是用于将RF能量和DC能量耦合至靶材106或包含靶材106的组件的设备。在一些实施例中,馈送结构110可以是管状的。馈送结构110包括主体112,主体112具有第一端114和与第一端114相对的第二端116。在一些实施例中,主体112进一步包括中心开口115,中心开口115设置为从第一端114穿过主体112至第二端116。馈送结构110可以具有合适的长度,所述合适的长度促进相应的RF能量和DC能量围绕馈送结构110的周边的基本上均匀的分布。例如,在一些实施例中,馈送结构110的长度可为约0.75英寸至约12英寸、或约3.26英寸。在一些实施例中,在主体112没有中心开口的情况下,馈送结构110的长度可为约0.5英寸至约12英寸。
馈送结构110的第一端114可以耦合至RF电源118并且耦合至DC电源120,RF电源118和DC电源120可以分别用于向靶材106提供RF能量和DC能量。例如,DC电源120可用于将负电压或偏压施加至靶材106。在一些实施例中,由RF电源118供应的RF能量的频率可在约2MHz至约60MHz的范围内,或者例如,可以使用诸如2MHz、13.56MHz、27.12MHz、或60MHz的非限制性频率。在一些实施例中,可以提供多个(即,两个或更多个)RF电源,以提供多个上述频率的RF能量。馈送结构110可以由合适的导电材料制成,以传导来自RF电源118和DC电源120的RF能量和DC能量。可选地,DC电源120可以替代地耦合至靶材,而不经过馈送结构110。
主体112的第二端116耦合至源分配板122。源分配板122包括穿过其中设置并与主体112的中心开口115对准的孔124。源分配板122可以由合适的导电材料制成,以传导来自馈送结构110的RF能量和DC能量。源分配板122可以经由导电构件125耦合至靶材106。导电构件125可以是管状构件,所述管状构件具有第一端126,第一端126在靠近源分配板122的周边边缘处耦合至源分配板122的面向靶材的表面128。导电构件125进一步包括第二端130,第二端130在靠近靶材106的周边边缘处耦合至靶材106的面向源分布板的表面132(或靶材106的背板146)。
空腔134可以由导电构件125的面向内的壁、源分配板122的面向靶材的表面128和靶材106的面向源分配板的表面132限定。空腔134经由源分配板122的孔124流体耦合至主体112的中心开口115。如图1所示,空腔134和主体112的中心开口115可用于至少部分地容纳可旋转磁控管组件136的一个或多个部分。在一些实施例中,空腔可以至少部分地填充有冷却流体,诸如水(H2O)等。
在图1中,接地屏蔽件140被图示为覆盖处理腔室100的在靶材106上方的至少一些部分。在一些实施例中,接地屏蔽件140可以在靶材106下方延伸,以同样封闭基板支撑件102。接地屏蔽件140可以被设置成覆盖处理腔室100的盖的外表面。接地屏蔽件140可以例如经由处理腔室100的主体的接地连接耦合至接地。接地屏蔽件140具有中心开口,以允许馈送结构110穿过接地屏蔽件140耦合至源分配板122。接地屏蔽件140可包含任何合适的导电材料,诸如铝、铜等。
绝缘间隙139设置在接地屏蔽件140与源分配板122、导电构件125和靶材106(和/或背板146)的外表面之间,以防止RF能量和DC能量被直接路由至接地。绝缘间隙139可以填充有空气或一些其他合适的介电材料,诸如陶瓷、塑料等。
接地套环141可以围绕主体112和馈送结构110的下部部分设置。接地套环141耦合至接地屏蔽件140,并且可以是接地屏蔽件140的整合部分或者是耦合至接地屏蔽件140的独立部分,以提供馈送结构110的接地。接地套环141可以由诸如铝或铜之类的合适的导电材料制成。在一些实施例中,设置在接地套环141的内径与馈送结构110的主体112的外径之间的间隙可以保持最小,并且刚好足以提供电绝缘。间隙可以用像塑料或陶瓷之类的隔离材料填充,或者可以是气隙。接地套环141防止RF馈送与主体112之间的串扰,从而改善等离子体和处理的均匀性。
隔离板138可以设置在源分配板122与接地屏蔽件140之间,以防止RF能量和DC能量被直接路由至接地。隔离板138具有中心开口,以允许馈送结构110穿过隔离板138并耦合至源分配板122。隔离板138可以包含合适的介电材料,诸如陶瓷、塑料等。替代地,可以提供气隙来代替隔离板138。在提供气隙代替隔离板的实施例中,接地屏蔽件140可以在结构上足够坚固以支撑安置在接地屏蔽件140上的任何部件。
靶材106可以经由介电隔离器144支撑在适配器142(例如,接地的导电铝适配器)上。靶材106包括要在溅射期间沉积在基板104上的材料,诸如金属(或金属氧化物),包括但不限于铝、铜、金、钽、钛等。例如,在至少一些实施例中,靶材106可以由钽制成。在至少一些实施例中,钽可以具有约99.95%至约99.995%的纯度。
背板146可以耦合至靶材106的面向源分配板的表面132。背板146可以包含导电材料,诸如铜-锌、铜-铬或与靶材相同的材料,使得RF功率和DC功率可以经由背板146耦合至靶材106。替代地,背板146可以是非导电的,并且可以包括导电元件(未图示),诸如电气馈通等,以用于将靶材106的面向源分配板的表面132耦合至导电构件125的第二端130。可以包括背板146,例如以提高靶材106的结构稳定性。
可旋转磁控管组件136可以定位成靠近靶材106的后表面(例如,面向源分配板的表面132)。可旋转磁控管组件136包括由基底板168支撑的多个磁体166。基底板168连接至与处理腔室100和基板104的中心轴线重合的旋转轴170。电机172可以耦合至旋转轴170的上端,以驱动可旋转磁控管组件136旋转。多个磁体166在处理腔室100内产生通常平行且靠近靶材106的表面的磁场,以捕获电子并增加局部等离子体密度,这继而增加了溅射速率。多个磁体166围绕处理腔室100的顶部产生电磁场,并且多个磁体166被旋转以旋转电磁场,所述电磁场影响工艺的等离子体密度,从而更均匀地溅射靶材106。例如,旋转轴170可以每分钟旋转约0圈至约150圈。
包括驱动壳体(未图示)的升降机构耦合至旋转轴170,并且被配置为相对于靶材106的背面选择性地升高(或降低)可旋转磁控管组件136的多个磁体166。一种此类升降机构公开于共同拥有的题为“Mechanism For Varying The Spacing Between SputterMagnetron And Target(用于改变溅射磁控管与靶材之间的间隙的机制)”的美国专利第7,674,360号中。
在一些实施例中,磁体190可以围绕处理腔室100设置以选择性地在基板支撑件102与靶材106之间提供磁场。例如,如图1所示,当处于处理位置时,磁体190可以在基板支撑件102正上方的区域中围绕壁108的外侧设置。在一些实施例中,磁体190可以附加地或替代地设置在其他位置,诸如邻近适配器142。磁体190可以是电磁体,并且可以耦合至电源(未图示)以控制由电磁体产生的磁场的量值。
基板支撑件102具有面向靶材106的主表面的材料接收表面,并将待溅射涂覆的基板104支撑在与靶材106的主表面相对的平面位置处。基板支撑件102可以将基板104支撑在处理腔室100的中心区域148中。中心区域148(例如,处理腔室的内部体积)被定义为在处理期间在基板支撑件102上方的区域(例如,在靶材106与处于处理位置时的基板支撑件102之间)。
在一些实施例中,基板支撑件102可以是经由连接至底部腔室壁152的伸缩软管150竖直可移动的,以允许将基板104穿过在处理腔室100的下部部分中的狭缝阀(未图示)转移到基板支撑件102上,并且此后升高到沉积或处理位置。
一种或多种处理气体可以从气体源154经由质量流量控制器156供应到处理腔室100的下部部分中。例如,气体源154可以被配置为以第一流速将第一气体供应至基板支撑件102,与此同时以第二流速将第一气体供应至处理腔室100的内部体积(例如,中心区域148),经由质量流量控制器156供应至基板支撑件102,如下所述。排气端口158可以被设置并且经由阀160耦合至泵(未图示)以排空处理腔室100的内部并促进维持处理腔室100内部的期望压力。
RF偏置电源162可以耦合至基板支撑件102以在基板104上诱发负DC偏压。此外,在一些实施例中,负DC自偏压(例如,使用DC电源120或DC电源121)可以在处理期间形成在基板104上。例如,由RF偏置电源162供应的RF功率的频率可以在从约2MHz至约60MHz范围内,例如可以使用诸如2MHz、13.56MHz、或60MHz的非限制性频率。在其他应用中,基板支撑件102可以接地或保持电浮置。例如,对于可能不需要RF偏置功率的应用,电容调谐器164可以耦合至基板支撑基座以调节基板104上的电压。
在一些实施例中,处理腔室100可进一步包括接地的底部屏蔽件174,接地的底部屏蔽件174连接至适配器142的凸耳176。暗空间屏蔽件178可以支撑在底部屏蔽件174上,并且可以通过螺钉或其他合适的方式紧固至底部屏蔽件174。底部屏蔽件174与暗空间屏蔽件178之间的金属螺纹连接允许底部屏蔽件174和暗空间屏蔽件178接地至适配器142。适配器142继而经密封并接地至壁108。底部屏蔽件174和暗空间屏蔽件178两者通常都由硬的非磁性不锈钢形成。
底部屏蔽件174向下延伸,并且可以包括具有大致恒定直径的大致管状部分180。底部屏蔽件174沿着适配器142的壁和壁108向下延伸到基板支撑件102的顶表面下方,并向上返回直到到达基板支撑件102的顶表面(例如,在底部处形成大致u形的部分184)。
当基板支撑件102处于下部装载位置时,盖环186安置在底部屏蔽件174的向上延伸内部部分188的顶部上,但是当基板支撑件102处于上部沉积位置时,盖环186安置在基板支撑件102的外周边上以保护基板支撑件102免受溅射沉积。与常规的盖环(其包括可在沉积工艺期间导致不希望的变化/偏差的突出边缘或耳部)不同,盖环186不包括此类结构,例如,盖环186包括沿着盖环186的外径的相对直的或平坦的边缘300。发明人已经发现,使用没有突出边缘或耳部的盖环186减少了(如果没有消除)沉积工艺期间的变化/偏差(例如,提供了工艺可重复性)。例如,盖环186的平坦边缘300与底部屏蔽件174之间的距离302在没有突出边缘或耳部的情况下更大,这继而为工艺气体的流入提供了更多空间(如图3中箭头304所示)。可以使用额外的沉积环(未图示)来遮蔽基板104的外周边免受沉积。
处理腔室100包括系统控制器113,以在处理期间控制处理腔室100的操作。系统控制器113包括中央处理单元(CPU)117、其上存储有指令的存储器119(例如,非暂时性计算机可读存储介质),以及用于CPU 117的支持电路123,并且促进了对处理腔室100的部件的控制。系统控制器113可以是可在工业环境中用于控制各种腔室和子处理器的任何形式的通用计算机处理器中的一种通用计算机处理器。存储器119存储软件(源代码或目标代码),所述软件可以被执行或调用来以本文所述的方式控制处理腔室100的操作。
图2是根据本公开的至少一些实施例的处理基板的方法200的流程图。方法200可以例如在系统控制器113的控制下在合适的处理腔室(诸如上述处理腔室100)中执行。参考图4进一步描述了所述方法,图4描绘了根据本公开的至少一些实施例的使用图2的方法形成的基板的示意性横截面侧视图。
在202处,可以第一流速将第一气体供应至设置在沉积腔室的内部体积内的基板支撑件,与此同时以第二流速将第一气体供应至沉积腔室的内部体积内。在至少一些实施例中,基板400可具有基底层402。例如,基底层可以由硅、氧化硅、锗等形成。在至少一些实施例中,基底层可以由氧化硅形成。一个或多个金属层可以设置在基底层402的顶部上。例如,在至少一些实施例中,金属层404可以设置在基底层402的顶部上。在一些实施例中,金属层404是铜层。
系统控制器113可以控制气体源154以经由质量流量控制器156供应一种或多种气体。例如,第一气体可以是惰性气体,诸如稀有气体。例如,第一气体可以是氩、氦、氪、氖、氡或氙中的至少一者。在至少一些实施例中,第一气体可以是氩。第一气体可以大于0sccm且至多约20sccm的第一流速供应至基板支撑件(例如,基板支撑件102)。在至少一些实施例中,第一气体可以施加至设置在基板支撑件上的基板400的背面,以促进在操作期间(例如在用于形成可以在金属层之间使用的阻挡层的物理气相沉积期间)加热基板(例如加热至约200℃至约300℃的温度)。第一气体流动至基板的背面,所述基板可以被静电吸附至基板支撑表面。将第一气体提供至基板的背面在沉积工艺期间提供了稳定的基板温度(例如,基板支撑件充当热源/散热器,并且背面第一气体充当热交换介质)。在202处背面流迅速斜升背面压力。随后,第一气体的流量可以降低至稳定值以保持背面压力。此外,第一气体可以以约50sccm至约500sccm的第二流速供应至内部体积(例如,中心区域148),例如以促进内部体积中的等离子体形成。
接下来,在204处,可以将第一气体的第一流速降低至第三流速。例如,第三流速可以是约0sccm至约19sccm。例如,在充分提供第一气体以实现期望的背面压力之后,随后可将第一气体的流量降低至稳定值,以将背面压力维持在期望值或期望的范围内。
接下来,在206处,可以将单独的DC功率或DC功率与AC功率的组合供应至设置在沉积腔室中的基板支撑件或靶材中的至少一者,以在其间诱发低AC偏压。例如,系统控制器113可以控制DC电源120和RF电源118,以在基板支撑件或靶材之间诱发AC偏压。例如,系统控制器113可以提供约500瓦至约20,000瓦的DC功率,并供应约0瓦至约900瓦的AC功率。在至少一些实施例中,DC功率可以是约500瓦并且AC功率可以是0瓦(例如,不使用AC功率),以点燃等离子体。
发明人已经发现,以切换模式(例如,切换第二气体的供应)在物理气相沉积期间供应第二气体改善了在两个材料层(诸如铜、铝、硅、钨、或其他适合于基板制造的金属)之间使用的阻挡层形成。在至少一些实施例中,两个金属层可以是形成金属底层的金属层404和形成金属顶层的金属层406(例如,铝层),反之亦然。用于在两个金属层之间形成阻挡层的合适金属可以是钽等。因此,在实施例中,靶材可以由钽和/或钛制成。
接下来,在208处,可以切换模式将第二气体供应至沉积腔室中,所述切换模式改变第二气体的流速,与此同时以第一流速和第二流速供应第一气体并增加DC功率或AC功率中的至少一者以增加AC偏压(例如,高AC偏压)。例如,在至少一些实施例中,第二气体可以是氮气。
切换模式包括在第四流速与远小于第四流速的第五流速之间切换。例如,第四流速可以是约10sccm至约350sccm,并且第五流速是约0sccm至约200sccm。在至少一些实施例中,第二气体可以以约90sccm的第四流速和约0sccm的第五流速(例如,很少或没有第二气体的流动)供应。另外,第二气体可以第四流速和第五流速供应达约1毫秒至约10秒。例如,在至少一些实施例中,在物理气相沉积期间,切换模式可包括以约200sccm的流速供应第二气体达约1.5秒至约2秒,然后不供应第二气体或以相对较低的流速(例如,以约0sccm)供应第二气体达约0.1秒至约2秒,然后以约50sccm的流速供应第二气体达约3秒至约5秒,然后不供应第二气体或以相对较低的流速供应第二气体,等等。在第五流速下,很少或没有氮沉积在基板上(例如,在基板上形成了主要为Ta的层)。例如,当沉积TaN层时,切换模式可以包括以约200sccm的流速供应第二气体达约1.5秒至约2秒(例如,以接通模式供应气体),并且当沉积Ta层时,不供应第二气体(或以约0sccm至约10sccm的流速供应第二气体)达约0.1秒至约2秒,使得稍后很少或没有氮沉积在Ta中。
如上所述,由于盖环186不包括突出边缘或凸耳,所以沉积工艺期间的变化/偏差会显著减少(如果没有消除)。即,在下部位置与上部位置之间移动盖环186有时会将盖环186移动偏离中心,但是因为盖环186与底部屏蔽件174之间的距离相对较大,所以第二气体可以在沉积期间自由地流过盖环186,例如,气体流入动态有利地对盖环定心不太敏感。
另外,在208处,可以增加DC功率或AC功率中的至少一者来增加AC偏压。例如,在至少一些实施例中,DC功率可以从约500瓦增加至约20000瓦,并且AC率可以从约0瓦增加至约900瓦,以增加AC偏压。
例如,在208处,可以首先提供DC功率(例如,500瓦)以初始点燃等离子体(例如,氩等离子体)并首先沉积Ta层(例如,没有或很少供应氮气,例如以第五流速供应氮气),然后可将DC功率维持在500瓦,并且不供应AC功率,并且可以以约100sccm(例如,以第四流速)供应氮气。此后,使用在处理腔室的内部(例如,中心区域148)中提供的稳定等离子体时,可使DC功率斜升,并且可使AC功率斜升/斜降以增加AC偏压,与此同时以第四流速与第五流速之间供应氮气。例如,使用在中心区域148中提供的稳定等离子体时,在至少一些实施例中,当沉积Ta和TaN时,DC功率可以是约5000瓦至约15,000瓦,并且当沉积Ta时,可将AC功率斜升至约500瓦至约800瓦,并且当沉积TaN时,可将AC功率斜降至约200瓦至约400瓦。
在208期间,可以将来自靶材的材料朝向基板支撑件的面向基板的表面引导,例如以如210处所描绘的将材料沉积在设置在基板支撑件上的基板上。例如,通过使用切换模式供应第二气体(例如,氮气),与此同时沉积来自靶材的材料(例如,钽),可以在基板的底层(例如金属层404)的顶部上形成包括交替的钽/氮化钽(Ta/TaN)结构的阻挡层408,并且可以在阻挡层408上沉积第二层(例如,金属层406)。例如,在210期间,在很少或没有氮被供应到腔室中的情况下,可以沉积Ta层以形成Ta膜。另外,在210处,在将氮气供应至腔室中的情况下,可以(例如在Ta膜的顶部上)沉积TaN层以形成TaN膜。即,在物理气相沉积之后,基板将包括金属层404,在金属层404上沉积有阻挡层408(例如,交替的膜层),阻挡层408包括交替的Ta/TaN结构(例如,Ta/TaN膜),随后可以在阻挡层408上沉积金属层406的顶层。在至少一些实施例中,阻挡层408可以包括总厚度为约60nm的6个Ta/TaN结构交替层,例如,Ta层和TaN层中的每一者的厚度可为约10nm。发明人已经发现,交替的Ta层和TaN层越多,则阻挡层408的结构就将越牢固,例如,具有降低的RC。
尽管前述内容是针对本公开的实施例,但是在不脱离本公开的基本范围的情况下,可以设计本公开的其他和进一步的实施例。

Claims (20)

1.一种用于处理基板的方法,包括以下步骤:
以第一流速将第一气体供应至设置在沉积腔室的内部体积内的基板支撑件,并以第二流速将所述第一气体供应至所述沉积腔室的所述内部体积中;
将所述第一气体的所述第一流速降低至第三流速;
将DC功率、或DC功率和AC功率中的至少一者供应至设置在所述沉积腔室中的所述基板支撑件或靶材中的至少一者以在其间诱发AC偏压;
以切换模式将第二气体供应至所述沉积腔室中,所述切换模式改变所述第二气体的流速,与此同时以所述第二流速和所述第三流速供应所述第一气体并增加所述DC功率或所述AC功率中的至少一者以增加所述AC偏压;以及
在以所述切换模式供应所述第二气体的同时,将来自所述靶材的材料沉积至设置在所述基板支撑件上的基板上,以在所述基板上形成阻挡层。
2.如权利要求1所述的方法,进一步包括以下步骤:将所述基板加热至约200℃至约300℃的温度。
3.如权利要求1所述的方法,其中供应所述第一气体包括供应氩、氦、氪、氖、氡或氙中的至少一者。
4.如权利要求1所述的方法,其中供应所述第二气体包括供应氮气。
5.如权利要求1所述的方法,其中所述第一流速为约0sccm至约20sccm,
其中所述第二流速为约50sccm至约500sccm,并且
其中所述第三流速为约0sccm至约20sccm。
6.如权利要求1所述的方法,其中以所述切换模式将所述第二气体供应至所述沉积腔室中的步骤包括以下步骤:在第四流速与不同于所述第四流速的第五流速之间切换。
7.如权利要求6所述的方法,其中所述第四流速为约10sccm至约350sccm,并且所述第五流速为约0sccm至约200sccm。
8.如权利要求1至7中任一项所述的方法,进一步包括以下步骤:以所述第四流速和所述第五流速供应所述第二气体达约1毫秒至约10秒。
9.如权利要求1所述的方法,其中供应所述DC功率、以及所述DC功率和所述AC功率中的至少一者以诱发所述AC偏压的步骤包括以下步骤:供应约500瓦至约20,000瓦的DC功率,以及供应约0瓦至约900瓦的AC功率。
10.如权利要求1所述的方法,其中所述靶材是钽(Ta),并且其中将来自所述靶材的材料沉积到所述基板上的步骤包括以下步骤:沉积Ta膜、氮化钽(TaN)膜中的至少一者,或沉积Ta和TaN膜的交替层。
11.如权利要求1至7、9、或10中任一项所述的方法,其中所述Ta膜和所述TaN膜中的每一者的厚度为约10nm。
12.如权利要求1至7、9、或10中任一项所述的方法,其中所述Ta的纯度可为约99.95%至约99.995%。
13.如权利要求1至7、9、或10中任一项所述的方法,进一步包括以下步骤:形成厚度为约60nm的所述阻挡层。
14.一种其上存储有指令的非暂时性计算机可读存储介质,所述指令当由处理器执行时使得用于处理基板的方法得以执行,所述方法包括以下步骤:
以第一流速将第一气体供应至设置在沉积腔室的内部体积内的基板支撑件,并以第二流速将所述第一气体供应至所述沉积腔室的所述内部体积中;
将所述第一气体的所述第一流速降低至第三流速;
将DC功率、或DC功率和AC功率中的至少一者供应至设置在所述沉积腔室中的所述基板支撑件或靶材中的至少一者以在其间诱发AC偏压;
以切换模式将第二气体供应至所述沉积腔室中,所述切换模式改变所述第二气体的流速,与此同时以所述第二流速和所述第三流速供应所述第一气体并增加所述DC功率或所述AC功率中的至少一者以增加所述AC偏压;以及
在以所述切换模式供应所述第二气体的同时,将来自所述靶材的材料沉积至设置在所述基板支撑件上的基板上,以在所述基板上形成阻挡层。
15.如权利要求14所述的非暂时性计算机可读存储介质,进一步包括以下步骤:将所述基板加热至约200℃至约300℃的温度。
16.如权利要求14所述的非暂时性计算机可读存储介质,其中供应所述第一气体包括供应氩、氦、氪、氖、氡或氙中的至少一者。
17.如权利要求14所述的非暂时性计算机可读存储介质,其中供应所述第二气体包括供应氮气。
18.如权利要求14所述的非暂时性计算机可读存储介质,其中所述第一流速为约0sccm至约20sccm,其中所述第二流速为约50sccm至约500sccm,并且其中所述第三流速为约0sccm至约20sccm。
19.如权利要求14至18中任一项所述的非暂时性计算机可读存储介质,其中以所述切换模式将所述第二气体供应至所述沉积腔室中的步骤包括以下步骤:在第四流速与不同于所述第四流速的第五流速之间切换。
20.一种用于处理基板的沉积腔室,包括:
气体源,所述气体源被配置为将多种气体提供到所述沉积腔室中;
DC电源和RF电源,所述DC电源和所述RF电源被配置为在基板支撑件与靶材之间诱发AC偏压,所述基板支撑件和所述靶材各自设置在所述沉积腔室的所述内部体积内;以及
控制器,所述控制器被配置为:
以第一流速将来自所述气体源的第一气体供应至设置在所述沉积腔室的所述内部体积内的所述基板支撑件,并以第二流速将所述第一气体供应至所述沉积腔室的所述内部体积内;
将所述第一气体的所述第一流速降低至第三流速;
将DC功率、或DC功率和AC功率中的至少一者供应至设置在所述沉积腔室中的所述基板支撑件或所述靶材中的至少一者以在其间诱发所述AC偏压;
以切换模式将来自所述气体源的第二气体供应至所述沉积腔室中,所述切换模式改变所述第二气体的流速,与此同时以所述第二流速和所述第三流速供应所述第一气体并增加所述DC功率或所述AC功率中的至少一者以增加所述AC偏压;以及
在以所述切换模式供应所述第二气体的同时,将来自所述靶材的材料沉积至设置在所述基板支撑件上的基板上,以形成所述基板的阻挡层。
CN202180074693.7A 2020-11-02 2021-10-14 处理基板的方法和设备 Pending CN116368256A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/086,555 US20220139706A1 (en) 2020-11-02 2020-11-02 Methods and apparatus for processing a substrate
US17/086,555 2020-11-02
PCT/US2021/054936 WO2022093540A1 (en) 2020-11-02 2021-10-14 Methods and apparatus for processing a substrate

Publications (1)

Publication Number Publication Date
CN116368256A true CN116368256A (zh) 2023-06-30

Family

ID=81379175

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180074693.7A Pending CN116368256A (zh) 2020-11-02 2021-10-14 处理基板的方法和设备

Country Status (4)

Country Link
US (1) US20220139706A1 (zh)
CN (1) CN116368256A (zh)
TW (1) TW202233865A (zh)
WO (1) WO2022093540A1 (zh)

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6057237A (en) * 1997-04-29 2000-05-02 Applied Materials, Inc. Tantalum-containing barrier layers for copper
US6346476B1 (en) * 1999-09-27 2002-02-12 Taiwan Semiconductor Manufacturing Company Method for enhancing line-to-line capacitance uniformity of plasma enhanced chemical vapor deposited (PECVD) inter-metal dielectric (IMD) layers
KR20030001744A (ko) * 2001-06-27 2003-01-08 주식회사 하이닉스반도체 텅스텐 질화(WNx)막 및 텅스텐(W)막의 증착 방법
US20030054628A1 (en) * 2001-09-17 2003-03-20 Chartered Semiconductor Manufacturing Ltd. Method of forming a low resistance multi-layered TiN film with superior barrier property using poison mode cycling
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
US7922880B1 (en) * 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US10745795B2 (en) * 2008-04-29 2020-08-18 Agency For Science, Technology And Research Inorganic graded barrier film and methods for their manufacture
JP4871433B2 (ja) * 2009-04-28 2012-02-08 キヤノンアネルバ株式会社 半導体装置およびその製造方法
US9399812B2 (en) * 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing

Also Published As

Publication number Publication date
WO2022093540A1 (en) 2022-05-05
TW202233865A (zh) 2022-09-01
US20220139706A1 (en) 2022-05-05

Similar Documents

Publication Publication Date Title
US9633839B2 (en) Methods for depositing dielectric films via physical vapor deposition processes
US8592712B2 (en) Mounting table structure and plasma film forming apparatus
US8846451B2 (en) Methods for depositing metal in high aspect ratio features
US9499901B2 (en) High density TiN RF/DC PVD deposition with stress tuning
US20170183768A1 (en) Methods and apparatus for stable substrate processing with multiple rf power supplies
US10266940B2 (en) Auto capacitance tuner current compensation to control one or more film properties through target life
TWI616552B (zh) 製程工具防護板及具有防護板之物理氣相沉積室
US9605341B2 (en) Physical vapor deposition RF plasma shield deposit control
US8563428B2 (en) Methods for depositing metal in high aspect ratio features
WO2011156349A2 (en) Methods for forming interconnect structures
JP2007284794A (ja) 膜の堆積/エッチング特性を変えるための磁気フィルタ装置を備えたプラズマ・システム
US20030116432A1 (en) Adjustable throw reactor
US10242873B2 (en) RF power compensation to control film stress, density, resistivity, and/or uniformity through target life
WO2016130787A1 (en) Interconnect structures and methods of formation
WO2016123348A1 (en) Counter based time compensation to reduce process shifting in reactive magnetron sputtering reactor
CN116368256A (zh) 处理基板的方法和设备
US20140216922A1 (en) Rf delivery system with dual matching networks with capacitive tuning and power switching
TW202230510A (zh) 基板處理方法
TW202117037A (zh) 用於藉由物理氣相沉積(pvd)來沉積鋁的方法與設備
US9461137B1 (en) Tungsten silicide nitride films and methods of formation
US11913107B2 (en) Methods and apparatus for processing a substrate
US20240218498A1 (en) Methods and Apparatus for Processing a Substrate
TW202426674A (zh) 用於處理基板的方法和裝置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination