CN116344310A - Plasma processing apparatus and method for manufacturing plasma processing apparatus - Google Patents

Plasma processing apparatus and method for manufacturing plasma processing apparatus Download PDF

Info

Publication number
CN116344310A
CN116344310A CN202211609933.1A CN202211609933A CN116344310A CN 116344310 A CN116344310 A CN 116344310A CN 202211609933 A CN202211609933 A CN 202211609933A CN 116344310 A CN116344310 A CN 116344310A
Authority
CN
China
Prior art keywords
plasma processing
processing apparatus
plasma
container
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202211609933.1A
Other languages
Chinese (zh)
Inventor
远藤健一
大森贵史
天野健次
末木英人
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN116344310A publication Critical patent/CN116344310A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/026Means for avoiding or neutralising unwanted electrical charges on tube components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/01Handling plasma, e.g. of subatomic particles

Abstract

The present invention relates to a plasma processing apparatus and a method for manufacturing the plasma processing apparatus. Provided is a technique capable of stably suppressing abnormal discharge of a member exposed in a plasma processing space of a processing container. The plasma processing device is provided with: a processing container having a plasma processing space inside; a 1 st member provided in the processing container and having at least one 1 st surface exposed in the plasma processing space, the 1 st member constituting a part of an internal structure of the processing container; and a 2 nd member provided in the processing container and contacting the 2 nd surface of the 1 st member adjacent to the 1 st surface. The 1 st member has an inclined surface which is a part of the 1 st surface and is adjacent to the 2 nd surface, and a concave portion is formed in a state where the 2 nd member is in contact with the 2 nd surface, and at least the 1 st surface and the inclined surface are continuously covered with a sprayed film.

Description

Plasma processing apparatus and method for manufacturing plasma processing apparatus
Technical Field
The present disclosure relates to a plasma processing apparatus and a method of manufacturing the plasma processing apparatus.
Background
The plasma processing apparatus includes a processing container for performing plasma processing on a substrate, a stage for placing the substrate in the processing container, a gas supply unit for supplying a processing gas into the processing container, a gas exhaust unit for exhausting the processing gas from the processing container, and a high-frequency power supply unit for generating plasma in the processing container.
As disclosed in patent document 1, the plasma processing apparatus includes a partition plate that covers an upper portion of an exhaust port (exhaust portion) of the processing container and is exposed to the plasma processing space around the mounting table to control the exhaust of the processing gas. The separator is formed of a conductive material and is connected to a ground potential via the processing container, so that plasma generated from the processing gas can be sealed by an electric field formed by using the separator as a counter electrode.
Prior art literature
Patent literature
Patent document 1: japanese patent application laid-open No. 2021-52140
Disclosure of Invention
Problems to be solved by the invention
The present disclosure provides a technique capable of stably suppressing abnormal discharge of a member exposed in a plasma processing space of a processing container.
Solution for solving the problem
According to an aspect of the present disclosure, there is provided a plasma processing apparatus for processing a substrate by plasma, the plasma processing apparatus including: a processing container having a plasma processing space inside; a 1 st member provided in the processing container and having at least one 1 st surface exposed to the plasma processing space, the 1 st member constituting a part of an internal structure of the processing container; and a 2 nd member provided in the processing container and in contact with a 2 nd surface of the 1 st member adjacent to the 1 st surface, wherein the 1 st member has an inclined surface which is a part of the 1 st surface and is adjacent to the 2 nd surface, and a recess is formed in a state where the 2 nd member is in contact with the 2 nd surface, and at least the 1 st surface and the inclined surface are continuously covered with a thermal sprayed film.
ADVANTAGEOUS EFFECTS OF INVENTION
According to one aspect, abnormal discharge of a member exposed in a plasma processing space of a processing container can be stably suppressed.
Drawings
Fig. 1 is a schematic cross-sectional view showing an example of a plasma processing apparatus according to an embodiment.
Fig. 2 is a schematic plan view showing a lower chamber of the plasma processing apparatus of fig. 1.
Fig. 3 is a perspective view showing an assembled state between the separator and the support member.
Fig. 4 is a cross-sectional view showing a state in which the separator is supported by the 1 st support member.
Fig. 5 (a) is a flowchart showing a method of manufacturing the plasma processing apparatus, and fig. 5 (B) is a flowchart showing a process for preparing the separator shown in fig. 5 (a).
Detailed Description
The following describes modes for carrying out the present disclosure with reference to the drawings. In the drawings, the same components are denoted by the same reference numerals, and overlapping description may be omitted.
Fig. 1 is a schematic cross-sectional view showing an example of a plasma processing apparatus according to an embodiment. As shown in fig. 1, a plasma processing apparatus 1 is a processing apparatus for inductively coupled plasma (Inductive Coupled Plasma: ICP) that performs various substrate processes on a substrate for FPD (hereinafter, simply referred to as a substrate G) made of a glass material. Examples of the FPD manufactured by processing the substrate G include a liquid crystal display (Liquid Crystal Display: LCD), an electroluminescent (Electro Luminescence: EL) display, and a plasma display panel (Plasma Display Panel: PDP). As a material of the substrate G, a synthetic resin or the like may be used in addition to glass.
The substrate G may be any of a substrate having a circuit patterned on a surface thereof, a support substrate having no circuit, and the like. The planar dimensions of the substrate G are preferably in the range of 1800mm to 3400mm on the long side and 1500mm to 3000mm on the short side. The thickness of the substrate G is preferably in the range of about 0.2mm to 4.0 mm. Examples of the substrate processing performed by the plasma processing apparatus 1 include a film forming process and an etching process using a CVD (Chemical Vapor Deposition: chemical vapor deposition) method. The plasma processing apparatus 1 that performs etching as a substrate processing will be described below as an example.
The plasma processing apparatus 1 includes a processing container 10 having a rectangular parallelepiped box shape. The processing container 10 is formed of a metal such as aluminum or an aluminum alloy. The processing container 10 may be formed in an appropriate shape according to the shape of the substrate G, and for example, when the substrate G is a circular plate or an elliptical plate, the processing container 10 is preferably formed in a cylindrical shape, an elliptical cylindrical shape, or the like.
The processing container 10 includes a rectangular support frame 11 protruding toward the inside of the processing container 10 at a predetermined position in the vertical direction, and the dielectric plate 12 is supported in the horizontal direction by the support frame 11. The process vessel 10 is divided into an upper chamber 13 and a lower chamber 14 through a dielectric plate 12. The upper chamber 13 forms an antenna chamber 13a on the inside. The lower chamber 14 forms an inner space 14a inside which the substrate G is accommodated and the substrate processing is performed.
The side wall 15 of the lower chamber 14 is provided with a feed/discharge port 17 opened and closed by a gate valve 16. When the gate valve 16 is opened, the plasma processing apparatus 1 performs the loading and unloading of the substrate G through the loading and unloading port 17 by a not-shown conveyor.
In addition, the side wall 15 of the lower chamber 14 is grounded (connected to a ground potential) via a ground line 18. The four side walls 15 of the lower chamber 14 have an annular circumferential sealing groove 19 at the upper end. By disposing a sealing member 20 such as an O-ring in the seal groove 19, the support frame 11 and the lower chamber 14 hermetically seal the internal space 14a.
The support frame 11 is formed of a metal such as aluminum or an aluminum alloy. The dielectric plate 12 is made of alumina (Al 2 O 3 ) And ceramics and quartz.
A shower head 21 is provided inside the support frame 11 so as to serve as a support beam for supporting the dielectric plate 12, and the shower head 21 is connected to the support frame 11 and is constituted by a plurality of elongated members, and ejects the process gas into the internal space 14a. The dielectric plate 12 is supported on the upper surface of the shower head 21. The shower head 21 is preferably made of a metal such as aluminum, and is subjected to surface treatment by anodic oxidation. A gas flow path 21a is formed in the horizontal direction inside the showerhead 21. The showerhead 21 has a plurality of gas discharge holes 21b communicating the gas flow passages 21a with the lower surface (the inner space 14 a) of the showerhead 21.
A gas introduction pipe 22 communicating with the gas flow path 21a is connected to the upper surface of the shower head 21. The gas introduction pipe 22 extends upward in the upper chamber 13, penetrates the upper chamber 13, and is connected to a gas supply unit 23 provided outside the process container 10.
The gas supply unit 23 includes a gas supply path 24 coupled to the gas introduction pipe 22, and includes a gas supply source 25, a mass flow controller 26, and an on-off valve 27 in this order from the upstream side toward the downstream side of the gas supply path 24. In the etching process, the process gas is supplied from the gas supply source 25, the flow rate is controlled by the mass flow controller 26, and the supply timing is controlled by the on-off valve 27. The process gas flows into the gas flow path 21a from the gas supply path 24 through the gas introduction pipe 22, and is discharged into the internal space 14a through the gas discharge holes 21b.
A high-frequency antenna 28 is provided in the upper chamber 13 forming the antenna chamber 13a. The high-frequency antenna 28 is formed by wiring an antenna wire made of a conductive metal such as copper in a ring shape or a spiral shape. Alternatively, the high-frequency antenna 28 may be provided with a plurality of loop antenna wires. A power feeding member 29 extending upward in the upper chamber 13 is connected to a terminal of the high-frequency antenna 28.
The power feeding member 29 has an upper end protruding to the outside of the processing container 10, and a high-frequency power feeding unit 30 is connected to the upper end. The high-frequency power supply unit 30 includes a power supply line 30a, and the power supply line 30a is connected to a high-frequency power supply 32 via an impedance matching unit 31. The high-frequency power supply 32 applies high-frequency power of a frequency (for example, 13.56 MHz) corresponding to the substrate processing to the high-frequency antenna 28. Thereby, the high-frequency antenna 28 forms an induced electric field in the lower chamber 14.
The processing container 10 further includes a stage 40 (mounting table) for mounting the substrate G fed from the feed/discharge port 17 in the lower chamber 14. The stage 40 includes a stage main body 41, a pedestal 42, a plurality of lift pins 43, and a plurality of lift pin lift mechanisms 44. The substrate G fed into the lower chamber 14 is transferred to the lift pins 43 lifted up by the lift pin lift mechanisms 44, and the lift pins 43 are lowered to place the substrate G on the stage main body 41.
The stage main body 41 is formed in a rectangular shape in a plan view, and has a mounting surface 411 having a planar dimension similar to that of the substrate G. For example, the planar dimension of the mounting surface 411 is preferably in a range of about 1800mm to 3400mm on the long side and about 1500mm to 3000mm on the short side.
A plasma processing space PCS is formed between the mounting surface 411 of the stage main body 41 and the showerhead 21. In the plasma processing space PCS, a plasma is generated by plasmatizing the processing gas supplied from the showerhead 21 to the internal space 14a by an induced electric field formed by the high-frequency antenna 28. The plasma processing apparatus 1 performs etching processing of the substrate G by using an etchant in plasma generated in the plasma processing space PCS.
The stage main body 41 is formed of aluminum, an aluminum alloy, or the like, and includes a cooling mechanism. The cooling mechanism may be constituted by, for example, a flow path 45 for circulating the refrigerant in the stage main body 41 and a cooler 46 for supplying the refrigerant to the flow path 45. The stage main body 41 may include a heating wire (not shown) as a resistor therein. These components are controlled by the control unit 60, so that the stage main body 41 can perform precise temperature control. For example, when the plasma processing apparatus 1 performs a substrate process (etching process), the temperature of the mounting surface 411 of the stage 40 is adjusted to about 80 ℃ by the cooler 46 and the heating wire, and the temperature state is maintained.
The pedestal 42 is formed of an insulating material, and is disposed on the bottom wall 33 of the lower chamber 14 to support the stage main body 41. The pedestal 42 has an opening at the bottom, and fixes and supports the stage main body 41 in a state where the stage main body 41 is separated from the bottom wall 33. The pedestal 42 may be configured to be separable into a lower member supporting the stage main body 41 and an upper member surrounding the side surface of the stage main body 41. The stage 40 is provided with a bias power supply unit, not shown, which supplies high-frequency power for forming a bias for introducing plasma to the stage 40 side during substrate processing. The side wall 15 of the lower chamber 14 connected to the ground potential and the separator 100 described later function as a counter electrode with respect to the high-frequency power for bias.
Fig. 2 is a schematic plan view showing the lower chamber 14 of the plasma processing apparatus 1 of fig. 1. As shown in fig. 1 and 2, in the plasma processing apparatus 1, a space between the outer periphery of the stage 40 and the side wall 15 of the processing container 10 becomes a concave space 34 through which the processing gas discharged from the processing container 10 flows.
The plasma processing apparatus 1 includes an exhaust port 33a for exhausting the process gas in the internal space 14a in the bottom wall 33 constituting the concave space 34. Specifically, two exhaust ports 33a are provided on each of the pair of short sides of the stage 40.
In the process container 10, a rectangular exhaust chamber 35 is connected to each of the pair of long sides so as to be adjacent to the concave space 34 of the process container 10. The plasma processing apparatus 1 further includes 3 exhaust ports 33b at the bottom of each of the exhaust chambers 35. That is, 3 exhaust ports 33b are provided on each of the pair of long sides of the stage 40.
Each of the exhaust chambers 35 on the long side has a width slightly larger than the diameter of each of the exhaust ports 33b, and is connected to extend along the long side of the process container 10. A fin or the like, not shown, is provided in each of the exhaust chambers 35 to guide the process gas to each of the exhaust ports 33b. Each of the exhaust chambers 35 communicates with the concave space 34 via a plurality of communication holes 36 formed in the side wall 15 of the processing container 10.
Each of the exhaust ports 33b on the pair of long sides is formed in a perfect circle shape. In contrast, each of the pair of short-side exhaust ports 33a is formed in a semicircular shape and is provided between the side wall 15 of the processing container 10 and the stage 40. The diameter of each of the exhaust ports 33a and 33b is also determined depending on the size of the processing container 10, and is preferably set to a range of about 200mm to 400mm, for example. Further, an exhaust net 37 may be provided in each of the exhaust ports 33a and 33b to prevent the components from falling down.
Referring back to fig. 1, the plasma processing apparatus 1 includes an exhaust portion 50 connected to the exhaust ports 33a and 33b outside the processing container 10. The exhaust unit 50 includes an exhaust pipe 51 connected to the exhaust ports 33a and 33b, and an exhaust mechanism 52 provided in the exhaust pipe 51 and configured to exhaust a process gas (a process gas that does not contribute to the processing of the substrate) in the process container 10. The exhaust mechanism 52 also exhausts volatile reaction products and the like generated during the processing of the substrate.
The exhaust mechanism 52 includes an APC (Automatic Pressure Control: automatic pressure control) valve 53, a turbo molecular pump (TMP: turbo Molecular Pump) 54, and a dry pump 55 in this order toward the downstream side of the exhaust pipe 51 in the flow direction of the process gas. After preliminary suction of the inside of the processing container 10 by the dry pump 55, the evacuation mechanism 52 performs vacuum suction of the inside of the processing container 10 by the turbo molecular pump 54. In addition, the exhaust mechanism 52 controls the pressure of the internal space 14a by adjusting the opening degree of the APC valve 53.
The plasma processing apparatus 1 further includes a plurality of partitions 100 (1 st member) between the plasma processing space PCS and the exhaust ports 33a and 33b on the outer periphery of the stage 40. Each baffle 100 limits the exhaust path of the process gas around the stage 40.
As shown in fig. 2, in the present embodiment, a plurality of spacers 100 are arranged at intervals along the circumferential direction of the stage 40. Specifically, the plasma processing apparatus 1 is configured such that one partition 100 is disposed at each of the positions near the corners at both ends of each of the short sides of the stage 40, and one partition 100 is disposed at each of the positions near the corners at both ends of each of the long sides of the stage 40.
Each separator 100 is formed in a plate shape and has a rectangular shape in plan view. The length of the short sides 101, 102 of each spacer 100 is approximately the same as the width of the concave space 34. The length of the long sides 103, 104 of each partition plate 100 is preferably set to be longer than the diameter of the exhaust ports 33a, 33b in a plan view as viewed from the vertical direction. Thus, each partition plate 100 can reliably cover the exhaust port 33a. For example, the length of the long sides 103 and 104 of each partition plate 100 is set to be in the range of about 1.5 to 4 times the diameter of the exhaust ports 33a and 33b.
Fig. 3 is a perspective view showing an assembled state between the separator 100 and the support member 120. As shown in fig. 3, the partition plate 100 is supported by support members 120 (2 nd members) that are in contact with the pair of long sides 103 and 104 of the partition plate 100, respectively, in the state of being installed in the process container 10. The support member 120 includes a 1 st support member 121 for supporting one long side 103 of the partition board 100 on the side wall 15 of the process container 10 and a 2 nd support member 126 for supporting the other long side 104 of the partition board 100 on the side surface of the stage 40. The 1 st support member 121 and the 2 nd support member 126 are formed of a metal material having conductivity (for example, the same metal material as the process container 10: aluminum, etc.).
As shown in fig. 2 and 3, the 1 st support member 121 has: a vertical plate portion 122 protruding upward in the vertical direction and closing the concave space 34 at the center side of the process container 10; and a support frame 123 extending from the vertical plate portion 122 so as to extend along the side wall 15, and supporting the separator 100. The vertical plate portion 122 restricts the process gas from going from the center side of the process container 10 to the exhaust port 33a by closing the concave space 34 inside the partition plate 100. Thus, the process gas in the plasma processing space PCS flows to the corners of the four corners of the processing container 10 and bypasses the partition plate 100 from the corners, and is guided to the exhaust port 33a.
The support frame 123 includes a base 124 fixed to the process container 10 by screw fixation or the like, and a projection 125 projecting from the base 124 toward the inside of the process container 10 (see also fig. 4; described in simplified form in fig. 3). The support frame 123 supports the lower surface of the spacer 100 on the long side 103 side on the upper surface 123a of the base 124 and the protruding portion 125. Thus, the upper surface 123a is formed in a flat shape.
On the other hand, the 2 nd support member 126 is disposed along the side surface of the stage 40, and is fixed to the bottom wall 33 by an appropriate fixing method such as screw fixation. The 2 nd support member 126 may be a member for fixing the side surface of the stage 40 (the pedestal 42 described above or a frame provided on the outer periphery of the pedestal 42). A projection 127 projecting outward of the stage 40 is provided at an upper end portion of the 2 nd support member 126, and a lower surface of the long side 104 of the spacer 100 is supported by an upper surface 127a of the projection 127. Accordingly, the upper surface 127a of the protruding portion 127 is formed in a flat shape.
The 1 st support member 121 and the 2 nd support member 126 are fixed to the bottom wall 33 or the side wall 15 of the process container 10 (lower chamber 14) so as to be connected to the ground potential via the process container 10. In addition, in the 1 st support member 121 and the 2 nd support member 126, the portions other than the portion contacting the support spacer 100 may be covered with a non-conductive sprayed film. Further, as shown in fig. 3, the height of the upper surface 123a of the 1 st support member 121 and the height of the upper surface 127a of the 2 nd support member 126 may be different from each other. For example, by making the 1 st support member 121 lower than the 2 nd support member 126, the plate portion between the pair of long sides 103, 104 can be kept in an inclined state in the separator 100.
Fig. 4 is a cross-sectional view showing a state in which the separator 100 is supported by the 1 st support member 121. As shown in fig. 3 and 4, the pair of long sides 103 and 104 of each separator 100 are supported by each support member 120 (1 st support member 121 and 2 nd support member 126). The separator 100 is formed of a plate-like base material 105 and a sprayed film 110 laminated (coated) on the surface of the base material 105.
The base material 105 is not particularly limited as long as it is formed of a material having conductivity, and for example, a metal such as aluminum, iron, copper, or an alloy thereof can be used. The base material 105 is formed into a rectangular shape that can be disposed in the concave space 34 by an appropriate processing method such as injection molding, pressing, cutting, and the like. The thickness of the base material 105 is not particularly limited, and is preferably set to a range of about 3mm to 6mm, for example. The thickness of the substrate 105 of the present embodiment was 5mm.
The separator 100 supported by the support member 120 has an upper surface 106 facing the upper side in the vertical direction, a side surface 107 (1 st surface) extending in a direction substantially orthogonal to the upper surface 106, and a lower surface 108 (2 nd surface) constituting the opposite surface of the upper surface 106. Further, the lower surface 108 of the partition plate 100 is supported by the upper surface 123a of the 1 st support member 121 and the upper surface 127a of the 2 nd support member 126 along the extending direction of the long sides 103, 104.
The side surface 107 of the separator 100 constituting the short sides 101, 102 and the long sides 103, 104 has a main surface 107a orthogonal to the upper surface 106 and the lower surface 108, a 1 st inclined surface 107b inclined on the lower side of the main surface 107a, and a 2 nd inclined surface 107c inclined on the upper side of the main surface 107 a. In particular, regarding the side surfaces 107 of the long sides 103, 104, the 1 st inclined surface 107b is adjacent to the lower surface 108, and a recess 109 is formed between the 1 st inclined surface 107b and the support member 120 in a state where the support member 120 is in contact with the lower surface 108. On the other hand, the 2 nd inclined surface 107c is adjacent to the upper surface 106. The separator 100 may not have the 2 nd inclined surface 107c.
The 1 st inclined surface 107b is formed larger than the 2 nd inclined surface 107c. In the present embodiment, the length Lm of the main surface 107a and the length Lt of the 1 st inclined surface 107b are set to be the same, or the length Lt of the 1 st inclined surface 107b is set to be longer than the length Lm of the main surface 107 a. Further, the length Lt of the 1 st inclined surface 107b may be set shorter than the length Lm of the main surface 107 a.
The inclination angle θ of the 1 st inclined surface 107b with respect to the main surface 107a is preferably set to a range of 30 ° to 60 °, for example. The inclination angle θ of the present embodiment is set to 45 °. By forming the side surface 107 so as to have the main surface 107a and the 1 st inclined surface 107b in this manner, the depth Ds in the horizontal direction (the depth of the concave portion 109 from the main surface 107 a) from the main surface 107a to the boundary between the 1 st inclined surface 107b and the lower surface 108 is sufficiently long. Since the depth Ds to the boundary between the 1 st inclined surface 107b and the lower surface 108 is long, it is possible to make it difficult for the plasma to reach the boundary. For example, the actual size of the depth Ds is 1mm or more, and more preferably 1mm to 10 mm.
The separator 100 is formed by laminating a spray coating film 110 on the upper surface 106 and the side surface 107 of the base material 105 formed as described above. On the other hand, the lower surface 108 of the substrate 105 is not laminated with the spray coating film 110, and the substrate exposure surface 111 of the substrate 105 itself is exposed.
That is, the separator 100 of the present embodiment is covered with the sprayed film 110 on the side surface 107 exposed to the plasma processing space PCS, in addition to the entire upper surface 106 facing the plasma processing space PCS. The sprayed film 110 is formed continuously with respect to the upper surface 106, the main surface 107a constituting the side surface 107, the 1 st inclined surface 107b, and the 2 nd inclined surface 107c without any gap. The sprayed film 110 is formed over the entire periphery of the side surface 107 of the separator 100 including the short sides 101 and 102 and the long sides 103 and 104. Further, a thermally sprayed film 110 is provided at least at the boundary between the 1 st inclined surface 107b and the lower surface 108 at the long sides 103 and 104. Thus, the boundary between the 1 st inclined surface 107b and the lower surface 108 at the long sides 103, 104 is also the boundary between the sprayed film 110 and the exposed surface 111 of the substrate.
The plating film 110 is not particularly limited as long as it is made of a nonconductive material. For example, as a material of the sprayed film 110, alumina (aluminum), yttria (yttria), yttria, zirconia, mullite (Al) 6 O1 3 Si 2 ) Spinel (MgAl) 2 O 4 ) And the like. For example, while spraying a deposition powder with a carrier gas such as argon gas, plasma is generated in the spraying space, a plasma flow in which the deposition powder is dissolved is formed, and the plasma flow is blown onto the substrate 105, thereby forming the deposition film 110. In addition, by moving the substrate 105 during the blowing of the plasma stream, the sprayed film 110 can be formed on the entirety of the upper surface 106 and the side surface 107 of the substrate 105. Instead of the spray coating, it may be coated with kapton (registered trademark), aluminum acid-proof, or the like.
The sprayed film 110 thus formed prevents abnormal discharge from being caused between the plasma generated in the plasma processing space PCS and the substrate 105. This allows the plasma processing apparatus 1 to perform plasma processing more stably. In particular, by providing the 1 st inclined surface 107b on the side surface 107 adjacent to the lower surface 108 of the separator 100 to form the recess 109 between the support member 120, it is possible to reliably prevent plasma from winding around the end of the sprayed film 110.
On the other hand, the separator 100 uses the lower surface 108 of the substrate 105 in contact with the support member 120 as the substrate exposed surface 111, and can stably electrically connect the separator 100 and the support member 120. Since the support member 120 is connected to the ground potential via the process container 10, the partition plate 100, which is in communication with the support member 120, is also connected to the ground potential. Thus, the plasma generated from the process gas is blocked by the electric field formed by the partition plate 100, and the plasma processing apparatus 1 suppresses intrusion of the plasma into the exhaust portion 50, thereby suppressing occurrence of abnormal discharge in the exhaust portion 50.
The separator 100 is not limited to the structure in which the entire lower surface 108 of the substrate 105 is the substrate exposed surface 111, and the lower surface 108 may be partially or entirely covered with the sprayed film 110 except for the portion in contact with the support member 120. For example, by forming the sprayed film 110 not only on the upper surface 106 and the side surface 107 but also on the lower surface 108 in a certain region on the short sides 101 and 102 of the separator 100, abnormal discharge of plasma on the short sides 101 and 102 can be prevented more effectively.
As shown in fig. 3, the spacer 100 includes a plurality of holes 115 through which a plurality of fixing screws 116 pass to fix the spacer 100 to the support member 120. Each fixing screw 116 is screwed into a screw hole (not shown) of the support member 120 through each hole 115. Further, the plasma processing apparatus 1 is mounted with a non-conductive cap 117 covering the fixing screw 116. Accordingly, the spacer 100 can set the periphery of the hole 115 covered with the cap 117 as the substrate exposed surface 111 not covered with the sprayed film 110.
Referring back to fig. 1, the plasma processing apparatus 1 includes a control unit 60 that controls the operation of the entire apparatus. The control unit 60 is a control computer including one or more processors 61, a memory 62, an input/output interface (not shown), and an electronic circuit. One or more processors 61 may be used as one of the CPU, ASIC, FPGA, circuits made of a plurality of discrete semiconductors, and the like, or a combination of a plurality of processors. The memory 62 includes a nonvolatile memory and a volatile memory, and forms a storage unit for storing programs and process data of the control unit 60. The memory 62 may be partially built in the processor 61. A user interface (not shown) of the plasma processing apparatus 1 is connected to the input/output interface. Examples of the user interface include a touch panel, a monitor, and a keyboard. The one or more processors 61 execute programs stored in the memory 62, and perform plasma processing on the substrate G according to the process data.
The plasma processing apparatus 1 of the present disclosure is basically configured as described above, and a method of manufacturing the plasma processing apparatus 1 will be described below with reference to fig. 5. Fig. 5 (a) is a flowchart showing a method of manufacturing the plasma processing apparatus 1, and fig. 5 (B) is a flowchart showing a separator preparation process in fig. 5 (a).
In the method of manufacturing the plasma processing apparatus 1, the above-described partition plate 100 is attached to the processing container 10. Specifically, in the manufacturing method, as shown in fig. 5 (a), a process container preparation step (step S1), a partition preparation step (step S2), a support member installation step (step S3), a partition installation step (step S4), and a final assembly step (step S5) are performed.
In the process container preparation step, the process container 10 having the plasma process space PCS inside is prepared. The upper chamber 13 and the lower chamber 14 of the process container 10 are provided by being processed by an appropriate processing method such as injection molding. In the process container preparation step, the stage 40 is provided in the lower chamber 14 in a state where the upper chamber 13 of the process container 10 is removed. When the stage 40 is provided, a structure (the pedestal 42 and the like) required for the stage 40 is also assembled. Various structures such as the support frame 11, the dielectric plate 12, the shower head 21, the high-frequency antenna 28, and the like are provided in the upper chamber 13.
In the partition preparation step, a partition 100 provided in the process container 10 and constituting a part of the internal structure of the process container 10 is prepared. In this separator preparation step, as shown in fig. 5 (B), a processing method for processing the separator 100 having the sprayed film 110 is performed.
In the processing method, first, a rectangular and plate-shaped base material 105 is formed as a base of the separator 100 by casting, cutting, pressing, or the like (step S2-1).
Next, the side surfaces 107 of the pair of short sides 101, 102 and the pair of long sides 103, 104 of the base material 105 are cut by a cutting device, whereby the 1 st inclined surface 107b and the 2 nd inclined surface 107c are formed (step S2-2).
Then, the upper surface 106, the pair of short sides 101, 102, and the side surfaces 107 of the pair of long sides 103, 104 of the base material 105 are covered with the spray coating 110 (step S2-3). Thereby, the separator 100 having the sprayed film 110 is formed. In addition, when the sprayed film 110 is formed, the lower surface 108 of the substrate 105 is not covered with the sprayed film 110, and the lower surface 108 can be left as the substrate exposed surface 111.
Returning to fig. 5 (a), in the support member installation step, the 1 st support member 121 and the 2 nd support member 126 as the support members 120 are installed inside the process container 10. In addition, of course, the support member 120 may be provided at the same time when the stage 40 is provided.
Then, in the separator installation step, the separator 100 is installed on the support member 120. In a state where the lower surface 108 on the long side 103 side of the separator 100 is brought into contact with the upper surface 123a of the 1 st support member 121, the screws 116 are screwed, and the caps 117 cover the screws 116, respectively. Similarly, in a state where the lower surface 108 on the long side 104 side of the separator is brought into contact with the upper surface 127a of the 2 nd support member 126, the screws 116 are screwed, and the caps 117 cover the screws 116, respectively. Thus, in the installed state, concave portions 109 are formed between the 1 st inclined surface 107b on the long side 103 side and the upper surface 123a of the 1 st support member 121 and between the 1 st inclined surface 107b on the long side 104 side and the upper surface 127a of the 2 nd support member 126 (see also fig. 4).
Finally, in the final assembly step, the upper chamber 13 is assembled to the upper portion of the lower chamber 14 provided with the partition plate 100, thereby completing the process container 10. In the final assembly step, the plasma processing apparatus 1 can be manufactured by providing the outside of the processing container 10 (the gas supply unit 23, the high-frequency power supply 32, the cooler 46, the exhaust unit 50, and the like).
Next, an operation of the plasma processing apparatus 1 according to the present embodiment during plasma processing will be described with reference to fig. 1 to 4.
First, the plasma processing apparatus 1 is set in a state where the gate valve 16 is opened. The substrate G is fed from the feed-in/feed-out port 17 into the internal space 14a by the conveying mechanism, and is transferred to the plurality of lift pins 43 lifted by the lift pin lifting mechanism 44, and each lift pin 43 is lowered, whereby the substrate G is placed on the placement surface 411 of the stage 40.
Next, the plasma processing apparatus 1 supplies the process gas by the gas supply unit 23, and ejects the process gas to the plasma processing space PCS through the gas ejection holes 21b of the showerhead 21. The plasma processing apparatus also exhausts the internal space 14a from the exhaust ports 33a and 33b via the exhaust pipe 51 while controlling the pressure by the APC 54.
Further, the plasma processing apparatus 1 supplies high-frequency power of, for example, 13.56MHz from the high-frequency power supply 32 to the high-frequency antenna 28, thereby forming a uniform induced electric field in the plasma processing space PCS via the dielectric plate 12. The induced electric field thus formed is used to plasmatize the process gas in the plasma processing space PCS, thereby generating high-density inductively coupled plasma. By using this plasma, the plasma processing apparatus 1 can perform a substrate process such as plasma etching or plasma ashing on a predetermined film of the substrate G.
In addition, the process gas which is supplied to the plasma process space PCS and which does not contribute to the process of the substrate is sucked by the turbo molecular pump 54, and is discharged from the exhaust ports 33a and 33b via the exhaust pipe 51. At this time, the partition plate 100 provided in the concave space 34 improves the exhaust resistance of the process gas, and guides the process gas to the four corners of the process container 10 as shown in fig. 2, thereby making the exhaust characteristics of the process gas uniform (in fig. 2, the flow of the process gas is shown only in the upper right corner and the lower right corner of the process container 10 for convenience of illustration). Since the plasma generated from the process gas is blocked by the electric field formed by the partition 100 serving as the ground potential and the process container 10, the intrusion into the exhaust ports 33a and 33b is suppressed.
Further, the sprayed film 110 laminated on the substrate 105 covers the entire upper surface 106 and the side surface 107 of the separator 100 exposed to the plasma processing space PCS. Therefore, the separator 100 can suppress the occurrence of abnormal discharge between the plasma and the substrate 105.
In particular, the sprayed film 110 covering the side surface 107 of the separator 100 prevents abnormal discharge from occurring in the side surface 107. In addition, the spacer 100 is formed with a large recess 109 between the 1 st inclined surface 107b and the support member 120, and the boundary between the lower surface 108, which is the substrate exposed surface 111, and the 1 st inclined surface 107b, on which the sprayed film 110 is formed, i.e., the boundary between the sprayed film 110 and the substrate exposed surface 111 is disposed sufficiently on the inner side. This can reliably prevent the plasma from winding around the end of the sprayed film 110.
The technical ideas and effects of the present disclosure described in the above embodiments are described below.
In accordance with claim 1 of the present disclosure, a plasma processing apparatus 1 for processing a substrate G by plasma, the plasma processing apparatus 1 comprising: a process vessel 10 having a plasma process space PCS inside; a 1 st member (partition 100) provided inside the process container 10 and having at least one 1 st surface (side surface 107) exposed to the plasma process space PCS, the 1 st member constituting a part of the internal structure of the process container 10; and a 2 nd member (supporting member 120) provided in the process container 10 and in contact with a 2 nd surface (lower surface 108) of the 1 st member adjacent to the 1 st surface, the 1 st member having an inclined surface (1 st inclined surface 107 b) which is a part of the 1 st surface and adjacent to the 2 nd surface, and forming a recess 109 in a state where the 2 nd member is in contact with the 2 nd surface, at least the 1 st surface and the inclined surface being continuously covered with the sprayed film 110.
According to the above, the plasma processing apparatus 1 covers the 1 st member (partition 100) exposed in the plasma processing space PCS of the processing container 10 with the sprayed film 110, and thereby can stably suppress abnormal discharge of the 1 st member. In particular, the 1 st member has an inclined surface (1 st inclined surface 107 b) on the 1 st surface (side surface 107), and the boundary between the inclined surface and the 2 nd surface (lower surface 108) can be made less likely to be exposed to plasma. Thus, abnormal discharge caused by the plasma entering the boundary between the sprayed film 110 and the exposed surface 111 of the substrate can be avoided.
The 2 nd surface (lower surface 108) of the 1 st member (separator 100) has an exposed surface (substrate exposed surface 111) to which the sprayed film 110 is not applied, at least in a contact region with the 2 nd member (support member 120). Thus, the plasma processing apparatus 1 can bring the exposed surface of the 1 st member and the 2 nd member into contact without interposing the sprayed film 110 therebetween, and can be configured to electrically integrate the 1 st member and the 2 nd member.
The 2 nd member is a support member 120 for supporting the 1 st member (separator 100), and the 1 st member is electrically connected to the support member 120 via the exposed surface (substrate exposed surface 111). In this way, the plasma processing apparatus 1 can electrically communicate the 1 st member with the support member 120, and can function as a high-frequency counter electrode for bias while suppressing abnormal discharge.
The process container 10 includes a stage 40 on which the substrate G is placed, and exhaust ports 33a and 33b arranged below the stage 40, wherein the 1 st member is a partition plate 100 arranged on the outer periphery of the stage 40, the 1 st surface is a side surface 107 of the partition plate 100, and the 2 nd surface is a lower surface 108 of the partition plate 100. Thus, the plasma processing apparatus 1 can apply a structure capable of stably suppressing abnormal discharge to the partition plate 100 provided on the outer periphery of the stage 40.
The separator 100 has a 3 rd surface adjacent to the 1 st surface (side surface 107) and on the opposite side to the 2 nd surface (lower surface 108), and the 3 rd surface constitutes an upper surface (106) of the separator 100, and is exposed to the plasma processing space PCS, and the sputtered film 110 is continuously formed over the 1 st surface and the 3 rd surface. Thus, the plasma processing apparatus 1 can cover the entire surface exposed to the plasma processing space PCS with the sprayed film 110, and can more reliably reduce abnormal discharge of the separator 100.
The sprayed film 110 is formed over the entire periphery of the side surface 107 of the separator 100. Thereby, the plasma processing apparatus 1 can stably prevent the occurrence of abnormal discharge at the side surface 107 of the partition board 100.
The separator 100 is formed in a rectangular shape in a plan view, and the 2 nd member (support member 120) supports the pair of long sides 103 and 104 of the separator 100. Thus, the plasma processing apparatus 1 can stably support the pair of long sides 103, 104 of the separator 100 with the 2 nd member, and suppress abnormal discharge at the side surface of the separator 100 with the sprayed film 110.
The sprayed film 110 is made of a non-conductive ceramic. Thus, the plasma processing apparatus 1 can simply form the sprayed film 110 on the base material 105 of the separator 100, and effectively suppress abnormal discharge of the separator 100.
Further, claim 2 of the present disclosure is a method for manufacturing a plasma processing apparatus 1 for processing a substrate G by plasma, the method comprising: preparing a process container 10 having a plasma process space PCS inside; preparing a 1 st member (partition 100) having at least one 1 st face (side face 107) exposed in the plasma processing space PCS, constituting a part of the internal structure of the processing container 10; a 2 nd member (support member 120) is provided in the process container 10, and the 2 nd member is capable of contacting a 2 nd surface (lower surface 108) of the 1 st member adjacent to the 1 st surface; and setting the 1 st component to the 2 nd component, and in the step of preparing the 1 st component, performing the following processing: an inclined surface (1 st inclined surface 107 b) is formed at a part of the 1 st surface and adjacent to the 2 nd surface; and forming a recess 109 by the inclined surface and the 2 nd member by bringing the 2 nd surface of the 1 st member into contact with the 2 nd member in the step of disposing the 1 st member on the 2 nd member, after forming the inclined surface, and continuously covering at least the 1 st surface and the inclined surface with the sprayed film 110. In this case as well, the method of manufacturing the plasma processing apparatus 1 can stably suppress abnormal discharge of the 1 st member exposed to the plasma processing space PCS of the processing container 10.
The plasma processing apparatus 1 according to the embodiment disclosed herein is exemplified in all aspects, and is not limited thereto. The embodiments can be modified and improved in various ways without departing from the scope of the appended claims and the gist thereof. The matters described in the above embodiments may be structured otherwise within the range of no contradiction, and may be combined within the range of no contradiction. In the embodiment disclosed herein, the description has been made of the case where the 1 st member having the sprayed film 110 is applied to the separator, but the 1 st member is not limited to the separator, and may be applied to a structure in which a connection portion where a plurality of members are electrically connected is exposed to plasma. For example, the 1 st member includes an attachment structure of a viewing window provided on a side wall of the process container 10.
In the plasma processing apparatus 1 of the embodiment disclosed herein, the description has been made as an inductively coupled plasma apparatus having a dielectric window, but an inductively coupled plasma apparatus having a metal window instead of the dielectric window may be used. In addition, the plasma processing apparatus 1 of the present disclosure can also be applied to any of Atomic Layer Deposition (ALD: atomic layer deposition) apparatus, capacitively Coupled Plasma (CCP: capacitively coupled plasma), inductively Coupled Plasma (ICP: inductively coupled plasma), radial Line Slot Antenna (RLSA: radial line slot antenna), electron Cyclotron Resonance Plasma (ECR: electron cyclotron resonance plasma), helicon Wave Plasma (HWP: helicon plasma) types of apparatus.

Claims (9)

1. A plasma processing apparatus for processing a substrate by using plasma, wherein,
the plasma processing apparatus includes:
a processing container having a plasma processing space inside;
a 1 st member provided in the processing container and having at least one 1 st surface exposed to the plasma processing space, the 1 st member constituting a part of an internal structure of the processing container; and
a 2 nd member provided in the processing container and contacting a 2 nd surface of the 1 st member adjacent to the 1 st surface,
the 1 st member has an inclined surface which is a part of the 1 st surface and is adjacent to the 2 nd surface, and a concave portion is formed in a state where the 2 nd member is in contact with the 2 nd surface,
at least the 1 st surface and the inclined surface are coated with a sprayed film continuously.
2. The plasma processing apparatus according to claim 1, wherein,
the 2 nd surface of the 1 st member has an exposed surface to which no spray coating film is applied at least in a contact area with the 2 nd member.
3. The plasma processing apparatus according to claim 2, wherein,
the 2 nd member is a supporting member that supports the 1 st member,
the 1 st member is in electrical communication with the support member via the exposed face.
4. A plasma processing apparatus according to any one of claims 1 to 3, wherein,
the processing container is provided with:
a stage on which the substrate is placed; and
an exhaust port disposed below the stage,
the 1 st member is a spacer arranged on the outer periphery of the stage, the 1 st surface is a side surface of the spacer, and the 2 nd surface is a lower surface of the spacer.
5. The plasma processing apparatus according to claim 4, wherein,
the partition plate has a 3 rd surface adjacent to the 1 st surface and being a surface on the opposite side from the 2 nd surface, the 3 rd surface constituting an upper surface of the partition plate, being exposed to the plasma processing space,
the sprayed film is continuously coated over the 1 st and 3 rd surfaces.
6. The plasma processing apparatus according to claim 4 or 5, wherein,
the sprayed film is formed over the entire circumference of the side surface of the separator.
7. The plasma processing apparatus according to any one of claims 4 to 6, wherein,
the partition plate is formed in a rectangular shape in a plan view,
the 2 nd member supports a pair of long sides of the separator.
8. The plasma processing apparatus according to any one of claims 1 to 7, wherein,
the sprayed film is formed of a non-conductive ceramic.
9. A method of manufacturing a plasma processing apparatus for processing a substrate by using plasma, wherein,
the method for manufacturing the plasma processing apparatus comprises the following steps:
preparing a process container having a plasma processing space therein;
preparing a 1 st member having at least one 1 st surface exposed in the plasma processing space, the 1 st member constituting a part of an internal structure of the processing container;
providing a 2 nd member in the processing container, the 2 nd member being capable of contacting a 2 nd surface of the 1 st member adjacent to the 1 st surface; and
disposing the 1 st member to the 2 nd member,
in the step of preparing the 1 st member, the following processing is performed:
forming an inclined surface at a part of the 1 st surface and adjacent to the 2 nd surface; and
after the inclined surface is formed, at least the 1 st surface and the inclined surface are continuously covered with a spray coating film,
in the step of disposing the 1 st member on the 2 nd member, the 2 nd surface of the 1 st member is brought into contact with the 2 nd member, whereby a recess is formed by the inclined surface and the 2 nd member.
CN202211609933.1A 2021-12-24 2022-12-12 Plasma processing apparatus and method for manufacturing plasma processing apparatus Pending CN116344310A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2021211653A JP2023095644A (en) 2021-12-24 2021-12-24 Plasma processing device and method for manufacturing the same
JP2021-211653 2021-12-24

Publications (1)

Publication Number Publication Date
CN116344310A true CN116344310A (en) 2023-06-27

Family

ID=86875338

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202211609933.1A Pending CN116344310A (en) 2021-12-24 2022-12-12 Plasma processing apparatus and method for manufacturing plasma processing apparatus

Country Status (4)

Country Link
JP (1) JP2023095644A (en)
KR (1) KR20230098018A (en)
CN (1) CN116344310A (en)
TW (1) TW202341277A (en)

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7308711B2 (en) 2019-09-26 2023-07-14 東京エレクトロン株式会社 Plasma processing equipment

Also Published As

Publication number Publication date
KR20230098018A (en) 2023-07-03
TW202341277A (en) 2023-10-16
JP2023095644A (en) 2023-07-06

Similar Documents

Publication Publication Date Title
US9443753B2 (en) Apparatus for controlling the flow of a gas in a process chamber
US8152925B2 (en) Baffle plate and substrate processing apparatus
US20110253311A1 (en) Substrate processing apparatus for performing plasma process
KR101145538B1 (en) Plasma processing apparatus of batch type
KR101876873B1 (en) Plasma processing apparatus
KR20190005750A (en) Plasma processing apparatus
TW201702422A (en) Gas flow control device, showerhead assembly, and semiconductor manufacturing apparatus
US8852386B2 (en) Plasma processing apparatus
US8377254B2 (en) Plasma processing apparatus
KR20130111221A (en) Confined process volume pecvd chamber
US20160118284A1 (en) Plasma processing apparatus
US20200294842A1 (en) Plasma Processing Apparatus
CN111383899A (en) Plasma processing apparatus and plasma processing method
JP2002241946A (en) Plasma processing apparatus
KR20040034515A (en) Plasma processing apparatus
CN116344310A (en) Plasma processing apparatus and method for manufacturing plasma processing apparatus
JP4861208B2 (en) Substrate mounting table and substrate processing apparatus
TWI759470B (en) Gate valve device and substrate processing system
KR101423554B1 (en) Plasma etching equipment and method of etching a wafer using the same
CN110648890A (en) Plasma processing apparatus
KR100627785B1 (en) Induction coupling type plasma processing apparatus
US20180019099A1 (en) Plasma processing apparatus
KR102310388B1 (en) Plasma processing apparatus
KR101364196B1 (en) Batch type ald apparatus and cluster type ald apparatus comprising the same
KR100683255B1 (en) Plasma processing apparatus and exhausting device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination