CN116244130A - 板卡检测方法、装置、测试设备和存储介质 - Google Patents

板卡检测方法、装置、测试设备和存储介质 Download PDF

Info

Publication number
CN116244130A
CN116244130A CN202310242584.2A CN202310242584A CN116244130A CN 116244130 A CN116244130 A CN 116244130A CN 202310242584 A CN202310242584 A CN 202310242584A CN 116244130 A CN116244130 A CN 116244130A
Authority
CN
China
Prior art keywords
test
board
tested
board card
target
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310242584.2A
Other languages
English (en)
Inventor
方子明
刘佳
刘薇
周彪
马小慢
江汛
杜春奇
赵志伟
张磊
姚文浩
吕南南
郭建
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dawning Network Technology Co ltd
Original Assignee
Dawning Network Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dawning Network Technology Co ltd filed Critical Dawning Network Technology Co ltd
Priority to CN202310242584.2A priority Critical patent/CN116244130A/zh
Publication of CN116244130A publication Critical patent/CN116244130A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/2205Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing using arrangements specific to the hardware being tested
    • G06F11/2236Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing using arrangements specific to the hardware being tested to test CPU or processors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/2273Test methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • G06F11/263Generation of test inputs, e.g. test vectors, patterns or sequences ; with adaptation of the tested hardware for testability with external testers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Quality & Reliability (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

本发明公开了一种板卡检测方法、装置、测试设备和存储介质,该方法包括:建立与至少一个待测板卡之间的网络连接,并确定测试任务信息;根据测试任务信息控制待测板卡自生成测试报文;基于测试报文,对待测板卡进行测试;获取测试结果,根据测试结果确定待测板卡是否存在故障。相对于相关技术方案,本实施例提供的板卡检测方法,由于测试报文是根据测试任务信息得到的,因此有利于扩大测试执行范围的选择,且测试报文均是来源于待测板卡的自发包,无需更多额外的测试资源,有利于提高板卡的检测效率,以便测试人员快速高效的验证待测板卡的质量问题。

Description

板卡检测方法、装置、测试设备和存储介质
技术领域
本发明涉及计算机技术领域,尤其涉及一种板卡检测方法、装置、测试设备和存储介质。
背景技术
随着信息技术的不断发展,服务器的应用越来越广泛。为了保证服务器的质量,在服务器出厂前需要对服务器进行功能及性能测试。其中,板卡作为服务器中的重要组成部件,在进行服务器测试时,板卡检测是非常重要的环节。
板卡检测通常用于检测板卡上的器件的焊接质量。目前的检测方式通常是采用人工抽检的方式,由测试人员根据不同的测试需求在测试设备上编写不同的测试脚本程序,然后根据脚本程序对板卡进行测试,但是这种测试方法的测试速度较慢,测试效率较低。
发明内容
本发明提供了一种板卡检测方法、装置、测试设备和存储介质,以解决现有技术中板卡测试效率低的问题。
根据本发明的一方面,提供了一种板卡检测方法,应用于网络设备中的板卡,所述板卡检测方法包括:
建立与至少一个待测板卡之间的网络连接,并确定测试任务信息;
根据所述测试任务信息控制所述待测板卡自生成测试报文;
基于所述测试报文,对所述待测板卡进行测试;
获取测试结果,根据所述测试结果确定所述待测板卡是否存在故障。
由于测试报文是根据测试任务信息得到的,因此有利于扩大测试执行范围的选择,且测试报文均是来源于待测板卡的自发包,无需更多额外的测试资源,有利于提高板卡的检测效率,以便测试人员快速高效的验证待测板卡的质量问题。
可选地,所述根据所述测试任务信息控制所述待测板卡自生成测试报文,包括:
根据所述测试任务信息确定所述待测板卡上用于生成所述测试报文的目标功能模块;
控制所述目标功能模块自生成对应所述测试任务信息的所述测试报文;
其中,所述测试任务信息包括业务通路测试信息、管理通路测试信息和故障模拟测试信息,不同的所述测试任务信息对应的所述目标功能模块不同,利用待测板卡上的目标功能模块自生成测试报文,以节省测试资源。
可选地,所述待测板卡包括多个目标测试模块,若所述测试任务信息为所述业务通路测试信息,则基于所述测试报文,对所述待测板卡进行测试的步骤包括:
根据所述业务通路测试信息配置所述目标功能模块与自身或各所述目标测试模块之间的连接,形成本板转发通路;
控制所述目标功能模块将所述测试报文经所述本板转发通路发送至自身或对应的所述目标测试模块,再控制所述测试报文原路返回至所述目标功能模块,进行板卡测试。
可选地,所述网络设备还包括背板和工装板,所述背板与所述待测板卡连接,所述工装板与所述背板连接;若所述测试任务信息为所述业务通路测试信息,则基于所述测试报文,对所述待测板卡进行测试的步骤还包括:
根据所述业务通路测试信息配置所述目标功能模块、所述目标测试模块、所述背板和所述工装板之间的连接,形成跨板转发通路,以节省测试时间,从而提高测试效率;
控制所述目标功能模块将所述测试报文经所述跨板转发通路发送至所述目标测试模块,再经所述工装板返回至所述目标功能模块,进行板卡测试。
可选地,所述待测板卡包括多个目标测试模块,若所述测试任务信息为所述管理通路测试信息,则基于所述测试报文,对所述待测板卡进行测试的步骤包括:
根据所述管理通路测试信息配置所述目标功能模块与各所述目标测试模块之间的连接,形成转发通路;
控制所述目标功能模块将所述测试报文发经所述转发通路发送至对应的所述目标测试模块,再由所述目标测试模块将所述测试报文经所述转发通路转发至所述目标功能模块,进行板卡测试。
可选地,若所述测试任务信息为所述故障模拟测试信息,则基于所述测试报文,对所述待测板卡进行测试的步骤包括:
控制所述目标功能模块将所述测试报文发送至所述待测板卡的上电控制单元,以对所述待测板卡重复进行上下电操作,进行板卡测试,以增加板卡的稳定性和可靠性。
可选地,所述测试结果包括所述待测板卡的收发包数据,以增强测试过程和测试结果的可读性,便于快速确定待测板卡是否存在故障。
根据本发明的另一方面,提供了一种板卡检测装置,用于对网络设备中的待测板卡进行测试,该检测装置包括:
测试任务获取模块,用于建立与至少一个待测板卡之间的网络连接,并获取测试任务信息;
测试报文生成控制模块,用于根据所述测试任务信息控制所述待测板卡自生成测试报文;
测试模块,用于基于所述测试报文,对所述待测板卡进行测试;
结果分析模块,用于获取测试结果,根据所述测试结果确定所述待测板卡是否存在故障。
根据本发明的另一方面,提供了一种测试设备,包括一个或多个处理器;存储装置,用于存储一个或多个程序,当所述一个或多个程序被所述一个或多个处理器执行,使得所述一个或多个处理器实现本发明任意实施例所提供的板卡检测方法。
根据本发明的另一方面,提供了一种计算机可读存储介质,其上存储有计算机程序,该程序被处理器执行时实现本发明任意实施例所提供的板卡检测方法。
本发明实施例提供的技术方案,通过测试设备在与至少一个待测板卡之间建立网络连接,并确定测试任务后,根据测试任务信息控制待测板卡自生成测试报文,然后根据测试报文对待测板卡进行测试,最后基于获取到的测试结果来判断待测板卡是否存在故障问题。相对于相关技术方案,本实施例提供的板卡检测方法,由于测试报文是根据测试任务信息得到的,因此有利于扩大测试执行范围的选择,且测试报文均是来源于待测板卡的自发包,无需更多额外的测试资源,有利于提高板卡的检测效率,以便测试人员快速高效的验证待测板卡的质量问题。
应当理解,本部分所描述的内容并非旨在标识本发明的实施例的关键或重要特征,也不用于限制本发明的范围。本发明的其它特征将通过以下的说明书而变得容易理解。
附图说明
为了更清楚地说明本发明实施例中的技术方案,下面将对实施例描述中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本发明的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他的附图。
图1为本发明实施例提供的一种板卡检测方法的流程图;
图2为本发明实施例提供的另一种板卡检测方法的流程图;
图3为本发明实施例提供的另一种板卡检测方法的流程图;
图4为本发明实施例提供的另一种板卡检测方法的流程图;
图5为本发明实施例提供的另一种板卡检测方法的流程图;
图6为本发明实施例提供的另一种板卡检测方法的流程图;
图7为本发明实施例提供的一种板卡业务通路的结构示意图;
图8为本发明实施例提供的一种板卡管理通路的结构示意图;
图9为本发明实施例提供的一种板卡检测装置的结构示意图;
图10为本发明实施例提供的一种测试设备的结构示意图。
具体实施方式
为了使本技术领域的人员更好地理解本发明方案,下面将结合本发明实施例中的附图,对本发明实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例仅仅是本发明一部分的实施例,而不是全部的实施例。基于本发明中的实施例,本领域普通技术人员在没有做出创造性劳动前提下所获得的所有其他实施例,都应当属于本发明保护的范围。
需要说明的是,本发明的说明书和权利要求书及上述附图中的术语“第一”、“第二”等是用于区别类似的对象,而不必用于描述特定的顺序或先后次序。应该理解这样使用的数据在适当情况下可以互换,以便这里描述的本发明的实施例能够以除了在这里图示或描述的那些以外的顺序实施。此外,术语“包括”和“具有”以及他们的任何变形,意图在于覆盖不排他的包含,例如,包含了一系列步骤或单元的过程、方法、系统、产品或设备不必限于清楚地列出的那些步骤或单元,而是可包括没有清楚地列出的或对于这些过程、方法、产品或设备固有的其它步骤或单元。
图1为本发明实施例提供的一种板卡检测方法的流程图,该检测方法可适用于对网络设备中的板卡进行焊接质量等方面的检测,网络设备的板卡指的是网络设备上包括多个端口和多个功能模块,且能够转发报文的插卡,也称作PCBA(Printed Circuit BoardAssembly)。该方法可以由测试设备来执行,该测试设备可以由软件和/或硬件的形式实现,该测试设备可以包括服务器或计算机。该测试方法包括如下步骤:
S110、建立与至少一个待测板卡之间的网络连接,并确定测试任务信息。
其中,建立的网络连接指的是,通过测试设备建立测试设备与待测板卡之间的连接,例如可以通过标准网络接口建立二者之间的网络连接。这里,待测板卡可以有多个。在建立测试设备与待测板卡之间的网络连接后,通过测试设备获取并确定测试任务信息,以便根据测试任务信息对板卡进行测试。
测试任务信息具体为测试设备的测试执行范围的选择,用来确定当前需要进行哪一种或哪一个模块的测试。可选地,在本实施例中,测试任务信息可以包括业务通路测试信息、管理通路测试信息和故障模拟测试信息,不同的测试任务信息对应的测试执行范围不同。其中,业务通路测试信息对应待测板卡的功能测试,管理通路测试信息对应待测板卡的性能测试,故障模拟测试信息对应待测板卡的稳定性和可靠性测试。
S120、根据测试任务信息控制待测板卡自生成测试报文。
具体地,测试报文为用来对待测板卡进行功能性能测试的一种测试数据,如流量报文。其中,测试报文由待测板卡自身生成,为待测板卡的自发包。在具体实施过程中,测试设备中安装有测试软件,在建立测试设备与待测板卡之间的网络连接后,通过测试软件根据确定的测试任务信息控制待测板卡自生成测试报文。例如,测试报文可以由待测板卡上的芯片生成。
S130、基于测试报文,对待测板卡进行测试。
具体地,在控制待测板卡自生成测试报文后,待测板卡将该测试报文发送至自身上的待测试模块,并形成报文回环通路,以对待测板卡进行测试。其中,由于测试报文是待测板卡自生成的,因此,针对不同的待测板卡,测试报文的差异不会对不同测试板卡的测试结果带来不良影响,从而使得在进行测试时,不同测试板卡生成的测试报文可以相同,也可以不同,便于对不同板卡进行统一测试管理。
S140、获取测试结果,根据测试结果确定待测板卡是否存在故障。
具体地,基于测试报文对待测板卡进行测试,并生成测试结果。对测试结果进行分析,以确定待测板卡上是否存在焊接等质量问题。在本实施例中,测试结果可以为待测板卡的收发包数据,以增强测试过程及测试结果的可读性,可以根据待测板卡中任意回环通路中的发包数据和收包数据是否一致来判断该回环通路中是否存在故障。若收发包数据一致,则测试通过;若收发包数据不一致,则表示测试异常,测试人员可以根据回环通路上的收发包数据快速、直观地确定故障点。
本发明实施例提供的技术方案,通过测试设备在与至少一个待测板卡之间建立网络连接,并确定测试任务后,根据测试任务信息控制待测板卡自生成测试报文,然后根据测试报文对待测板卡进行测试,最后基于获取到的测试结果来判断待测板卡是否存在故障问题。相对于相关技术方案,本实施例提供的板卡检测方法,由于测试报文是根据测试任务信息得到的,因此有利于扩大测试执行范围的选择,且测试报文均是来源于待测板卡的自发包,无需更多额外的测试资源,有利于提高板卡的检测效率,以便测试人员快速高效的验证待测板卡的质量问题。
图2为本发明实施例提供的另一种板卡检测方法的流程图,参考图2,在上述技术方案的基础上,可选地,该检测方法具体包括:
S110、建立与至少一个待测板卡之间的网络连接,并确定测试任务信息。
S1201、根据测试任务信息确定待测板卡上用于生成测试报文的目标功能模块。
S1202、控制目标功能模块自生成对应测试任务信息的测试报文。
具体地,板卡上设置有多个功能模块,通过管理各个功能模块之间的配置关系,可以实现各功能模块之间的交互,以及多板卡之间的交互功能。例如,功能模块可以包括但不限于接口、用于流量处理的各类芯片(FPGA、X86等)、以及用于实现流量交换功能的交换芯片。在本实施例中,设定自生成测试报文的功能模块为目标功能模块,被测试的功能模块为目标测试模块。其中,目标功能模块可以为一个,也可以为多个,目标测试模块可以为除了目标功能模块以外的其他功能模块,也可以为目标功能模块本身,具体可根据板卡类型和/测试任务信息进行确定。
针对不同的测试任务信息,待测板卡上用于自生成测试报文的功能模块也可能不同。先根据测试任务信息确定用于自生成测试报文的目标功能模块,然后控制目标功能模块自生成对应该测试任务信息的测试报文。这里,不同的测试任务信息对应的目标功能模块不同,不同的目标功能模块自生成的测试报文可以相同,也可以不同,可根据实际情况灵活选择。
S130、基于测试报文,对待测板卡进行测试。
S140、获取测试结果,根据测试结果确定待测板卡是否存在故障。
在一个可选实施例中,如图3所示,图3为本发明实施例提供的另一种板卡检测方法的流程图,当测试任务信息为业务通路测试信息时,该检测方法具体包括:
S110、建立与至少一个待测板卡之间的网络连接,并确定测试任务信息。
S1201、根据测试任务信息确定待测板卡上用于生成测试报文的目标功能模块。
S1202、控制目标功能模块自生成对应测试任务信息的测试报文。
S1301、根据业务通路测试信息配置目标功能模块与自身或各目标测试模块之间的连接,形成本板转发通路。
其中,当测试任务信息为业务通路测试信息时,目标功能模块为对应业务处理的功能模块,例如,可以为业务处理芯片。根据业务通路测试信息配置该目标功能模块与其本身或者与目标测试模块之间的连接,以使得目标功能模块发出的测试报文能够转发出去,以便对板卡的业务处理功能进行测试。
S1302、控制目标功能模块将测试报文经本板转发通路发送至自身或对应的目标测试模块,再控制测试报文原路返回至目标功能模块,进行板卡测试。
在配置完成测试报文的转发通路后,控制目标功能模块将其自身生成的测试报文将转发通路发送至其自身(此时的目标测试模块即为目标功能模块本身),如,在目标功能模块的接收端和发送端之间形成转发通路(回环通路),控制目标功能模块将其自身生成的测试报文由发送端传输至接收端。或者,控制目标功能模块将其自身生成的测试报文将转发通路发送至目标测试模块,再控制该目标测试模块将测试报文原路返回至目标功能模块。以此对板卡的业务通路进行测试。
S140、获取测试结果,根据测试结果确定待测板卡是否存在故障。
其中,测试结构包括目标测试模块或目标功能模块的收发包数据,通过查验目标功能模块或目标测试模块的收发包数据是否一致来验证转发通路上是否存在故障。并且通过获取到的测试结果,可以快速确定是目标测试模块的发端存在故障还是收端存在故障,有利于提高检测效率。
需要注意的是,上述实施例中的转发通路为本板转发通路,也即待测板卡上的各个模块形成本板回环。当然,在其他实施例中,还可以跨板转发。图4为本发明实施例提供的另一种板卡检测方法的流程图,参考图4,当测试任务信息为业务通路测试信息时,该检测方法具体包括:
S110、建立与至少一个待测板卡之间的网络连接,并确定测试任务信息。
S1201、根据测试任务信息确定待测板卡上用于生成测试报文的目标功能模块。
S1202、控制目标功能模块自生成对应测试任务信息的测试报文。
S1311、根据业务通路测试信息配置目标功能模块、目标测试模块、背板和工装板之间的连接,形成跨板转发通路。
S1312、控制目标功能模块将测试报文经跨板转发通路发送至目标测试模块,再经工装板返回至目标功能模块,进行板卡测试。
具体地,网络设备还包括背板和工装板,背板和工装板上均设置有连接器,背板与待测板卡连接,工装板与背板连接,工装板主要用于将测试报文进行回环。当对待测板卡进行跨板转发进行检测时,目标功能模块将其自身产生的测试报文发送至目标测试模块,目标测试模块再将测试报文转发至背板,然后通过工装板原路返回至目标功能模块,从而实现跨板转发测试。
S140、获取测试结果,根据测试结果确定待测板卡是否存在故障。
本实施例提供的技术方案,通过设置工装板能够对待测板卡进行流量跨板转发测试,相对于现有技术中采用真实的交换板进行测试的方法,工装板设计简单,无需使用交换板中的相关资源,即可实现跨板转发的测试报文回环功能,大大节省了测试时间,从而有利于提高测试效率。
在另一个可选实施例中,如图5所示,图5为本发明实施例提供的另一种板卡检测方法的流程图,当测试任务信息为管理通路测试信息时,该检测方法具体包括:
S110、建立与至少一个待测板卡之间的网络连接,并确定测试任务信息。
S1201、根据测试任务信息确定待测板卡上用于生成测试报文的目标功能模块。
S1202、控制目标功能模块自生成对应测试任务信息的测试报文。
S1321、根据管理通路测试信息配置目标功能模块与各目标测试模块之间的连接,形成转发通路。
其中,当测试任务信息为管理通路测试信息时,目标功能模块为对应能够实现管理配置功能的功能模块。根据管理通路测试信息配置该目标功能模块与目标测试模块之间的连接,以使得目标功能模块发出的测试报文能够转发出去,以便对板卡的管理功能进行测试。
S1322、控制目标功能模块将测试报文发经转发通路发送至对应的目标测试模块,再由目标测试模块将测试报文经转发通路转发至目标功能模块,进行板卡测试。
在配置完成测试报文的转发通路后,控制目标功能模块将其自身生成的测试报文将转发通路发送至目标测试模块,在控制该目标测试模块将测试报文原路返回至目标功能模块。示例性地,通过脚本控制目标功能模块自生成测试报文,并控制该目标功能模块以最大管理通路性能将测试报文发送至目标测试模块,再控制该目标测试模块仍以最大管理通路性能将测试报文原路返回至目标功能模块,以此对板卡的管理通路进行测试。
S140、获取测试结果,根据测试结果确定待测板卡是否存在故障。
在又一个可选实施例中,如图6所示,图6为本发明实施例提供的另一种板卡检测方法的流程图,当测试任务信息为故障模拟测试信息时,该检测方法具体包括:
S110、建立与至少一个待测板卡之间的网络连接,并确定测试任务信息。
S1201、根据测试任务信息确定待测板卡上用于生成测试报文的目标功能模块。
S1202、控制目标功能模块自生成对应测试任务信息的测试报文。
S1331、控制目标功能模块将测试报文发送至待测板卡的上电控制单元,以对待测板卡重复进行上下电操作,进行板卡测试。
其中,待测板卡还包括上下电控制单元,用于控制板卡的上下电操作。应当理解的是,在对板卡进行检测时,需要先对板卡上电,以使得板卡能够运行。当测试任务信息为故障模拟测试信息时,目标功能模块可以为对应实现管理功能的功能模块,也可以为其他功能模块。根据故障模拟测试信息配置目标功能模块与上下电控制单元之间的连接,并控制目标功能模块将测试报文发送至上下电控制单元,使得板卡进行上下电操作,并多次重复该步骤以使得板卡重复进行上下电,从而对板卡进行稳定性与可靠性的检测。
S140、获取测试结果,根据测试结果确定待测板卡是否存在故障。
其中,当测试任务信息为故障模拟测试信息时,对应的测试结果可以为板卡在上电和下电时的启停状态。当然,在一些实施例中,测试结果还可以同时包括收发包数据,以验证测试报文回路上的硬件焊接质量。
可选地,测试设备可为待测板卡提供电源,因此,还可以通过测试设备直接对待测板卡(可以为多个板卡)进行整机测试,无需通过与待测板卡之间的交互,即可实现整机上下电测试,从而达到待测板卡的启动稳定性测试的目的,有利于进一步提高测试效率。
在本实施例中,通过对待测板卡进行故障模拟测试,可以进一步解决现有技术中存在的测试覆盖不足的问题,能够进一步提供对产品质量的把控,有效保证了产品的可靠性。
在本实施例中,测试结果可以以报告形式呈现,在以上测试全部完成后,能够生成总的测试报告,且所有的测试项目的测试日志都保存在固定文件中,通过读取该固定文件就能清楚看到所有的测试过程。如出现部分项目未通过测试,即可通过脚本配置对未通过测试的项目进行单独测试,无需对待测板卡全部重测,有利于节省测试资源,提高测试效率。
图7为本发明实施例提供的一种板卡业务通路的结构示意图,图8为本发明实施例提供的一种板卡管理通路的结构示意图,以业务板卡为待测板卡为例对本发明提供的检测方法进行详细说明,参考图7和图8,待测板卡上包括多个前端口10、第一业务处理芯片20、第二业务处理芯片30、第三业务处理芯片40、流量交换芯片50、连接器60;网络设备中还包括有背板70和工装板80,其中,背板70和工装板80连接在一起,并通过连接器60与待测板卡连接。这里,前端口10用于接入流量,前端口10均为网络流量接口,接口形态包括但不限于100GE、40GE、10GE、10GPOS等。第一业务处理芯片20和第二业务处理芯片30为板卡的核心处理芯片,用于进行嵌入式处理,主要负责流量的总接入、处理以及本板转发,第一业务处理芯片20和第二业务处理芯片30分别对应一组前端口10。第三业务处理芯片40为辅助处理芯片,主要用于处理第一业务处理芯片20和第二业务处理芯片30无法处理的流量(可用于深度处理,如4-7层的流量交换),以及对本板的主管理。
将待测板卡放置于机框内,对待测板卡进行上电启动。当测试任务信息为业务通路测试信息时,主要包括前端口10、第一业务处理芯片20、第二业务处理芯片30、第三业务处理芯片40和流量交换芯片50的测试。
(1)第一业务处理芯片20和第二业务处理芯片30的自测试。对第一业务处理芯片20进行自测试时,目标功能模块和目标测试模块均为第一业务处理芯片20,测试设备通过脚本控制第一业务处理芯片30生成测试报文,如线速网络报文,并控制第一业务处理芯片30将该测试报文发送至其内部的通路、配套内存、或其他辅助芯片等。通过检测第一业务处理芯片30的收发包是否一致来判定第一业务处理芯片30是否存在故障。例如,第一业务处理芯片30发出1000个报文,且收到1000个报文,则第一业务处理芯片30测试通过;若第一业务处理芯片30发出1000个报文,且收到900个报文,则第一业务处理芯片30测试未通过。第二业务处理芯片30的自测试与第一业务处理芯片20的自测试过程相同,不再赘述。
(2)第三业务处理芯片40的测试。目标功能模块为第一业务处理芯片20和第二业务处理芯片30,目标测试模块为第三业务处理芯片40。第一业务处理芯片20和第二业务处理芯片30分别发送测试报文至第三业务处理芯片40的配套内存,再通过第三业务处理芯片40原路返回。通过检测第一业务处理芯片20或第二业务处理芯片30的收发包是否一致来判定第三业务处理芯片40是否存在故障。其中,若第一业务处理芯片20或第二业务处理芯片30的收发包不一致,则可以具体查看是发报文的通路上存在故障,还是收报文的通路上存在故障,以便快速确定故障位置,以提高检测效率。
(3)流量交换芯片50的测试。目标功能模块为第一业务处理芯片20和第二业务处理芯片30,目标测试模块为流量交换芯片50。第一业务处理芯片20和第二业务处理芯片30分别发送测试报文至流量交换芯片50。其中,如果为本板转发,则流量交换芯片50将测试报文转发至第二业务处理芯片30或第一业务处理芯片20(若第一业务处理芯片20发送测试报文,则第二业务处理芯片30接收测试报文,反之,若第二业务处理芯片30发送测试报文,则第一业务处理芯片20接收测试报文)。
若检测跨板转发,则流量交换芯片50将测试报文转发至背板70,通过工装板80将测试报文原路转发至对应的处理芯片。
通过检测第一业务处理芯片20和第二业务处理芯片30分别发送以及接收到的报文数量判定流量交换芯片50是否存在故障。同样可以确定是发报文的通路上存在故障,还是收报文的通路上存在故障。
(4)前端口10测试。目标功能模块为第一业务处理芯片20和第二业务处理芯片30,目标测试模块为前端口10。由第一业务处理芯片20和第二业务处理芯片30分别向其对应的前端口10发送测试报文,例如,前端口10为万兆端口,则第一业务处理芯片20和第二业务处理芯片30可以以万兆发包速率向对应的前端口10发送测试报文。其中,每一个前端口10均具备自收自发功能,前端口10的发端与收端通过光纤自回环,通过检测经过每一前端口10的收发包数据是否一致来判定该前端口是否存在故障(如焊接质量问题等)。或者,每两个前端口10为一组,两个前端口10之间通过光纤回环。例如,1号前端口10的发端与2号前端口10的收端连接,1号前端口10的收端与2号前端口10的发端连接,第一业务处理芯片20将测试报文发送至1号前端口10的收端,并经2号前端口10的发端返回至第一业务处理芯片20,通过检测第一业务处理芯片20是否出现丢包来判定前端口10是否存在故障。
当测试任务信息为管理通路测试信息时,主要为第三业务处理芯片40与第一业务处理芯片20、第二业务处理芯片30、第三业务处理芯片40和流量交换芯片50之间的管理通路的测试,如图8所示。具体地,目标功能模块为第三业务处理芯片40,目标测试模块为第一业务处理芯片20、第二业务处理芯片30和流量交换芯片50。控制第三业务处理芯片40自生成测试报文,并以最大管理通路性能将测试报文分别发送至第一业务处理芯片20、第二业务处理芯片30和流量交换芯片50,第一业务处理芯片20、第二业务处理芯片30和流量交换芯片50再将测试报文原路转发至第三业务处理芯片40,通过检测第三业务处理芯片40的收发包数据是否一致来判定各管理通路是否存在故障。
当测试任务信息为故障模拟测试信息时,主要为待测板卡的上下电以及重启测试。通过脚本控制第一业务处理芯片20、第二业务处理芯片30或第三业务处理芯片40自生成测试报文,经测试报文发送至待测板卡上用于实现控制上下电功能的芯片或单元,对待测板卡进行故障模拟测试,来验证待测板卡的启动稳定性与可靠性。
在本实施例中,机框中可同时放置多块(如12块)待测板卡,各待测板卡之间相互独立。还可以通过测试系统中的电源管理器来直接控制待测板卡的上下电,有利于提高检测效率。
在完成上述全部测试后,测试设备会生成一个总的测试报告,以便查看各测试项目的测试结果。通过本发明实施例提供的检测方法,可以在生产环境下对板卡进行快速、高效的检测,以便及时发现板卡的焊接质量等故障问题。
需要说明的是,本方法具有普适性,不仅仅适用于上述实施例中的板卡,可根据板卡的实际情况进行适当调整。
可选地,本发明还提供了一种板卡检测装置,用于对网络设备中的待测板卡进行测试。图9为本发明实施例提供的一种板卡检测装置的结构示意图,参考图9,该装置包括:
测试任务获取模块21,用于建立与至少一个待测板卡之间的网络连接,并获取测试任务信息。
测试报文生成控制模块22,用于根据测试任务信息控制待测板卡自生成测试报文。
测试模块23,用于基于测试报文,对待测板卡进行测试。
结果分析模块24,用于获取测试结果,根据测试结果确定待测板卡是否存在故障。
本实施例提供的板卡检测装置与本发明任意实施例所提供的板卡检测方法属于相同的发明构思,具备相同的有益效果,在此不再赘述。
图10为本发明实施例提供的一种测试设备的结构示意图,参考图10,该测试设备包括处理器31、存储器32、输入装置33和输出装置34;处理器31的数量可以是一个或多个,图10仅示出一个处理器31,处理器31、存储器32、输入装置33和输出装置34连接。
存储器32作为一种计算机可读存储介质,可用于存储软件程序、计算机可执行程序以及模块,如本发明实施例中的板卡检测方法对应的程序指令/模块(例如,图9所示的各模块)。处理器31通过运行存储在存储器32中的软件程序、指令以及模块,从而执行测试设备的各种功能应用以及数据处理,即实现上述的板卡检测方法。
存储器32可主要包括存储程序区和存储数据区,其中,存储程序区可存储操作系统、至少一个功能所需的应用程序;存储数据区可存储根据终端的使用所创建的数据等。此外,存储器32可以包括高速随机存取存储器,还可以包括非易失性存储器,例如至少一个磁盘存储器件、闪存器件、或其他非易失性固态存储器件。在一些实例中,存储器32可进一步包括相对于处理器31远程设置的存储器,这些远程存储器可以通过网络连接至测试设备。上述网络的实例包括但不限于互联网、企业内部网、局域网、移动通信网及其组合。输入装置33可用于接收输入的数字或字符信息,以及产生与测试设备的用户设置以及功能控制有关的键信号输入。输出装置34可用于输出测试设备处理控制的信息。
在一些实例中,测试设备可以为服务器或计算机(如PC),其中,服务器可以为一台,也可以为多台服务器组成的服务器集群。
可选地,本发明实施例还提供了一种计算机可读存储介质,其上存储有计算机程序,该程序被处理器执行时实现如本发明任意实施例所述的板卡检测方法。应当理解,本实施例提供的计算机可读存储介质,其上存储的计算机程序不限于如上述的方法操作,还可以执行本发明任意实施例所提供的板卡检测方法中的相关操作。
通过以上关于实施方式的描述,所属领域的技术人员可以清楚地了解到,本发明可借助软件及必需的通用硬件来实现,如测试系统中的电源管理器、机框等硬件设备,当然也可以通过硬件实现,但很多情况下前者是更佳的实施方式。
应该理解,可以使用上面所示的各种形式的流程,重新排序、增加或删除步骤。例如,本发明中记载的各步骤可以并行地执行也可以顺序地执行也可以不同的次序执行,只要能够实现本发明的技术方案所期望的结果,本文在此不进行限制。
上述具体实施方式,并不构成对本发明保护范围的限制。本领域技术人员应该明白的是,根据设计要求和其他因素,可以进行各种修改、组合、子组合和替代。任何在本发明的精神和原则之内所作的修改、等同替换和改进等,均应包含在本发明保护范围之内。

Claims (10)

1.一种板卡检测方法,应用于网络设备中的板卡,其特征在于,所述板卡检测方法包括:
建立与至少一个待测板卡之间的网络连接,并确定测试任务信息;
根据所述测试任务信息控制所述待测板卡自生成测试报文;
基于所述测试报文,对所述待测板卡进行测试;
获取测试结果,根据所述测试结果确定所述待测板卡是否存在故障。
2.根据权利要求1所述的板卡检测方法,其特征在于,所述根据所述测试任务信息控制所述待测板卡自生成测试报文,包括:
根据所述测试任务信息确定所述待测板卡上用于生成所述测试报文的目标功能模块;
控制所述目标功能模块自生成对应所述测试任务信息的所述测试报文;
其中,所述测试任务信息包括业务通路测试信息、管理通路测试信息和故障模拟测试信息。
3.根据权利要求2所述的板卡检测方法,其特征在于,所述待测板卡包括多个目标测试模块,若所述测试任务信息为所述业务通路测试信息,则基于所述测试报文,对所述待测板卡进行测试的步骤包括:
根据所述业务通路测试信息配置所述目标功能模块与自身或各所述目标测试模块之间的连接,形成本板转发通路;
控制所述目标功能模块将所述测试报文经所述本板转发通路发送至自身或对应的所述目标测试模块,再控制所述测试报文原路返回至所述目标功能模块,进行板卡测试。
4.根据权利要求2所述的板卡检测方法,其特征在于,所述网络设备还包括背板和工装板,所述背板与所述待测板卡连接,所述工装板与所述背板连接;若所述测试任务信息为所述业务通路测试信息,则基于所述测试报文,对所述待测板卡进行测试的步骤还包括:
根据所述业务通路测试信息配置所述目标功能模块、所述目标测试模块、所述背板和所述工装板之间的连接,形成跨板转发通路;
控制所述目标功能模块将所述测试报文经所述跨板转发通路发送至所述目标测试模块,再经所述工装板返回至所述目标功能模块,进行板卡测试。
5.根据权利要求2所述的板卡检测方法,其特征在于,所述待测板卡包括多个目标测试模块,若所述测试任务信息为所述管理通路测试信息,则基于所述测试报文,对所述待测板卡进行测试的步骤包括:
根据所述管理通路测试信息配置所述目标功能模块与各所述目标测试模块之间的连接,形成转发通路;
控制所述目标功能模块将所述测试报文发经所述转发通路发送至对应的所述目标测试模块,再由所述目标测试模块将所述测试报文经所述转发通路转发至所述目标功能模块,进行板卡测试。
6.根据权利要求2所述的板卡检测方法,其特征在于,若所述测试任务信息为所述故障模拟测试信息,则基于所述测试报文,对所述待测板卡进行测试的步骤包括:
控制所述目标功能模块将所述测试报文发送至所述待测板卡的上电控制单元,以对所述待测板卡重复进行上下电操作,进行板卡测试。
7.根据权利要求1所述的板卡检测方法,其特征在于,所述测试结果包括所述待测板卡的收发包数据。
8.一种板卡检测装置,用于对网络设备中的待测板卡进行测试,其特征在于,包括:
测试任务获取模块,用于建立与至少一个待测板卡之间的网络连接,并获取测试任务信息;
测试报文生成控制模块,用于根据所述测试任务信息控制所述待测板卡自生成测试报文;
测试模块,用于基于所述测试报文,对所述待测板卡进行测试;
结果分析模块,用于获取测试结果,根据所述测试结果确定所述待测板卡是否存在故障。
9.一种测试设备,其特征在于,包括:
一个或多个处理器;
存储装置,用于存储一个或多个程序,
当所述一个或多个程序被所述一个或多个处理器执行,使得所述一个或多个处理器实现如权利要求1-7中任一所述的板卡检测方法。
10.一种计算机可读存储介质,其上存储有计算机程序,其特征在于,该程序被处理器执行时实现如权利要求1-7中任一所述的板卡检测方法。
CN202310242584.2A 2023-03-09 2023-03-09 板卡检测方法、装置、测试设备和存储介质 Pending CN116244130A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310242584.2A CN116244130A (zh) 2023-03-09 2023-03-09 板卡检测方法、装置、测试设备和存储介质

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202310242584.2A CN116244130A (zh) 2023-03-09 2023-03-09 板卡检测方法、装置、测试设备和存储介质

Publications (1)

Publication Number Publication Date
CN116244130A true CN116244130A (zh) 2023-06-09

Family

ID=86629420

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202310242584.2A Pending CN116244130A (zh) 2023-03-09 2023-03-09 板卡检测方法、装置、测试设备和存储介质

Country Status (1)

Country Link
CN (1) CN116244130A (zh)

Similar Documents

Publication Publication Date Title
CN103138988B (zh) 网络故障的定位处理方法及装置
CN106776346B (zh) Ccmts设备的测试方法和装置
CN112367680B (zh) 基于智能电表的外部通信测试方法、装置和计算机设备
US10958616B2 (en) Methods, systems, and computer readable media for network test configuration using virtual local area network (VLAN) scanning
CN111200544B (zh) 一种网络端口流量测试方法和装置
CN110650041A (zh) Ipran网络故障定位方法及装置
Gheorghe et al. SDN-RADAR: Network troubleshooting combining user experience and SDN capabilities
CN106656562A (zh) 一种olt批量下发onu配置的检测方法及装置
CN111314180A (zh) 一种以太网链路测试方法、终端及存储介质
CN113067738A (zh) 一种网络拓扑可视化功能设备兼容性测试方法及系统
CN108111367A (zh) 性能测试方法及装置
CN111858375A (zh) 软件测试方法、装置、电子设备和介质
CN110445678B (zh) 组播网络检测方法、装置及转发设备
CN109428783B (zh) 网络设备测试方法及装置
CN101800672B (zh) 设备检测方法和设备
CN116244130A (zh) 板卡检测方法、装置、测试设备和存储介质
CN116545891A (zh) 一种基于智能设备的自动化配网测试方法
CN114598629B (zh) 一种终端类型识别自动化测试系统及计算机设备
CN116166536A (zh) 一种测试方法、装置、电子设备及存储介质
CN115766510A (zh) 一种交换机环路检测稳定性的测试方法及可读介质
CN112034296B (zh) 一种航电故障注入系统、方法
CN113037581B (zh) 背板通道测试方法、装置、板卡及计算机可读存储介质
US10932148B2 (en) Apparatus, system and method for testing of communication networks with prescribed communication traffic
JP5367002B2 (ja) 監視サーバおよび監視プログラム
CN101945016A (zh) 设备网管稳定性测试方法及装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination