CN115917723B - 分布式输入/输出(io)控制和互锁环架构 - Google Patents

分布式输入/输出(io)控制和互锁环架构 Download PDF

Info

Publication number
CN115917723B
CN115917723B CN202180050893.9A CN202180050893A CN115917723B CN 115917723 B CN115917723 B CN 115917723B CN 202180050893 A CN202180050893 A CN 202180050893A CN 115917723 B CN115917723 B CN 115917723B
Authority
CN
China
Prior art keywords
bus
network
coupled
chamber
slit valve
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202180050893.9A
Other languages
English (en)
Other versions
CN115917723A (zh
Inventor
詹姆斯·R·里德
基基什伊·N·尚
马丁·A·乔利夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN115917723A publication Critical patent/CN115917723A/zh
Application granted granted Critical
Publication of CN115917723B publication Critical patent/CN115917723B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/04Programme control other than numerical control, i.e. in sequence controllers or logic controllers
    • G05B19/05Programmable logic controllers, e.g. simulating logic interconnections of signals according to ladder diagrams or function charts
    • G05B19/052Linking several PLC's
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/40Bus structure
    • G06F13/4004Coupling between buses
    • G06F13/4027Coupling between buses using bus bridges
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/04Programme control other than numerical control, i.e. in sequence controllers or logic controllers
    • G05B19/05Programmable logic controllers, e.g. simulating logic interconnections of signals according to ladder diagrams or function charts
    • G05B19/054Input/output
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/04Programme control other than numerical control, i.e. in sequence controllers or logic controllers
    • G05B19/05Programmable logic controllers, e.g. simulating logic interconnections of signals according to ladder diagrams or function charts
    • G05B19/058Safety, monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/28Data switching networks characterised by path configuration, e.g. LAN [Local Area Networks] or WAN [Wide Area Networks]
    • H04L12/40Bus networks
    • H04L12/40006Architecture of a communication node
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/28Data switching networks characterised by path configuration, e.g. LAN [Local Area Networks] or WAN [Wide Area Networks]
    • H04L12/40Bus networks
    • H04L12/40006Architecture of a communication node
    • H04L12/40013Details regarding a bus controller
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/10Plc systems
    • G05B2219/11Plc I-O input output
    • G05B2219/1131I-O connected to a bus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/28Data switching networks characterised by path configuration, e.g. LAN [Local Area Networks] or WAN [Wide Area Networks]
    • H04L12/40Bus networks
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/28Data switching networks characterised by path configuration, e.g. LAN [Local Area Networks] or WAN [Wide Area Networks]
    • H04L12/44Star or tree networks
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/28Data switching networks characterised by path configuration, e.g. LAN [Local Area Networks] or WAN [Wide Area Networks]
    • H04L12/40Bus networks
    • H04L2012/40208Bus networks characterized by the use of a particular bus standard
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/28Data switching networks characterised by path configuration, e.g. LAN [Local Area Networks] or WAN [Wide Area Networks]
    • H04L12/40Bus networks
    • H04L2012/4026Bus for use in automation systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Computer Hardware Design (AREA)
  • Signal Processing (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Programmable Controllers (AREA)

Abstract

一种系统包括可编程逻辑控制(PLC)模块、输入/输出(IO)网络总线,该网络总线耦接至PLC模块并设置在主机的小面处。第一处理腔室附接至所述小面的第一小面。该腔室接口IO子模块附接至第一小面并且耦接至IO网络总线及第一处理腔室的处理腔室IO控制器。腔室接口IO子模块用于:将经由与处理腔室IO控制器的干接触交换接收的互锁中继信号转换为数字信号;将数字信号组合为适合使用IO网络总线的协议进行通信的网络封包;并且经IO网络总线将网络封包传输至PLC模块。

Description

分布式输入/输出(IO)控制和互锁环架构
技术领域
本公开内容的实施方式涉及分布式输入/输出(input/output;IO)控制和互锁环架构。
背景技术
用于半导体处理的下一代主机将在尺寸上增长并且寻求增加高密度的处理腔室(例如,在主机上多达十个或更多个处理腔室)、在任何主机小面位置的任何腔室类型的高度灵活性,及支持多达三个主机群集。群集为在小面位置处连接的主机集合,该主机集合可为主机至主机配置或经由类似于装载锁定机构的缓冲空间。例如,诸如铝主体的连接空间被称为通孔并且可将主机连接在一起。此类主机的当前IO控制将高密度离散互锁及IO信号分散至平台模块,包括处理腔室、装载锁定机构、主机遥控器及额外主机。
然而,当前的控制架构经由主机IO控制器支持有限数目的处理腔室,例如2、4、6或8个处理腔室。此外,当前的IO控制(包括离散互锁和IO信号的传输)是使用电缆及线束实现的,所述电缆及线束由源自中央主机IO控制器的连接器及离散电线组成。此外,清洁干燥空气(clean dry air;CDA)供应线为多股电缆,该多股电缆源自空气调节器至集中空气歧管,用于在每个主机的较小多股电缆分支上驱动装置。这些IO控制及CDA电缆连接到主机的每一小面,无论处理腔室是否附接至所述小面,产生价格昂贵并且在处理晶片厂中占用大量空间的高密度长IO控制线及CDA供应线。例如,对于整个主机,多芯电缆延伸至所有处理腔室,以实施对每个狭缝阀(slit valve;SV)位置的控制。此外,用于IO控制的更长、更粗的电缆延伸至远程地区,仅电缆就需要2000美元。
发明内容
本文所述的一些实施方式涵盖包括可编辑逻辑控制(programmable logiccontrol;PLC)模块的系统。该系统进一步包括输入/输出(IO)网络总线,该网络总线耦接至PLC模块并设置在主机的多个小面处。该系统进一步包括附接至多个小面的第一小面的第一处理腔室。该系统进一步包括腔室接口IO子模块,该腔室接口IO子模块附接至第一小面并耦接至IO网络总线及第一处理腔室的处理腔室IO控制器。腔室接口IO子模块用于:将经由与处理腔室IO控制器的干接触交换接收的互锁中继信号转换为数字信号;将数字信号组合为适合使用IO网络总线的协议进行通信的网络封包;并且经IO网络总线将网络封包传输至PLC模块。
在相关实施方式中,本文描述了一种包括具有位置传感器的狭缝阀的狭缝阀组件。该组件进一步包括电动阀(electro-valve;EV)歧管,该歧管包括耦接至狭缝阀的EV,及耦接至EV歧管并耦接至主机的清洁干燥空气(clean dry air;CDA)供应环的CDA多线。该组件进一步包括耦接至位置传感器、EV歧管并且耦接至主机的IO网络总线的腔室接口输入/输出(IO)子模块。腔室接口IO子模块用于:将来自位置传感器的狭缝阀的位置值经IO网络总线中继至主机的可编程逻辑控制(PLC)模块;并且将经IO网络总线从PLC模块接收的控制信号中继至EV歧管,以经由EV控制狭缝阀气动装置。
在进一步实施方式中,本文描述了一种系统,该系统包括具有多个本端输入/输出(IO)端口及第一互锁总线端口的第一安全可编程逻辑控制模块(PLC模块)。该系统进一步包括耦接至多个本端IO端口中的第一本端IO端口的第一单个本端IO总线。该系统进一步包括耦接至第一单个本端IO总线的多个IO子模块。多个IO子模块中的每一IO子模块经第一单个本端IO总线向第一安全PLC模块发送控制信号。该系统进一步包括第二安全PLC模块,该模块包括多个本端IO端口及第二互锁总线端口。该系统进一步包括互锁连接器,该互锁连接器用以经互锁总线将第一互锁总线端口耦接至第二互锁总线端口。
根据本公开内容的这些及其他实施方式提供了众多其他特征。本公开内容的其他特征及实施方式将从以下详细描述、权利要求书及附图中变得更加显而易见。
附图说明
本公开内容以实例的方式而非限制的方式在附图的诸图中示出,其中相同的附图标记指示相似的元件。应将注意,本公开内容中对“一(an)”或“一个(one)”实施方式的不同引用并不一定指同一实施方式,此类引用意谓至少一个实施方式。
图1为根据各种实施方式的分布式主从安全控制系统的方块图。
图2为根据一实施方式的主机的分布式控制架构的方块图。
图3A至图3B为根据一实施方式的双狭缝阀组件的方块图。
图4A为根据各种实施方式的分布式控制及互锁架构的方块图。
图4B为作为图4A的分布式控制及互锁架构的延伸的主机遥控器的方块图。
具体实施方式
本文描述的实施方式涉及用于分布式输入/输出(IO)控制及互锁环架构的系统及方法。为了解决当前架构中的上述缺陷并支持高密度的处理腔室(主机(MF)上多达10个或更多个)、定位这些处理腔室的高度灵活性以及主机的群集化,本公开内容公开了使用点(point-of-use;POU)实施中的IO控制的模块化。该POU实施可包括选择性地从小面移除的狭缝阀组件,且因此仅需要在存在处理腔室的情况下使用。狭缝阀组件可包括腔室接口IO子模块,该子模块可经置放于任何小面,将狭缝阀位置值中继至MF的可编程逻辑控制(PLC)模块,将狭缝阀控制信号从PLC模块中继至狭缝阀的气动装置,并在处理腔室侧的干接触交换与传送腔室侧的IO网络总线之间执行紧急断电(emergency off;EMO)及腔室互锁交握。干接触交换可代表依赖电路的辅助触点组,该辅助触点组不会接通或断开由继电器控制的初级电流,不使用电源,且因此为无源的并与电源隔离。
取决于是否存在处理腔室,使狭缝阀组件可选择性地移除降低了核心主机成本,支持任意数目的腔室,并且如此做不会影响主机控制。可移除的狭缝阀组件还有助于动态添加和移除处理腔室,在MF架构中并入高度灵活性,而无需添加长电缆来扩展MF架构。附加或不同的优点如参考本案附图解释时将对本领域技术人员显而易见,所述附图将在本文中特定地论述。
更特定言之,每一狭缝阀组件的腔室接口IO子模块可选择性地连接至单个网络IO总线(例如,网络电缆),该单个网络IO总线耦接至主机的PLC模块。为此,网络IO总线可包括位于主机的每一小面的至少一个网络连接器(诸如网络总线T型连接器或开关)。此外,耦接至清洁干燥空气(CDA)调节器的CDA供应环可定位在主机的周边,并且包括位于小面的CDA快速断开装置。以此方式,假设处理腔室附接至MF,则在任何给定小面处的每一狭缝阀组件可选择性地耦接在网络IO总线的网络连接器与处理腔室之间。类似地,狭缝阀组件可耦接在CDA供应环的CDA快速断开装置与处理腔室(若已附接)之间。该模块化方法实现沿网络IO总线及CDA供应环灵活附接大量处理腔室,而无先前与电缆密度、费用及控制限制相关的限制。若未附接处理腔室,则不需要狭缝阀组件,且因此不需要其费用。
在各种实施方式中,狭缝阀组件包括具有位置传感器的狭缝阀(或双狭缝阀)。狭缝阀组件进一步包括电动阀(electro-valve;EV)歧管,其包括耦接至狭缝阀的至少一个EV。CDA供应线耦接至EV歧管,并且将耦接至刚刚论述的主机的CDA供应环。可提供耦接至位置传感器、EV歧管并且将耦接至IO网络总线的腔室接口IO子模块。腔室接口IO子模块可经调适以将狭缝阀的位置值从位置传感器经IO网络总线中继至主机的可编程逻辑控制(PLC)模块。腔室接口IO子模块可进一步经调适以将经IO网络总线从PLC模块接收的控制信号中继至EV歧管,以通过驱动EV来控制狭缝阀气动装置。将论述腔室接口IO子模块的附加功能。狭缝阀组件还可包括耦接在位置传感器与腔室接口IO子模块之间的控制电缆,以及处理腔室IO控制器与网络连接器之间的网络连接器。
在一些实施方式中,腔室接口IO子模块还可包括互锁电缆连接器,该互锁电缆连接器将耦接至处理腔室IO控制器,该处理腔室IO控制器包括多个互锁继电器及IO继电器。互锁为使两个机制或功能的状态相互依赖的特征,且用于防止例如有限状态机中的非所要状态。互锁可包括电气、电子或机械装置及系统中的任一者或其组合。腔室接口IO子模块可进一步经IO网络总线与PLC模块进行互锁交握。例如,腔室接口IO子模块可将经由与处理腔室IO控制器的干接触交换接收的互锁中继信号转换为数字信号。腔室接口IO子模块可进一步将数字信号组合成适合使用IO网络总线的协议进行通信的网络封包。腔体接口IO子模块可进一步将网络封包经IO网络总线传输至PLC模块。
在一个实施方式中,互锁手形允许经由诸如用于控制自动化技术的以太网络(Ethernet for Control Automation Technology;EtherCAT)之类的安全PLC协议经IO网络总线进行通信,此时通信可经互锁总线或EtherCAT网络恢复。IO网络总线上的互锁网络的此扩展消除了从PLC模块延伸至主机处的互锁的单独较长继电器的需要。
此外,在相关实施方式中,用于主机的PLC模块可经互锁总线使用安全PLC协议耦合至第二主机的第二PLC模块。在实施方式中,PLC模块可包括第一互锁总线端口并且第二PLC模块包括第二互锁总线端口。该系统可进一步包括互锁连接器(例如互锁总线T形连接器)以经互锁总线将第一互锁总线端口耦接至第二互锁总线端口。以此方式,PLC模块及第二PLC模块的互锁可经互锁总线进行互通。例如,经互锁总线传送的信息可为不同移动部件(例如狭缝阀)、要进行安全检查以允许这些移动发生的处理组件的信息,以及导致移动部件的移动的控制信号。此信息可能还需要与远程地区共享。
在相关实施方式中,PLC模块包括第一EtherCAT-in端口及第一EtherCAT-out端口,该第一EtherCAT-in端口经第一EtherCAT网络电缆耦接至EtherCAT主装置。第二PLC模块包括经第二EtherCAT网络电缆耦接至第一EtherCAT-out端口的第二EtherCAT-in端口。然后,第二PLC模块可经第二EtherCAT网络电缆并经由PLC模块向处理腔室IO控制器发送安全控制信号。以此方式,安全信号也可经EtherCAT网络在群集化主机的PLC模块之间传输。
图1为根据各种实施方式的分布式主从安全控制系统100(或更简单地称为系统100)的方块图。如前所述,系统100可实现附接至主机的处理腔室的高密度和灵活性,且因此将对系统100进行一些详细论述。在一些实施方式中,系统100包括计算装置101A及101B,每一装置皆分别包含EtherCAT主控制器102A及102B。这些计算装置101A及101B可为经个人计算机(personal computer;PC)工具网络103进行通信的主机计算系统或装置,在本文中也称为EtherCAT主装置。系统100可进一步包括PLC模块110A、110B、110C、110D、110E,所述模块可为用诸如EtherCAT的安全通信协议调适的安全PLC模块,并且每一模块可控制单独的机器或系统。每一PLC模块可实施为单个芯片或在单个控制板上实施等。系统100可进一步包括多个IO子模块140A……140N,所述子模块与不同的IO装置及互锁接口连接或控制不同的IO装置及互锁。如将要论述的,系统100可支持不同类型的总线及网络进行通信。
在一些实施方式中,PLC模块110A……110E中的每一者为用于嵌入式机器控制应用的集成EtherCAT IO控制器。示例性应用包括半导体及光伏晶片制造工具、计量系统及医疗制造设备的自动化。这些PLC模块在一个封装中提供高通道计数、硬件互锁及EtherCAT连接。IO子模块140A……140N为速度极快的IO子系统,所述子系统经配置以支持整个控制器设备中的消费者指定传感器及致动器,并有各种尺寸可供选择。
此外,PLC模块110A……110E通过提供故障安全、基于硬件的互锁及高速嵌入式控制来补充EtherCAT网络。数百个混合信号输入及输出可以亚毫秒响应时间同时控制且监测。EtherCAT主机计算装置101A及101B从而免于高速控制排序、互锁及数据收集任务的负担。
此外,系统100可解析收集的数据并在达到特定阈值时通知计算装置101A及101B。事件时序与EtherCAT时钟同步,以保持低抖动的高度同时性。可使用现场可编程门阵列(Field Programmable Gate Array;FPGA)或其他可编程处理器实施的互锁可经重新配置,实际上消除了通常需要适应工艺变化的硬件设计旋转。
在各种实施方式中,第一PLC模块110A包括经EtherCAT网络电缆105A耦接至EtherCAT主控制器102A的第一EtherCAT-in端口104A并且包括第一EtherCAT-out端口104B。此外,第二PLC模块110B包括第二EtherCAT-in端口104C及第二EtherCAT-out端口104D。第一EtherCAT-out端口104B可经EtherCAT网络电缆105B耦接至第二EtherCAT-in端口104C。此外,第三PLC模块110C可包括经EtherCAT网络电缆105C耦接至EtherCAT主控制器102B的第三EtherCAT-in端口104E。以此方式,三个PLC模块110A、110B及110C经EtherCAT网络彼此耦接以用于EtherCAT协议通信。
在一些实施方式中,第一PLC模块110A包括第一PLC控制器108A,第二PLC模块110B包括第二PLC控制器108B,并且第三PLC模块110C包括第三PLC控制器108C。这些PLC控制器108A、108B及108C中的每一者可包括用于使用一个或多个安全协议经互锁总线125、分别经本端总线连接115A、115B及115C,以及分别经本端IO总线135A、135B及135C通信控制(例如,用以控制IO装置)的逻辑。
更特定言之,在这些实施方式中,第一PLC模块110A包括互锁总线端口120A,该互锁总线端口耦接至第一PLC控制器108A并且经该端口与其他互锁、网络及IO子模块的通信成为可能。例如,互锁总线端口120A可经本端总线115A串行耦接至本端IO端口130A、130B和130C。每一本端IO端口130A、130B和130C连接至本端IO总线135A,两个或更多个IO子模块140A、140B及140C、140D及140E、140F分别耦接至本端IO总线135A。
在所公开的实施方式中,第二PLC模块110B包括耦接至第二PLC控制器108B的互锁总线端口120B。互锁总线端口120B可经本端总线115B串行耦接至本端IO端口130D、130E及130F。每一本端IO端口130D、130E和130F连接至本端IO总线135A,两个或更多个IO子模块140G、140H及140I、140J及140K、140L分别耦接至本端IO总线135A。此外,在这些实施方式中,第三PLC模块110C包括耦接至第三PLC控制器108C的互锁总线端口120C。互锁总线端口120C可经本端总线115C串行耦接至至少三个本端IO端口,其中为了简单起见仅示出本端IO端口130G。本端IO端口130G可耦接至两个或更多个IO子模块140M、140N。在这些实施方式中,子模块中的每一者可经调适以控制机器或其他系统的一个或多个IO装置及一个或多个互锁。
以此方式,本端IO端口经单个IO总线与多个IO子模块耦接。多个子模块可扩展至多于两个子模块,并且单个IO总线可经实施为网络总线(例如具有在线网络连接器(诸如网络总线T型连接器等)的以太网络电缆)以并联连接至多个子模块。扩展至多个子模块意谓三个本端IO端口的限制并未过度限制由第一PLC模块110A可控制的子模块的数目。此外,每一PLC模块的本端IO端口的一者可经调适为互锁总线端口。
因此,在各种实施方式中,互锁总线端口120A、120B和120C以及第四PLC模块110D和第五PLC模块110E的那些可经由互锁连接器125A、125B、125C及125D经互锁总线125耦接在一起。这些互锁连接器可为互锁总线T型连接器等,其促进将这些多个PLC模块110A……110E并联耦接至单个互锁总线,例如互锁总线125。以此方式,用于多个PLC模块110A……110E之中的PLC安全通信的互锁总线125的范围被大大扩展。来自IO子模块的互锁交握也可从本端IO端口放至互锁总线125上,从而以此方式在多个PLC模块之间传递。
因此,图1的系统100中所说明的实施方式改良了现有系统,其中现在单个互锁总线端口或本端IO端口能够连接至多个节点,以实现机器的安全控制目的。此外,对于IO控制(IOC)子模块及安全PLC模块的灵活网络拓朴结构可通过耦接至相同的总线,例如本端IO总线135A来实现。另外,数据带宽仅受所采用的互锁/IO总线协议的限制。此外,系统100可在无需重新配置PLC模块或互锁总线或本端IO端口的情况下接受新的网络节点。
在一个实施方式中,系统100包括第一安全可编程逻辑控制(PLC)模块110A,其包括多个本端输入/输出(IO)端口130A……130C及第一互锁总线端口120A。系统100进一步包括耦接至多个本端IO端口中的第一本端IO端口130A的第一单个本端IO总线135A及耦接至第一单个本端IO总线135A的多个IO子模块140A和140B。多个IO子模块中的每一IO子模块经第一单个本端IO总线135A向第一安全PLC模块110A发送控制信号。第二安全PLC模块110B包括多个本端IO端口130D……130F及第二互锁总线端口120B。互锁连接器125C经互锁总线125将第一互锁总线端口120A耦接至第二互锁总线端口120B。
在进一步的此实施方式中,多个IO子模块包括第一机器的第一组IO子模块140A、140B。系统100可包括第二单个本端IO总线135B,该第二单个本端IO总线耦接至第二安全PLC模块110B的多个本端IO端口的第二本端IO端口,例如本端IO端口130D。第二机器的第二组IO子模块140G、140H可耦接至第二单个IO总线135B。此外,第一安全PLC模块110A的第一PLC控制器108A可经第一单个本端IO总线125控制第一组IO子模块140A、140B,并且经互锁总线125及第二单个本端IO总线135B控制第二组IO子模块140G、140H。另外,第二安全PLC模块110B的第二PLC控制器108B经第二单个本端IO总线135B控制第二组IO子模块140G、140H,并且经互锁总线125及第一单个本端IO总线135A控制第一组IO子模块140A、140B。
在另外的实施方式中,第一安全PLC模块110A包括经第一EtherCAT网络电缆105A耦接至EtherCAT主装置(例如,计算装置101A)的第一EtherCAT-in端口104A。第一安全PLC模块110A还可包括第一EtherCAT-out端口104B。第二安全PLC模块110B可包括经第二EtherCAT网络电缆104B耦接至第一EtherCAT-out端口104B的第二EtherCAT-in端口104C。第二安全PLC模块110B的第二PLC控制器108B可经调适以经第二EtherCAT网络电缆105B向第一机器的第一组IO子模块140A、140B中的一者发送安全控制信号。
图2为根据一实施方式的主机的分布式控制架构200的方块图。在各种实施方式中,分布式控制架构200包括耦接至互锁总线225的PLC模块210。PLC模块210可代表参考图1论述的PLC模块110A……110E的任一者。
PLC模块210可经本端IO总线233耦接至多个IO子模块,并且所述子模块包括例如经第一本端IO总线耦接至PLC模块210的装载锁定IO控制器202、经第一或第二本端IO总线的一者耦接至PLC模块210的传送腔室IO控制器203,和/或经第一、第二或第三本端IO总线的一者耦接至PLC模块的泵IO 205。多个额外的子模块可附接至未示出的PLC模块210,但可包括装载锁定加热器、集成质量流量系统(integrated mass flow system;iMFS)、使用点(point-of-use;POU)泵、通孔狭缝阀,遥控开关等,上述每一者皆可包含IO控制逻辑。在一个实施方式中,iMFS为用来用于提高腔室匹配的气流验证的控制机构及管件的集合。每一子模块可包括子模块IO控制器,该子模块IO控制器包括IO控制逻辑并且耦接至相应的子模块。
分布式控制架构200进一步包括输入/输出(IO)网络总线235,该网络总线耦接至PLC模块210并提供在多个小面处,例如用于并联连接至主机的多个小面,为了简单起见未示出所述小面。在一个实施方式中,IO网络总线235为单个网络电缆(例如,以太网络电缆),多个网络连接器附接至该单个网络电缆,每个小面至少一个网络连接器。在不同的实施方式中,连接器为网络总线T型连接器、多路连接器或简单的交换机或集线器。因此,在一个实施方式中,IO网络总线235为附接有多个网络总线T形连接器的单个以太网络电缆,其中至少一个T形连接器位于主机的每一小面处。在一个实施方式中,如图所示,IO网络总线235可布置成圆形。在另一实施方式中,IO网络总线235被分成两部分,其中每一部分为位于主机的一半左右的单向网络电缆。
在各种实施方式中,分布式控制架构200可进一步包括沿IO网络总线235附接至网络连接器的多个狭缝阀(SV)组件240。虽然针对这些多个SV组件示出了方块,但有利地,SV组件仅选择性地耦接至处理(或其他类型)的腔室所附接的小面。因此,多个SV组件以虚线示出以指示为可选的。以此方式,SV组件所需的费用及空间经保留用于当需要一个或多个SV组件240时,而不是一直用于所有小面。
在这些实施方式中,SV组件240至少包括第一单个SV组件240A及第二单个SV组件240B以及一个或多个双SV组件。双SV组件240可包括沿着主机的一侧的第一组双SV组件240C、沿着主机的与第一侧相对的第二侧的第二组双SV组件240D以及沿着主机的第三侧的第三组SV组件240E,该第三侧例如附接在第一侧与第二侧之间。
在一些实施方式中,分布式控制架构200进一步包括附接至CDA供应环255的清洁驱动空气(CDA)调节器250,CDA供应环255围绕主机的周边定位并且可为长的多股电缆。CDA调节器250供应器可在CDA供应环255中保持CDA的恒定压力。在一个实施方式中,CDA供应环255为围绕主机周边的连续(例如,不间断的)气动管线。CDA供应环255可包括位于主机小面处的多个CDA快速断开装置252。CDA快速断开装置252的CDA快速断开装置可耦接至部署在架构200内的每一SV组件,如将参考图3更详细地论述。
图3A至图3B为根据一实施方式的双狭缝阀(SV)组件300的方块图。双SV组件300包括在传送腔室10一侧上的维修狭缝阀(SV)309及在主机(MF)的处理腔室12一侧上的腔室狭缝阀(SV)311,例如,诸如图2中所示者。虽然图3公开了双SV组件,但若维修狭缝阀309经移除,图3亦代表单个SV组件。此外,架构200可进一步包括耦接至多个小面中的附加小面的附加狭缝阀组件,附加处理腔室在所述小面处附接至主机,如参考图2所论述。维修SV 309及腔室SV 311中的每一者可包括位置传感器313,该位置传感器检测狭缝阀的位置,例如向上或向下或打开或关闭,并将狭缝阀传感器信号传输至腔室接口IO子模块334。
在各种实施方式中,双SV组件300进一步包括电动阀(EV)歧管318,该电动阀(EV)歧管例如经由CDA多线307耦接至CDA供应环255的CDA快速断开装置303。EV歧管318可包括例如四个EV,并且因此为四位EV歧管。因此,EV歧管318可包括第一对EV以利用来自CDA多线307的CDA在向上及向下位置驱动服务SV 309,及第二对EV以利用来自CDA多线307的CDA在向上及向下位置驱动腔室SV 311。经由CDA供应环255供应的清洁干燥空气可经加压以提供驱动狭缝阀所需的力。
在各种实施方式中,双SV组件300进一步包括耦接至EV歧管318及IO网络总线235的腔室接口IO子模块334。例如,腔室接口IO子模块334可例如经由网络下引电缆333连接至网络连接器305,该网络连接器305附接至IO网络总线235。因此,网络下引电缆333耦接在腔室接口IO子模块334与网络连接器305之间。网络连接器305可为网络总线T型连接器、多端口连接器、交换机、集线器等。EV歧管318及腔室接口IO子模块334可经附接至例如主机的小面的一者的小面部分322。
在一些实施方式中,腔室接口IO子模块334将来自位置传感器313的狭缝阀(腔室狭缝阀311或维修狭缝阀309)的位置值经IO网络总线235中继至主机的可编程逻辑控制(PLC)模块210。腔室接口IO子模块334还可执行互锁中继信号的进一步互锁交握。在一些实施方式中,腔室接口IO子模块334进一步将经IO网络总线235从PLC模块210接收的控制信号中继至EV歧管318,以通过驱动EV歧管318的一个或多个EV来控制狭缝阀气动装置。更特定言之,该控制信号可使室狭缝阀311或维修狭缝阀309的一个或多个EV进行向上或向下移动的一者,例如打开或关闭。在另外的实施方式中,PLC模块210可通过腔室接口IO子模块334通过干接触交换的方式向处理腔室12发送用于其他IO子系统或装置的不同控制信号。
以此方式,腔室接口IO子模块334促进向PLC模块210发送传感器数据(例如,位置值)和其他数字输入值,PLC模块210可以集中来自主机中所有处理腔室的传感器数据及数字输入值。基于该传感器数据及数字输入值以及在系统100内采取的其他互锁动作,PLC模块210可经由通过腔室接口IO子模块334发送的控制信号来控制腔室狭缝阀311(或其他IO装置或系统)。这些控制信号也可经由腔室接口IO子模块334内的干接触交换传输。
在各种实施方式中,腔室接口IO子模块334包括附接至网络下引电缆333的网络连接器336。IO子模块334可进一步包括耦接至控制电缆339的SV接口连接器338,控制电缆339附接至EV歧管318及位置传感器313。另外参考图3B,腔室接口IO子模块334可进一步包括互锁电缆连接器342,该互锁电缆连接器经附接至多线离散互锁电缆362,该多线离散互锁电缆又耦接至处理腔室12的处理腔室IO控制器301。腔室接口IO子模块334进一步用于经IO网络总线235与PLC模块210进行互锁交握。在替代实施方式中,腔室接口IO子模块334例如经互锁总线225与第二PLC模块执行互锁交握,该互锁总线225耦接在PLC模块210与第二主机的第二PLC模块之间。
对于额外的特殊性,处理腔室IO控制器301包括多个互锁继电器352及IO继电器354。例如,互锁继电器352可耦合至与处理腔室12及其基板处理相关联的多个互锁。IO继电器354可例如耦合至位于处理腔室12处并且与在处理腔室12中执行的基板处理相关联的多个IO装置或系统。为了与PLC控制器210执行互锁交握,腔室接口IO子模块334可以将经由与处理腔室IO控制器301的干接触交换接收的互锁中继信号转换为数字信号。例如,这些干接触交换信号可来自互锁继电器352或IO继电器354中的一者或两者。腔室接口IO子模块334随后可将数字信号组合成适合使用IO网络总线的协议(例如以太网络/IEEE 802.3、令牌环/IEEE 802.5、光纤分布式数据接口(Fiber Distributed Data Interface;FDDI)、或类似者)进行通信的网络封包。腔室接口IO子模块334可进一步经IO网络总线235将网络封包传输至PLC模块210。
在相关实施方式中,腔室接口IO子模块334在接收经IO网络总线235接收的网络封包内的数字信号时反转该过程,并将数字信号转换为互锁中继信号。腔室接口IO子模块334可与处理腔室IO控制器301的互锁继电器352及IO继电器354进行互锁中继信号的干接触交换。
在一些实施方式中,腔室接口IO子模块334进一步包括接口连接器346,该接口连接器346将附接至紧急断电(EMO)电缆366,该电缆366又耦接至主机的EMO开关376。EMO开关376可为机械开关,其可包括用以翻转或拨动机械开关的按钮或其他致动器,以产生在腔室接口IO子模块334处感测的干接触EMO信号。腔室接口IO子模块334可将经由与EMO开关376的干接触交换接收的EMO信号转换为数字EMO信号。腔室接口IO子模块334可进一步将数字EMO信号转换为适合使用IO网络总线325的协议进行通信的网络封包。腔室接口IO子模块334可进一步将网络封包发送至PLC模块210。以此方式,腔室接口IO子模块334可执行与针对互锁及IO继电器执行的相对于EMO开关376类似的交握。响应于EMO信号的接收,PLC模块210可关闭整个主机处理以便在恢复处理之前解决紧急关闭的原因。
在进一步的实施方式中,腔室SV 311包括耦接至腔室SV 311的CDA压力并用于改变该CDA压力的压力调节器356。该压力调节器356经设计以控制腔室SV 311密封处的压力,以帮助延长O形环(或其他密封件)的寿命并减少腔室SV 311密封处的颗粒。在这些实施方式中,腔室接口IO子模块334向压力调节器356输出双压力SV控制信号以进行增加或减小至腔室SV 311的压力的一者。
图4A为根据各种实施方式的分布式控制及互锁架构400的方块图。图4B为作为图4A的分布式控制及互锁架构400的延伸的主机遥控器的方块图。在各种实施方式中,架构400包括经计算工具网络总线403耦接至第一计算机401A及第二计算机401B的主工具系统15。第一计算机401A可为计算系统或装置,其适用于经EtherCAT网络总线405分别与三个主机MF_1、MF_2和MF_3的PLC模块410A、410B和410C控制和交换IO控制信号。第一计算机401A可进一步经调适以控制第一工厂接口(FI_1)及第二工厂接口(FI_2)的PLC模块410D及410E。
第二计算机401B可为计算系统或装置,该计算系统或装置经调适以经EtherCAT网络总线405与主机遥控器的三个PLC模块410G、410H和410I,即MF_1遥控、MF_2遥控及MF_3遥控控制和交换IO控制信号。在各种实施方式中,PLC模块410A……410E也可以经互锁总线425互连,互锁可以使用安全协议等经互锁总线直接通信。
在一些实施方式中,每一PLC模块410A……410C可在每一主机内耦接至一个或多个腔室接口IO子模块,所述子模块由接口控制文件(Interface Control Document;ICD)识别符识别,并经本端(或腔室接口)IO总线通信。每一ICD识别符还可指示两个IO子模块之间的连接细节。此外,PLC模块410A……410C中的每一者可耦接至每一主机内的一个或多个经过控制器,其在图4A中被识别为VIA#IOC;以及经过加热器(VIA Htr)、传送腔室IO控制器(XFR IOC)、iMFS、第一POU泵、第二POU泵及第三POU泵。如所论述的,这些模块是可选的并且可以灵活地添加至例如本端IO总线425,以及任何基于接口的组件及控制模块。用于工厂接口的每一PLC模块410D及410E可耦接至一个或多个负载端口(load port;LP)、风扇控制、一个或多个传感器、IO控制器、光幕及其他杂项模块。
另外参考图4B,在各种实施方式中,主机遥控器MF_1、MF_2及MF_3的PLC模块410G……410I中的每一者经本端IO总线445分别耦接至AC机架、设备机架、泵机架、发电机机架、化学机架、温度控制机架等。在一个实施方式中,温度控制机架经EtherCAT网络总线405耦接至计算机401B。温度控制机架可以包括例如冷却器、数字输入冷却器、热交换器等。其他模块可位于远程区域并经由EtherCAT网络总线405、互锁总线425或主机遥控器的一者耦接至架构400中。本文提及的每条总线皆可用与网络类型一致的电缆来实现,该网络类型可取决于对连接速度、可靠性等的网络类型行业要求而变化。
先前的描述阐述了许多特定细节,例如特定系统、部件、方法等的实例,以提供对本公开内容的若干实施方式的良好理解。然而,将对本领域技术人员显而易见的是,本公开内容的至少一些实施方式可在无这些特定细节的情况下实践。在其他情况下,众所熟知的部件或方法未经详细描述或以简单的方块图格式呈现,以避免不必要地混淆本公开内容。因此,所阐述的特定细节仅是示例性的。特定实施可与这些示例性细节不同,并且仍然被预期在本公开内容的范围内。
在整个说明书中对“一个实施方式”或“一实施方式”的引用意谓结合实施方式描述的特定特征、结构或特性包括在至少一个实施方式中。因此,在整个说明书的不同位置出现的用语“在一个实施方式中”或“在一实施方式中”不一定皆代表相同的实施方式。此外,术语“或”意欲意谓包含的“或”而非排他的“或”。当本文使用术语“约”或“大约”时,其意欲意谓所呈现的标称值精确在±10%以内。
尽管以特定次序示出和描述了本文方法的操作,但是可改变每种方法的操作次序,从而可以相反的次序执行某些操作,以便可至少部分地与其他操作同时进行某些操作。在另一实施方式中,不同操作的指令或子操作可以间歇和/或交替的方式进行。
应将理解,上述描述意欲为说明性的,而非限制性的。在阅读和理解以上描述之后,许多其他实施方式对于本领域技术人员而言将是显而易见的。因此,本公开内容的范围应当参考随附权利要求书,以及该权利要求书所赋予的等效物的全部范围来确定。

Claims (20)

1.一种系统,包含:
可编程逻辑控制PLC模块;
输入/输出IO网络总线,所述网络总线耦接至所述PLC模块并设置在主机的复多个小面;
多个网络连接器,耦接至所述IO网络总线并且位于所述多个小面处;
第一处理腔室,附接至所述多个小面的第一小面;
腔室接口IO子模块,所述腔室接口IO子模块附接至所述第一小面并且耦接至所述IO网络总线的第一网络连接器及所述第一处理腔室的处理腔室IO控制器,其中所述腔室接口IO子模块用以:
将经由与处理腔室IO控制器的干接触交换接收的互锁中继信号转换为数字信号;
将所述数字信号组合为适合使用所述IO网络总线的协议进行通信的网络封包;和
将所述网络封包经所述IO网络总线传输至所述PLC模块。
2.如权利要求1所述的系统,其中所述PLC模块包括第一互锁总线端口,所述系统进一步包含:
第二主机的第二PLC模块,所述第二PLC模块包含第二互锁总线端口,并且其中所述PLC模块及所述第二PLC模块为安全PLC模块;和
互锁连接器,用以经互锁总线将所述第一互锁总线端口耦接至所述第二互锁总线端口。
3.如权利要求1所述的系统,其中所述IO网络总线为附接有多个网络总线T形连接器的单个以太网络电缆,其中至少一个T形连接器位于多个小面的每一者处。
4.如权利要求1所述的系统,进一步包含以下至少一者:
装载锁定IO控制器,经第一本端IO总线耦接至所述PLC模块;
传送腔室IO控制器,经所述第一本端IO总线或第二本端IO总线中的一者耦接至所述PLC模块;或
泵IO,经所述第一本端IO总线、所述第二本端IO总线或第三本端IO总线的一者耦接至所述PLC模块。
5.如权利要求1所述的系统,其中IO网络总线包含:第二多个网络连接器,所述系统进一步包含附接至所述第二多个网络连接器的一者的子模块IO控制器,所述子模块IO控制器用以控制装载锁定加热器、集成质量流量系统、使用点泵、通孔狭缝阀,或遥控开关的一者。
6. 如权利要求1所述的系统,进一步包含:
清洁干燥空气CDA供应环,定位在所述主机的周边周围并且包含位于所述多个小面处的多个CDA快速断开装置;和
第一狭缝阀组件,耦接至所述第一小面,所述第一狭缝阀组件包含:
腔室狭缝阀,包含位置传感器;和
电动阀EV歧管,耦接至所述CDA供应环的CDA快速断开装置及至所述腔室狭缝阀;并且
其中所述腔室接口IO子模块耦接至所述EV歧管及所述腔室狭缝阀,所述腔室接口IO子模块进一步将所述腔室狭缝阀的位置值从所述位置传感器经IO网络总线中继至所述PLC模块。
7.如权利要求6所述的系统,其中所述EV歧管包含一个或多个EV,并且其中所述腔室接口IO子模块进一步将经所述IO网络总线从所述PLC模块接收的控制信号中继至所述EV歧管,以通过驱动所述一个或多个EV来控制狭缝阀气动装置。
8.如权利要求6所述的系统,进一步包含耦接至所述多个小面中的附加小面的附加狭缝阀组件,附加处理腔室在所述小面处附接至所述主机。
9.如权利要求6所述的系统,其中所述第一狭缝阀组件进一步包含连接至所述EV歧管的CDA多线,其中所述CDA快速断开装置将所述CDA多线连接至所述CDA供应环。
10.如权利要求6所述的系统,其中所述IO网络总线包含在第一小面处的网络连接器,并且其中所述第一狭缝阀组件进一步包含附接至所述腔室接口IO子模块的网络下引电缆,其中所述网络连接器将所述网络下引电缆连接至所述IO网络总线。
11.一种狭缝阀组件,包含:
腔室狭缝阀,包含位置传感器;
电动阀EV歧管,包含耦接至所述腔室狭缝阀的EV;
清洁干燥空气(CDA)多线,耦接至所述EV歧管并耦接至主机的CDA供应环;和
腔室接口输入/输出IO子模块,耦接至所述位置传感器、所述EV歧管并且耦接至所述主机的IO网络总线,所述腔室接口IO子模块用以:
将来自所述位置传感器的所述腔室狭缝阀的位置值经所述IO网络总线中继至所述主机的可编程逻辑控制PLC模块;和
将经所述IO网络总线从所述PLC模块接收的控制信号中继至所述EV歧管,以通过驱动所述EV来控制狭缝阀气动装置。
12.如权利要求11所述的狭缝阀组件,其中所述腔室接口IO子模块包含互锁电缆连接器,所述互锁电缆连接器将耦接至处理腔室IO控制器,所述处理腔室IO控制器包括多个互锁继电器,所述腔室接口IO子模块进一步用以:
将经由与所述处理腔室IO控制器的干接触交换接收的互锁中继信号转换为数字信号;
将所述数字信号组合为适合使用所述IO网络总线的协议进行通信的网络封包;和
将所述网络封包经所述IO网络总线传输至所述PLC模块。
13.如权利要求11所述的狭缝阀组件,其中所述腔室接口IO子模块进一步包含用以接收紧急断电(EMO)电缆的接口连接器,所述电缆耦接至处理腔室的EMO开关,其中所述腔室接口IO子模块进一步用以:
将经由与所述EMO开关的干接触交换接收的EMO信号转换为数字EMO信号;
将所述数字EMO信号转换为适合使用所述IO网络总线的协议进行通信的网络封包;和
将所述网络封包发送至所述PLC模块。
14.如权利要求11所述的狭缝阀组件,进一步包含耦接在所述位置传感器与所述腔室接口IO子模块之间的控制电缆。
15.如权利要求11所述的狭缝阀组件,进一步包含耦接在所述腔室接口IO子模块与所述IO网络总线之间的网络下引电缆。
16.如权利要求11所述的狭缝阀组件,进一步包含耦接至所述EV歧管且包含第二位置传感器的维修狭缝阀,所述第二位置传感器耦接至所述腔室接口IO子模块,其中所述EV歧管为四位EV歧管以控制所述腔室狭缝阀及所述维修狭缝阀两者。
17.一种系统,包含:
第一安全可编程逻辑控制PLC模块,包含多个本端输入/输出IO端口及第一互锁总线端口;
第一单个本端IO总线,耦接至所述多个本端IO端口中的第一本端IO端口;
多个IO子模块,耦接至所述第一单个本端IO总线,其中所述多个IO子模块中的每一IO子模块用以经所述第一单个本端IO总线与所述第一安全PLC模块进行互锁交握;
第二安全PLC模块,包含多个本端IO端口及第二互锁总线端口;和
互锁连接器,用以经互锁总线将所述第一互锁总线端口耦接至所述第二互锁总线端口。
18. 如权利要求17所述的系统,其中所述多个IO子模块包含第一机器的第一组IO子模块,所述系统进一步包含:
第二单个本端IO总线,耦接至所述第二安全PLC模块的多个本端IO端口的第二本端IO端口;和
第二机器的第二组IO子模块,耦接至所述第二单个IO总线。
19. 如权利要求18所述的系统,进一步包含:
所述第一安全PLC模块的第一PLC控制器,用以经所述第一单个本端IO总线经由所述第一组IO子模块控制IO装置,并且经所述互锁总线及所述第二单个本端IO总线经由所述第二组IO子模块控制IO装置;和
所述第二安全PLC模块的第二PLC控制器,用以经所述第二单个本端IO总线经由所述第二组IO子模块控制IO装置,并且经所述互锁总线及所述第一单个本端IO总线经由所述第一组IO子模块控制IO装置。
20.如权利要求18所述的系统,
其中所述第一安全PLC模块包含:
用于控制自动化技术的第一以太网络EtherCAT-in端口,经第一EtherCAT网络电缆耦接至EtherCAT主装置;和
第一EtherCAT-out端口;
其中所述第二安全PLC模块包含经第二EtherCAT网络电缆耦接至所述第一EtherCAT-out端口的第二EtherCAT-in端口;并且
进一步包含所述第二安全PLC模块的PLC控制器,用以经所述第二EtherCAT网络电缆向所述第一机器的所述第一组IO子模块的一者发送安全控制信号。
CN202180050893.9A 2020-11-18 2021-11-16 分布式输入/输出(io)控制和互锁环架构 Active CN115917723B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202063198861P 2020-11-18 2020-11-18
US63/198,861 2020-11-18
US17/192,318 2021-03-04
US17/192,318 US11586573B2 (en) 2020-11-18 2021-03-04 Distributed input/output (IO) control and interlock ring architecture
PCT/US2021/059575 WO2022108948A1 (en) 2020-11-18 2021-11-16 Distributed input/output (io) control and interlock ring architecture

Publications (2)

Publication Number Publication Date
CN115917723A CN115917723A (zh) 2023-04-04
CN115917723B true CN115917723B (zh) 2023-09-05

Family

ID=81709648

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180050893.9A Active CN115917723B (zh) 2020-11-18 2021-11-16 分布式输入/输出(io)控制和互锁环架构

Country Status (6)

Country Link
US (1) US11586573B2 (zh)
JP (1) JP7386375B1 (zh)
KR (1) KR102569449B1 (zh)
CN (1) CN115917723B (zh)
TW (1) TW202227912A (zh)
WO (1) WO2022108948A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11586573B2 (en) * 2020-11-18 2023-02-21 Applied Materials, Inc. Distributed input/output (IO) control and interlock ring architecture

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999003133A1 (en) * 1997-07-11 1999-01-21 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
WO2007149688A2 (en) * 2006-05-30 2007-12-27 Schneider Automation Inc. Remote virtual placeholder configuration for distributed input/output modules
CN111101115A (zh) * 2018-10-25 2020-05-05 北京北方华创微电子装备有限公司 气路切换装置及其控制方法、半导体加工设备

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000349015A (ja) 1999-06-07 2000-12-15 Canon Inc デバイス製造装置および方法
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US7340543B2 (en) * 2003-09-24 2008-03-04 Lockheed Martin Corporation Device and method for discrete signal conditioning
JP2005144521A (ja) 2003-11-18 2005-06-09 Chuo Motor Wheel Co Ltd 鋳造機用圧力制御装置
US7292045B2 (en) 2004-09-04 2007-11-06 Applied Materials, Inc. Detection and suppression of electrical arcing
US20070021050A1 (en) * 2005-06-16 2007-01-25 Kennedy Michael A System for providing and managing a laminar flow of clean air
JP5141905B2 (ja) * 2007-12-28 2013-02-13 オムロン株式会社 安全マスタ
WO2014090915A1 (en) 2012-12-13 2014-06-19 Tetra Laval Holdings & Finance S.A. Mechanism for providing or collecting information of a computer-controlled packaging plant, and methods and computer programs therefor
JP6167532B2 (ja) 2013-01-25 2017-07-26 オムロン株式会社 制御装置および制御装置の動作方法
JP6811709B2 (ja) 2014-09-12 2021-01-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体プロセシング装置放出物の処理のためのコントローラ
CA2882305C (en) * 2015-02-17 2021-03-16 Logan S. Gunthorpe Apparatus and method for interfacing a plurality of remote devices to a programmable logic controller (plc)
WO2019217315A1 (en) * 2018-05-07 2019-11-14 Lam Research Corporation Configurable distributed-interlock-system
US11586573B2 (en) * 2020-11-18 2023-02-21 Applied Materials, Inc. Distributed input/output (IO) control and interlock ring architecture
JP7307240B1 (ja) 2022-06-30 2023-07-11 蘇州芯慧聯半導体科技有限公司 真空ウエーハ搬送システム

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999003133A1 (en) * 1997-07-11 1999-01-21 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
WO2007149688A2 (en) * 2006-05-30 2007-12-27 Schneider Automation Inc. Remote virtual placeholder configuration for distributed input/output modules
CN111101115A (zh) * 2018-10-25 2020-05-05 北京北方华创微电子装备有限公司 气路切换装置及其控制方法、半导体加工设备

Also Published As

Publication number Publication date
JP2023550575A (ja) 2023-12-04
WO2022108948A1 (en) 2022-05-27
US11586573B2 (en) 2023-02-21
US20210191895A1 (en) 2021-06-24
JP7386375B1 (ja) 2023-11-24
TW202227912A (zh) 2022-07-16
KR20230017371A (ko) 2023-02-03
CN115917723A (zh) 2023-04-04
KR102569449B1 (ko) 2023-08-21

Similar Documents

Publication Publication Date Title
US10896145B2 (en) Communications control system with a serial communications interface and a parallel communications interface
US11093427B2 (en) Switch fabric having a serial communications interface and a parallel communications interface
US10698375B2 (en) Apparatus to communicatively couple three-wire field devices to controllers in a process control system
US20130173832A1 (en) Switch fabric having a serial communications interface and a parallel communications interface
CN115917723B (zh) 分布式输入/输出(io)控制和互锁环架构
US20050149207A1 (en) Control system
EP3715976B1 (en) Modular backplane for an industrial controller
CN110663228B (zh) 用于连接数据总线用户设备的模块单元
CN103699074A (zh) 一种变流器中的can通信控制装置及通信方法
CN101568890B (zh) 用于连接至少一个传感器元件的过程自动化技术二线制现场设备
EP3217603B1 (en) Communications device comprising relays
KR20100101992A (ko) Plc 시스템 및 그 구동방법
CN114556873A (zh) 用于过程控制自动化设备中的多功能交换机以及这种过程控制自动化设备
KR102014691B1 (ko) 이중화된 전원 및 커플러 모듈을 가진 산업용제어기의 원격 입출력 장치
US12019575B2 (en) Switch fabric having a serial communications interface and a parallel communications interface
EP2369790B1 (en) Communication device
KR101122796B1 (ko) Plc 시스템
US20230244201A1 (en) Technology for processing and exchanging field signals
US9503312B2 (en) Network management system
Kim et al. Bi-directional optical-EtherCAT communication for motion network control of humanoid robot
EP3920470A1 (en) Communication system and connector
JP2023004453A (ja) 冗長化モジュール
CN104007720A (zh) 一种活动发射平台网络拓扑结构
Hrubý et al. Application Layer for Bitbus Based Low Cost Technological Network

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant