CN115879406A - 集成电路后仿真方法、装置、电子设备及存储介质 - Google Patents

集成电路后仿真方法、装置、电子设备及存储介质 Download PDF

Info

Publication number
CN115879406A
CN115879406A CN202111143373.0A CN202111143373A CN115879406A CN 115879406 A CN115879406 A CN 115879406A CN 202111143373 A CN202111143373 A CN 202111143373A CN 115879406 A CN115879406 A CN 115879406A
Authority
CN
China
Prior art keywords
netlist
simulation
parasitic
simulated
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202111143373.0A
Other languages
English (en)
Inventor
尤劭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Changxin Memory Technologies Inc
Original Assignee
Changxin Memory Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Changxin Memory Technologies Inc filed Critical Changxin Memory Technologies Inc
Priority to CN202111143373.0A priority Critical patent/CN115879406A/zh
Priority to US17/826,237 priority patent/US20230096934A1/en
Publication of CN115879406A publication Critical patent/CN115879406A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/323Translation or migration, e.g. logic to logic, hardware description language [HDL] translation or netlist translation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本申请公开了一种集成电路后仿真方法、装置、电子设备及存储介质,属于半导体技术领域,其中,集成电路后仿真方法包括:获取待仿真电路的前仿真网表;获取待仿真电路中目标子单元的第一寄生网表;利用第一寄生网表和前仿真网表获取待仿真电路的仿真网表;对仿真网表进行仿真。该方法将目标子单元的寄生参数覆盖在前仿真网表上进行仿真,省略无需验证的电路模块,可以更加有针对性地进行仿真,较少了仿真时间,提高了集成电路仿真的速度和验证效率。

Description

集成电路后仿真方法、装置、电子设备及存储介质
技术领域
本申请属于半导体技术领域,具体涉及一种集成电路后仿真方法、装置、电子设备及存储介质。
背景技术
随着工艺的不断进步,寄生效应如寄生电容对集成电路带来的性能影响已不容忽视,对深亚微米的集成电路设计尤其需考虑这方面因素的影响。目前,采用后仿真测试的方法以测试包含寄生电容的电路是否符合设计要求。
“后仿真”指的是版图设计完成以后,将版图的线路设计转成仿真电路进行仿真,得到仿真电路的电路参数网表和仿真电路的寄生参数网表,将寄生参数网表中的寄生参数,例如寄生电容参数反标到所提取的电路参数网表中进行仿真,对电路进行分析,确保电路符合设计要求。
随着集成电路规模的不断增大,芯片上晶体管的数量不断增加,这导致寄生电阻和电容的数目急剧膨胀,电路后仿真中所需要的时间随之增加,电路验证时间越来越长,一定程度上影响了芯片的设计周期和产品交付时间。
发明内容
本申请的目的是提供一种集成电路后仿真方法、装置、电子设备及存储介质以解决现有技术后仿真时间较长的问题。
根据本申请实施例的第一方面,提供了一种集成电路后仿真方法,该方法可以包括:
获取待仿真电路的前仿真网表;
获取待仿真电路中目标子单元的第一寄生网表;
利用第一寄生网表和前仿真网表获取待仿真电路的仿真网表;
对仿真网表进行仿真。
在本申请的一些可选实施例中,在获取待仿真电路中目标子单元的第一寄生网表之前,集成电路后仿真方法还可以包括:
确定待仿真电路中的目标子单元。
在本申请的一些可选实施例中,获取待仿真电路中目标子单元的第一寄生网表,可以包括:
导出目标子单元的cdl网表;
确定目标子单元的版图结构并根据版图结构导出gds文件;
根据cdl网表和gds文件获得第一寄生网表。
在本申请的一些可选实施例中,根据cdl网表和gds文件获得第一寄生网表,可以包括:
将cdl网表和gds文件输入到EDA自动化工具中,以使EDA自动化工具输出第一寄生网表。
在本申请的一些可选实施例中,利用第一寄生网表和前仿真网表获取待仿真电路的仿真网表,可以包括:
改变第一寄生网表中的接口定义得到第二寄生网表,第二寄生网表能被前仿真网表调用;
仿真网表包括第二寄生网表和前仿真网表。
在本申请的一些可选实施例中,前仿真网表可以包括与目标子单元对应的前仿真子网表,第二寄生网表的接口定义与前仿真子网表的接口定义可以相同。
在本申请的一些可选实施例中,对仿真网表进行仿真,可以包括:
将仿真网表导入仿真器;
调用仿真器对仿真网表进行仿真。
根据本申请实施例的第二方面,提供一种集成电路后仿真装置,该装置可以包括:
前仿真网表获取模块,用于获取待仿真电路的前仿真网表;
第一寄生网表获取模块,用于获取待仿真电路中目标子单元的第一寄生网表;
仿真网表获取模块,用于利用第一寄生网表和前仿真网表获取待仿真电路的仿真网表;
仿真模块,用于对仿真网表进行仿真。
在本申请的一些可选实施例中,还可以包括:
目标子单元确定模块,用于确定待仿真电路中的目标子单元。
在本申请的一些可选实施例中,第一寄生网表获取模块,可以包括:
cdl网表导出单元,用于导出目标子单元的cdl网表;
gds文件导出单元,用于确定目标子单元的版图结构并根据版图结构导出gds文件;
第一寄生网表获取单元,用于根据cdl网表和gds文件获得第一寄生网表。
在本申请的一些可选实施例中,第一寄生网表获取单元具体可以用于将cdl网表和gds文件输入到EDA自动化工具中,以使EDA自动化工具输出第一寄生网表。
在本申请的一些可选实施例中,仿真网表获取模块具体可以用于改变第一寄生网表中的接口定义得到第二寄生网表,第二寄生网表能被前仿真网表调用;
仿真网表包括第二寄生网表和前仿真网表。
在本申请的一些可选实施例中,仿真模块,可以包括:
仿真网表导入单元,用于将仿真网表导入仿真器;
调用单元,用于调用仿真器对仿真网表进行仿真。
根据本申请实施例的第三方面,提供一种电子设备,该电子设备可以包括:
处理器;
用于存储处理器可执行指令的存储器;
其中,处理器被配置为执行指令,以实现如第一方面的任一项实施例中所示的集成电路后仿真方法。
根据本申请实施例的第四方面,提供一种存储介质,当存储介质中的指令由信息处理装置或者服务器的处理器执行时,以使信息处理装置或者服务器实现以实现如第一方面的任一项实施例中所示的集成电路后仿真方法。
根据本申请实施例的第五方面,提供一种芯片,芯片包括处理器和通信接口,通信接口和处理器耦合,处理器用于运行程序或指令,实现以实现如第一方面的任一项实施例中所示的集成电路后仿真方法。
本申请的上述技术方案具有如下有益的技术效果:
本申请实施例方法通过获取待仿真电路的前仿真网表;获取待仿真电路中目标子单元的第一寄生网表;利用第一寄生网表和前仿真网表获取待仿真电路的仿真网表;对仿真网表进行仿真。该方法将目标子单元的寄生参数覆盖在前仿真网表上进行仿真,省略无需验证的电路模块,可以更加有针对性地进行仿真,较少了仿真时间,提高了集成电路仿真的速度和验证效率。
附图说明
图1是本申请一示例性实施例中集成电路后仿真方法流程图;
图2是本申请一示例性实施例中前仿真网表示意图;
图3是本申请一示例性实施例中仿真网表示意图;
图4是本申请一示例性实施例中集成电路后仿真装置结构示意图;
图5是本申请一示例性实施例中电子设备结构示意图;
图6是本申请一示例性实施例中电子设备的硬件结构示意图。
具体实施方式
为使本申请的目的、技术方案和优点更加清楚明了,下面结合具体实施方式并参照附图,对本申请进一步详细说明。应该理解,这些描述只是示例性的,而并非要限制本申请的范围。此外,在以下说明中,省略了对公知结构和技术的描述,以避免不必要地混淆本申请的概念。
在附图中示出了根据本申请实施例的层结构示意图。这些图并非是按比例绘制的,其中为了清楚的目的,放大了某些细节,并且可能省略了某些细节。图中所示出的各种区域、层的形状以及它们之间的相对大小、位置关系仅是示例性的,实际中可能由于制造公差或技术限制而有所偏差,并且本领域技术人员根据实际所需可以另外设计具有不同形状、大小、相对位置的区域/层。
显然,所描述的实施例是本申请一部分实施例,而不是全部的实施例。基于本申请中的实施例,本领域普通技术人员在没有做出创造性劳动前提下所获得的所有其他实施例,都属于本申请保护的范围。
在本申请的描述中,需要说明的是,术语“第一”、“第二”、“第三”仅用于描述目的,而不能理解为指示或暗示相对重要性。
此外,下面所描述的本申请不同实施方式中所涉及的技术特征只要彼此之间未构成冲突就可以相互结合。
现有后仿真技术在进行仿真时,由于顶层电路规模庞大,寄生参数众多,因此仿真耗时非常长。发明人发现其实很多场景下一些子电路模块是不需要验证的,但又无法跳过这些模块,不得不对所有电路进行仿真,因此导致后仿真时间过长。对此,本申请提供一种集成电路后仿真方法以解决该问题。
下面结合附图,通过具体的实施例及其应用场景对本申请实施例提供的集成电路后仿真方法进行详细地说明。
如图1所示,在本申请实施例的第一方面,提供了一种集成电路后仿真方法,该方法可以包括:
S110:获取待仿真电路的前仿真网表;
S120:获取待仿真电路中目标子单元的第一寄生网表;
S130:利用第一寄生网表和前仿真网表获取待仿真电路的仿真网表;
S140:对仿真网表进行仿真。
上述实施例方法将目标子单元的寄生参数覆盖在前仿真网表上进行仿真,省略无需验证的电路模块,可以更加有针对性地进行仿真,较少了仿真时间,提高了集成电路仿真的速度和验证效率。
为了更加清楚地描述,下面对于上述步骤进行分别说明:
首先是步骤S110:获取待仿真电路的前仿真网表。
本步骤中前仿真网表可以分析电路的逻辑连接关系的正确性,仿真速度快,可以根据需要观察电路输入输出端口和电路内部任一信号和寄存器的波形。
接下来是步骤S120:获取待仿真电路中目标子单元的第一寄生网表。
本步骤中的目标子单元可以是仿真过程中必须进行验证的电路模块,这样就可以对不需要验证的,但又无法跳过的这些模块进行去除,跳过这些无需验证的模块,减小后仿真时间;目标子单元也可以是电路版图设计过程中已经完成的电路模块。这样就可以在顶层版图设计未完成时,针对已完成的电路模块先进行仿真,加快工程进度。
接下来是S130:利用第一寄生网表和前仿真网表获取待仿真电路的仿真网表。
本步骤可以是利用多个目标子单元中每个目标子单元对应的第一寄生网表,覆盖前仿真网表中该目标子单元对应的子单元,得到仿真网表。
示例性的,前仿真网表被覆盖前,如图2所示,图2中A为顶层电路,B/C/D/E/F/G均为目标子单元,E1/E2均为D的子单元;目标子单元B/D/E1/F/G从顶层电路前仿网表中被寄生网表覆盖,可以先批量抽取B/D/E1/F/G的寄生参数,然后将寄生网表B/D/E1/F/G的Pin定义替换成前仿网表的Pin定义,这样就形成了仿真网表,如图3所示,图3中,b/d/e1/f/g为带寄生参数单元。
最后是步骤S140:对仿真网表进行仿真。
本步骤可以是调用仿真器直接运行仿真网表,快速得到仿真结果。
在一实施例中,在获取待仿真电路中目标子单元的第一寄生网表之前,集成电路后仿真方法还可以包括:
确定待仿真电路中的目标子单元。
在一实施例中,获取待仿真电路中目标子单元的第一寄生网表,可以包括:
导出目标子单元的cdl网表;
确定目标子单元的版图结构并根据版图结构导出gds文件;
根据cdl网表和gds文件获得第一寄生网表。
在一实施例中,根据cdl网表和gds文件获得第一寄生网表,可以包括:
将cdl网表和gds文件输入到EDA自动化工具中,以使EDA自动化工具输出第一寄生网表。
在一实施例中,利用第一寄生网表和前仿真网表获取待仿真电路的仿真网表,可以包括:
改变第一寄生网表中的接口定义得到第二寄生网表,第二寄生网表能被前仿真网表调用;
仿真网表包括第二寄生网表和前仿真网表。
本实施例方法可以实现跳过无需验证的电路单元,减小后仿真时间;也可以在顶层版图设计未完成时,针对已完成的电路模块先进行仿真,加快工程进度。本实施例的实施过程可以将第一寄生网表中的接口定义替换成前仿网表的接口定义这样就形成了第二寄生网表,由于接口定义改成了前仿网表的定义,因此,第二寄生网表可以被前仿真网表调用。
示例性的,第二寄生网的得到过程可以通过下述方式实现:
Figure BDA0003284824840000071
Figure BDA0003284824840000081
上述实施例的实现过程是将子单元B的第一寄生网表的接口定义X Y Z替换成前仿真文件中对应子单元B的接口定义Y Z X这样就形成了子单元B的第二寄生网表,在仿真过程中就可以直接调用第二寄生网表了。
在一实施例中,前仿真网表可以包括与目标子单元对应的前仿真子网表,第二寄生网表的接口定义与前仿真子网表的接口定义可以相同。
在一实施例中,对仿真网表进行仿真,可以包括:
将仿真网表导入仿真器;
调用仿真器对仿真网表进行仿真。
在本申请的一示例性的实施例中,提供集成电路后仿真方法,可以包括:导出顶层电路前仿网表,批量抽取若干个子单元的寄生参数,批量将各单元后仿网表的接口定义替换成前仿网表的接口定义,将顶层电路的前仿网表和各个子单元的寄生网表包含到仿真网表中,对仿真网表仿真。
如图3所示,仿真网表可以包括寄生网表和前仿真网表,实现过程是将第二寄生网表和前仿真网表包含到一个包含所有数据和参数配置的网表(testbench,该网表可以在仿真器中直接运行)里形成仿真网表,仿真网表在仿真的时候,如果遇到目标子单元,就会调用第二寄生网表,这样就实现对电路的后仿真。
示例性的,可以通过下述方式实现将第二寄生网表和前仿真网表包含到一个包含所有数据和参数配置的仿真网表:
.inc A.sp
.inc b.spf
.inc d.spf
.inc e1.spf
.inc g.spf
***
其中,.sp:即spice netlist,是不带寄生参数的电路网表,可用于前仿真;.spf:即spef(standard parasitic exchange format),是集成电路设计流程中EDA工具间传递互连线寄生参数的标准媒介文件。是一种包含寄生参数的网表,用于后仿真。该过程实现了将前仿真网表A.sp及第二寄生网表b.spf、d.spf、e1.spf、g.spf包含到仿真网表中形成input.sp,这样就可以对仿真网表的仿真,进而实现集成电路快速后仿真。
需要说明的是,本申请实施例提供的集成电路后仿真方法,执行主体可以为集成电路后仿真装置,或者该集成电路后仿真装置中的用于执行集成电路后仿真的方法的控制模块。本申请实施例中以集成电路后仿真装置执行集成电路后仿真的方法为例,说明本申请实施例提供的集成电路后仿真的装置。
如图4所示,在本申请实施例的第二方面,提供一种集成电路后仿真装置,该装置可以包括:
前仿真网表获取模块410,用于获取待仿真电路的前仿真网表;
第一寄生网表获取模块420,用于获取待仿真电路中目标子单元的第一寄生网表;
仿真网表获取模块430,用于利用第一寄生网表和前仿真网表获取待仿真电路的仿真网表;
仿真模块440,用于对仿真网表进行仿真。
本实施例装置可以利用寄生参数覆盖模块将目标子单元的寄生参数覆盖在前仿真网表上进行仿真,省略无需验证的电路模块,可以更加有针对性地进行仿真,较少了仿真时间,提高了集成电路仿真的速度和验证效率。
在一实施例中,还可以包括:
目标子单元确定模块,用于确定待仿真电路中的目标子单元。
在一实施例中,第一寄生网表获取模块,可以包括:
cdl网表导出单元,用于导出目标子单元的cdl网表;
gds文件导出单元,用于确定目标子单元的版图结构并根据版图结构导出gds文件;
第一寄生网表获取单元,用于根据cdl网表和gds文件获得第一寄生网表。
在一实施例中,第一寄生网表获取单元具体可以用于将cdl网表和gds文件输入到EDA自动化工具中,以使EDA自动化工具输出第一寄生网表。
在一实施例中,仿真网表获取模块具体可以用于改变第一寄生网表中的接口定义得到第二寄生网表,第二寄生网表能被前仿真网表调用;
仿真网表包括第二寄生网表和前仿真网表。
在一实施例中,仿真模块,可以包括:
仿真网表导入单元,用于将仿真网表导入仿真器;
调用单元,用于调用仿真器对仿真网表进行仿真。
本申请实施例中的集成电路后仿真装置可以是装置,也可以是终端中的部件、集成电路、或芯片。该装置可以是移动电子设备,也可以为非移动电子设备。示例性的,移动电子设备可以为手机、平板电脑、笔记本电脑、掌上电脑、车载电子设备、可穿戴设备、超级移动个人计算机(ultra-mobile personal computer,UMPC)、上网本或者个人数字助理(personal digital assistant,PDA)等,非移动电子设备可以为服务器、网络附属存储器(Network Attached Storage,NAS)、个人计算机(personal computer,PC)、电视机(television,TV)、柜员机或者自助机等,本申请实施例不作具体限定。
本申请实施例中的集成电路后仿真装置可以为具有操作系统的装置。该操作系统可以为安卓(Android)操作系统,可以为ios操作系统,还可以为其他可能的操作系统,本申请实施例不作具体限定。
本申请实施例提供的集成电路后仿真装置能够实现图1的方法实施例实现的各个过程,为避免重复,这里不再赘述。
如图5所示,本申请实施例还提供一种电子设备500,包括处理器501,存储器502,存储在存储器502上并可在所述处理器501上运行的程序或指令,该程序或指令被处理器501执行时实现上述集成电路后仿真方法实施例的各个过程,且能达到相同的技术效果,为避免重复,这里不再赘述。
需要说明的是,本申请实施例中的电子设备包括上述所述的移动电子设备和非移动电子设备。
图6为实现本申请实施例的一种电子设备的硬件结构示意图。
该电子设备600包括但不限于:射频单元601、网络模块602、音频输出单元603、输入单元604、传感器605、显示单元606、用户输入单元607、接口单元608、存储器609、以及处理器610等部件。
本领域技术人员可以理解,电子设备600还可以包括给各个部件供电的电源(比如电池),电源可以通过电源管理系统与处理器610逻辑相连,从而通过电源管理系统实现管理充电、放电、以及功耗管理等功能。图6中示出的电子设备结构并不构成对电子设备的限定,电子设备可以包括比图示更多或更少的部件,或者组合某些部件,或者不同的部件布置,在此不再赘述。
本实施例电子设备可以将目标子单元的寄生参数覆盖在前仿真网表上进行仿真,省略无需验证的电路模块,可以更加有针对性地进行仿真,较少了仿真时间,提高了集成电路仿真的速度和验证效率。
应理解的是,本申请实施例中,输入单元604可以包括图形处理器(GraphicsProcessing Unit,GPU)6041和麦克风6042,图形处理器6041对在视频捕获模式或图像捕获模式中由图像捕获装置(如摄像头)获得的静态图片或视频的图像数据进行处理。显示单元606可包括显示面板6061,可以采用液晶显示器、有机发光二极管等形式来配置显示面板6061。用户输入单元607包括触控面板6071以及其他输入设备6072。触控面板6071,也称为触摸屏。触控面板6071可包括触摸检测装置和触摸控制器两个部分。其他输入设备6072可以包括但不限于物理键盘、功能键(比如音量控制按键、开关按键等)、轨迹球、鼠标、操作杆,在此不再赘述。存储器609可用于存储软件程序以及各种数据,包括但不限于应用程序和操作系统。处理器610可集成应用处理器和调制解调处理器,其中,应用处理器主要处理操作系统、用户界面和应用程序等,调制解调处理器主要处理无线通信。可以理解的是,上述调制解调处理器也可以不集成到处理器610中。
本申请实施例还提供一种可读存储介质,所述可读存储介质上存储有程序或指令,该程序或指令被处理器执行时实现上述集成电路后仿真方法实施例的各个过程,且能达到相同的技术效果,为避免重复,这里不再赘述。
其中,所述处理器为上述实施例中所述的电子设备中的处理器。所述可读存储介质,包括计算机可读存储介质,如计算机只读存储器(Read-Only Memory,ROM)、随机存取存储器(Random Access Memory,RAM)、磁碟或者光盘等。
本申请实施例另提供了一种芯片,所述芯片包括处理器和通信接口,所述通信接口和所述处理器耦合,所述处理器用于运行程序或指令,实现上述集成电路后仿真方法实施例的各个过程,且能达到相同的技术效果,为避免重复,这里不再赘述。
应理解,本申请实施例提到的芯片还可以称为系统级芯片、系统芯片、芯片系统或片上系统芯片等。
需要说明的是,在本文中,术语“包括”、“包含”或者其任何其他变体意在涵盖非排他性的包含,从而使得包括一系列要素的过程、方法、物品或者装置不仅包括那些要素,而且还包括没有明确列出的其他要素,或者是还包括为这种过程、方法、物品或者装置所固有的要素。在没有更多限制的情况下,由语句“包括一个……”限定的要素,并不排除在包括该要素的过程、方法、物品或者装置中还存在另外的相同要素。此外,需要指出的是,本申请实施方式中的方法和装置的范围不限按示出或讨论的顺序来执行功能,还可包括根据所涉及的功能按基本同时的方式或按相反的顺序来执行功能,例如,可以按不同于所描述的次序来执行所描述的方法,并且还可以添加、省去、或组合各种步骤。另外,参照某些示例所描述的特征可在其他示例中被组合。
通过以上的实施方式的描述,本领域的技术人员可以清楚地了解到上述实施例方法可借助软件加必需的通用硬件平台的方式来实现,当然也可以通过硬件,但很多情况下前者是更佳的实施方式。基于这样的理解,本申请的技术方案本质上或者说对现有技术做出贡献的部分可以以计算机软件产品的形式体现出来,该计算机软件产品存储在一个存储介质(如ROM/RAM、磁碟、光盘)中,包括若干指令用以使得一台终端(可以是手机,计算机,服务器,或者网络设备等)执行本申请各个实施例所述的方法。
上面结合附图对本申请的实施例进行了描述,但是本申请并不局限于上述的具体实施方式,上述的具体实施方式仅仅是示意性的,而不是限制性的,本领域的普通技术人员在本申请的启示下,在不脱离本申请宗旨和权利要求所保护的范围情况下,还可做出很多形式,均属于本申请的保护之内。

Claims (16)

1.一种集成电路后仿真方法,其特征在于,包括:
获取待仿真电路的前仿真网表;
获取所述待仿真电路中目标子单元的第一寄生网表;
利用所述第一寄生网表和所述前仿真网表获取所述待仿真电路的仿真网表;
对所述仿真网表进行仿真。
2.根据权利要求1所述的集成电路后仿真方法,其特征在于,在所述获取所述待仿真电路中目标子单元的第一寄生网表之前,所述集成电路后仿真方法还包括:
确定所述待仿真电路中的所述目标子单元。
3.根据权利要求1所述的集成电路后仿真方法,其特征在于,所述获取所述待仿真电路中目标子单元的第一寄生网表,包括:
导出所述目标子单元的cdl网表;
确定所述目标子单元的版图结构并根据所述版图结构导出gds文件;
根据所述cdl网表和所述gds文件获得所述第一寄生网表。
4.根据权利要求3所述的集成电路后仿真方法,其特征在于,所述根据所述cdl网表和所述gds文件获得所述第一寄生网表,包括:
将所述cdl网表和所述gds文件输入到EDA自动化工具中,以使所述EDA自动化工具输出所述第一寄生网表。
5.根据权利要求1所述的集成电路后仿真方法,其特征在于,所述利用所述第一寄生网表和所述前仿真网表获取所述待仿真电路的仿真网表,包括:
改变所述第一寄生网表中的接口定义得到第二寄生网表,所述第二寄生网表能被所述前仿真网表调用;
所述仿真网表包括所述第二寄生网表和所述前仿真网表。
6.根据权利要求5所述的集成电路后仿真方法,其特征在于,所述前仿真网表包括与所述目标子单元对应的前仿真子网表,所述第二寄生网表的接口定义与所述前仿真子网表的接口定义相同。
7.根据权利要求1-6任一项所述的集成电路后仿真方法,其特征在于,所述对所述仿真网表进行仿真,包括:
将所述仿真网表导入仿真器;
调用所述仿真器对所述仿真网表进行仿真。
8.一种集成电路后仿真装置,其特征在于,包括:
前仿真网表获取模块,用于获取待仿真电路的前仿真网表;
第一寄生网表获取模块,用于获取所述待仿真电路中目标子单元的第一寄生网表;
仿真网表获取模块,用于利用所述第一寄生网表和所述前仿真网表获取所述待仿真电路的仿真网表;
仿真模块,用于对所述仿真网表进行仿真。
9.根据权利要求8所述的集成电路后仿真装置,其特征在于,还包括:
目标子单元确定模块,用于确定所述待仿真电路中的所述目标子单元。
10.根据权利要求9所述的集成电路后仿真装置,其特征在于,所述第一寄生网表获取模块,包括:
cdl网表导出单元,用于导出所述目标子单元的cdl网表;
gds文件导出单元,用于确定所述目标子单元的版图结构并根据所述版图结构导出gds文件;
第一寄生网表获取单元,用于根据所述cdl网表和所述gds文件获得所述第一寄生网表。
11.根据权利要求10所述的集成电路后仿真装置,其特征在于,所述第一寄生网表获取单元具体用于将所述cdl网表和所述gds文件输入到EDA自动化工具中,以使所述EDA自动化工具输出所述第一寄生网表。
12.根据权利要求8所述的集成电路后仿真装置,其特征在于,所述仿真网表获取模块具体用于改变所述第一寄生网表中的接口定义得到第二寄生网表,所述第二寄生网表能被所述前仿真网表调用;
所述仿真网表包括所述第二寄生网表和所述前仿真网表。
13.根据权利要求8-12任一项所述的集成电路后仿真装置,其特征在于,所述仿真模块,包括:
仿真网表导入单元,用于将所述仿真网表导入仿真器;
调用单元,用于调用所述仿真器对所述仿真网表进行仿真。
14.一种电子设备,其特征在于,包括:处理器,存储器及存储在所述存储器上并可在所述处理器上运行的程序或指令,所述程序或指令被所述处理器执行时实现如权利要求1-7任一项所述的集成电路后仿真方法的步骤。
15.一种可读存储介质,其特征在于,所述可读存储介质上存储程序或指令,所述程序或指令被处理器执行时实现如权利要求1-7任一项所述的集成电路后仿真方法的步骤。
16.一种芯片,所述芯片包括处理器和通信接口,所述通信接口和所述处理器耦合,所述处理器用于运行程序或指令,实现如权利要求1-7任一项所述的集成电路后仿真方法的步骤。
CN202111143373.0A 2021-09-28 2021-09-28 集成电路后仿真方法、装置、电子设备及存储介质 Pending CN115879406A (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN202111143373.0A CN115879406A (zh) 2021-09-28 2021-09-28 集成电路后仿真方法、装置、电子设备及存储介质
US17/826,237 US20230096934A1 (en) 2021-09-28 2022-05-27 Integrated circuit post-layout simulation method and device, electronic device and storage medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202111143373.0A CN115879406A (zh) 2021-09-28 2021-09-28 集成电路后仿真方法、装置、电子设备及存储介质

Publications (1)

Publication Number Publication Date
CN115879406A true CN115879406A (zh) 2023-03-31

Family

ID=85718101

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202111143373.0A Pending CN115879406A (zh) 2021-09-28 2021-09-28 集成电路后仿真方法、装置、电子设备及存储介质

Country Status (2)

Country Link
US (1) US20230096934A1 (zh)
CN (1) CN115879406A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117313599A (zh) * 2023-10-10 2023-12-29 上海合芯数字科技有限公司 电路仿真方法、装置、电子设备及介质

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117371386B (zh) * 2023-12-08 2024-04-02 奇捷科技(深圳)有限公司 电路布局更新方法、装置、设备及存储介质

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117313599A (zh) * 2023-10-10 2023-12-29 上海合芯数字科技有限公司 电路仿真方法、装置、电子设备及介质
CN117313599B (zh) * 2023-10-10 2024-05-14 上海合芯数字科技有限公司 电路仿真方法、装置、电子设备及介质

Also Published As

Publication number Publication date
US20230096934A1 (en) 2023-03-30

Similar Documents

Publication Publication Date Title
US9703921B1 (en) Naturally connecting mixed-signal power networks in mixed-signal simulations
CN101539958B (zh) 一种标准单元库和集成电路的设计方法和装置
CN115879406A (zh) 集成电路后仿真方法、装置、电子设备及存储介质
US8468479B2 (en) Consistent hierarchical timing model with crosstalk consideration
CN106888236A (zh) 会话管理方法及会话管理装置
CN103677235A (zh) 交互式扩增实境系统、便携式通讯装置与互动方法
Chang et al. Efficient hardware accelerators for the computation of Tchebichef moments
CN100461188C (zh) 计算机辅助高频电路模型模拟分析方法及系统
CN109840212A (zh) 应用程序的功能测试方法、装置、设备及可读存储介质
CN109741420B (zh) 一种区域填充方法、装置、电子设备及存储介质
CN107784185A (zh) 一种门级网表中伪路径的提取方法、装置及终端设备
US20200104443A1 (en) Hardware simulation systems and methods for reducing signal dumping time and size by fast dynamical partial aliasing of signals having similar waveform
US20220327269A1 (en) Computing device and method for detecting clock domain crossing violation in design of memory device
CN109885850B (zh) 一种局部寄存器的生成方法及生成系统
US20230119051A1 (en) Method and apparatus for constructing fpga chip top-level schematic and storage medium
CN113627107A (zh) 确定电源电压数据的方法、装置、电子设备和介质
CN103593139A (zh) 一种多单元仿真笔迹协同处理方法和装置
CN103164504A (zh) 一种智能手机精细化图片搜索系统及方法
CN112613257A (zh) 验证方法、装置、电子设备和计算机可读存储介质
CN113627106B (zh) 多比特寄存器的仿真方法、装置和电子设备
CN116451625B (zh) 用于rtl和带sdf网表的联合仿真的装置和方法
CN115826961B (zh) 设计器及引擎分离重用的数据分析系统设计方法及装置
CN108229702A (zh) 一种湿制程设备零件维修方法、装置及计算机存储介质
CN112214955B (zh) 一种提取超大规模集成电路芯片电源模型参数的方法
CN115221831B (zh) 实现电路版图代码化半自动布局的方法及装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination