CN115769362A - 介电表面的湿式官能化 - Google Patents

介电表面的湿式官能化 Download PDF

Info

Publication number
CN115769362A
CN115769362A CN202180037052.4A CN202180037052A CN115769362A CN 115769362 A CN115769362 A CN 115769362A CN 202180037052 A CN202180037052 A CN 202180037052A CN 115769362 A CN115769362 A CN 115769362A
Authority
CN
China
Prior art keywords
metal
barrier layer
functional group
deposition
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180037052.4A
Other languages
English (en)
Inventor
李·J·布罗根
马修·马丁·休伊
刘艺华
乔纳森·大卫·里德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN115769362A publication Critical patent/CN115769362A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/52Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating using reducing agents for coating with metallic material not provided for in a single one of groups C23C18/32 - C23C18/50
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F17/00Multi-step processes for surface treatment of metallic material involving at least one process provided for in class C23 and at least one process covered by subclass C21D or C22F or class C25

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Electrochemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemically Coating (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

多种实施方案涉及形成互连件结构或该互连件结构的一部分的方法、装置和系统。该方法可包括将衬底与官能化浴接触以形成经改性的第一材料,其中该官能化浴包含第一溶剂及官能化反应物,接着经由无电镀覆、电镀、化学气相沉积或原子层沉积而在经改性的第一材料上沉积第二材料。根据多种实施方案,第一材料可以是介电材料、阻挡层或衬垫,而第二材料可以是阻挡层或阻挡层前体、衬垫、晶种层或者形成互连件结构的互连件的导电金属。

Description

介电表面的湿式官能化
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
随着半导体设备尺寸持续缩小,这种设备的制造变得更加困难。在许多情况下,现有工艺无法形成可容许公差内的期望材料和结构。
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
本文中的多种实施方案涉及在半导体衬底上形成互连件结构或该互连件结构的一部分的方法、装置和系统。在多种实施方案中,将湿式处理方法用于使暴露表面官能化,以促进后续层的沉积改善。
在所公开实施方案的一个方面,提供了一种在衬底上形成互连件结构或所述互连件结构的一部分的方法。所述方法包括:(a)在湿式处理室中接收所述衬底,所述衬底包括介电材料,所述介电材料具有形成在所述介电材料中的凹陷特征,其中所述互连件结构待形成于所述凹陷特征中,其中第一材料在暴露于所述凹陷特征内;(b)使所述衬底与官能化浴接触以在所述第一材料的表面上形成经改性的第一材料,其中所述官能化浴包含第一溶剂和官能化反应物,(i)其中所述经改性的第一材料包括被所述官能化反应物改性的所述第一材料,以及(ii)其中所述官能化反应物包含(1)结合官能团,其将所述官能化反应物结合至所述第一材料;以及(2)活性官能团,其促进第二材料在所述经改性的第一材料上的沉积,其中所述结合官能团和所述活性官能团可以是相同的或不同的;以及(c)在所述经改性的第一材料上沉积所述第二材料,(i)其中所述第二材料通过无电镀覆、电镀、化学气相沉积或原子层沉积来沉积,以及(ii)其中下列条件中的一者被满足:(1)所述第一材料为所述介电材料,而所述第二材料为阻挡层或阻挡层前体,(2)所述第一材料为所述阻挡层,而所述第二材料为衬垫,(3)所述第一材料为所述阻挡层,而所述第二材料为形成所述互连件结构的互连件的导电金属,(4)所述第一材料为所述阻挡层,而所述第二材料为晶种层,(5)所述第一材料为所述衬垫,而所述第二材料为所述晶种层,或者(6)所述第一材料为所述衬垫,而所述第二材料为形成所述互连件结构的所述互连件的导电金属。
在一些实施方案中,所述第一材料可以是所述介电材料,而所述第二材料可以是阻挡层或阻挡层前体。在一些实施方案中,所述第一材料可以是所述阻挡层,而所述第二材料可以是衬垫。在一些实施方案中,所述第一材料可以是所述阻挡层,而所述第二材料可以是形成所述互连件结构的所述互连件的所述导电金属。在一些实施方案中,所述第一材料可以是所述衬垫,而所述第二材料可以是形成所述互连件结构的所述互连件的所述导电金属。在一些实施方案中,所述第一材料可以是所述阻挡层,而所述第二材料可以是晶种层。在一些实施方案中,所述第一材料可以是所述衬垫,而所述第二材料可以是所述晶种层。
在一些实现方案中,所述活性官能团可以包括还原性官能团。在一些这样的实施方案中,所述还原性官能团可以包括从下列项组成的群组中选择的材料:硼氢化物、硼烷、醛、酸、次磷酸盐、肼、二元醇、还原性金属离子、这些材料中的任一者的经取代的形式、以及它们的组合。在一些情况下,所述还原性官能团包括硼氢化物。在一些情况下,所述还原性官能团包括硼烷。在一些情况下,所述还原性官能团包括醛。在一些这样的情况下,醛为甲醛。在一些情况下,所述还原性官能团包括酸。酸可选自于由乙醛酸、丙酮酸、抗坏血酸、以及它们的组合所组成的群组。在一些情况下,所述还原性官能团包括次磷酸盐。在一些情况下,所述还原性官能团包括肼。在一些情况下,所述还原性官能团包括二元醇。在一些情况下,二元醇为乙二醇。在一些情况下,所述还原性官能团包括还原性金属离子。在一些情况下,所述还原性金属离子选自于由Fe(II)、Cr(II)、Ti(III)、V(II)、以及它们的组合所组成的群组。在一些实现方案中,所述活性官能团可以包括催化性官能团。在一些这样的实施方案中,所述催化性官能团可以包括金属的纳米颗粒或金属氧化物的纳米颗粒。
在某些实施方案中,所述活性官能团可以包括去络合官能团。在一些这样的实施方案中,所述去络合官能团可以包括从下列项组成的群组中选择的材料:氢氧化物、醇、酯、醚、羧酸、以及它们的组合。在一些情况下,所述活性官能团可以包括粘附性官能团。在一些这样的实施方案中,所述粘附性官能团可以包括从下列项组成的群组中选择的材料:氢氧化物、醇、羧酸、金属氧化物、以及它们的组合。在一些情况下,所述粘附性官能团包括氢氧化物。在一些情况下,所述粘附性官能团包括醇。在一些情况下,所述粘附性官能团包括羧酸。在一些情况下,所述粘附性官能团包括金属氧化物。
在一些实施方案中,所述结合官能团可以包括物理吸附官能团。在一些这样的实施方案中,所述物理吸附官能团可以包括从下列项组成的群组中选择的材料:膦酸盐、羧酸盐、胺、炔、烯、儿茶酚、儿茶酚衍生物、以及它们的组合。在一些实施方案中,所述物理吸附官能团包括膦酸盐。在一些实施方案中,所述物理吸附官能团包括羧酸盐。在一些实施方案中,所述物理吸附官能团包括胺。在一些实施方案中,所述物理吸附官能团包括炔。在一些实施方案中,所述物理吸附官能团包括烯。在一些实施方案中,所述物理吸附官能团包括儿茶酚。在一些实施方案中,所述物理吸附官能团包括儿茶酚衍生物。在一些实施方案中,所述结合官能团包括化学吸附官能团。在一些这样的实施方案中,所述化学吸附官能团可以包括从下列项组成的群组中选择的材料:氢氧化物、硅烷、酯、硅氮烷、甲硅烷基-乙酰胺、甲硅烷基-咪唑、以及它们的组合。在一些情况下,所述化学吸附官能团包括氢氧化物。在一些情况下,所述化学吸附官能团包括硅。在一些情况下,所述化学吸附官能团包括硅烷。在一些这样的情况下,硅烷为卤硅烷。在一些情况下,硅烷为烷氧基-硅烷。在一些情况下,硅烷为酰氧基-硅烷。在一些情况下,硅烷为丙烯基硅烷。在一些情况下,硅烷为芳基硅烷。在一些情况下,硅烷为甲基硅烷。在一些情况下,硅烷为乙烯基硅烷。在一些情况下,所述化学吸附官能团包括酯。在一些情况下,酯为N-羟基琥珀酰亚胺酯。在一些情况下,所述化学吸附官能团包括硅氮烷。在一些情况下,硅氮烷为二硅氮烷或三硅氮烷。在一些情况下,所述化学吸附官能团包括甲硅烷基-乙酰胺。在一些情况下,所述化学吸附官能团包括甲硅烷基-咪唑。
所述官能化浴可以包括额外物质。在一些实施方案中,所述官能化浴还可以包括pH调整物质,所述pH调整物质包括碱或酸。在一些情况下,所述pH调整物质包括碱。在一些情况下,所述pH调整物质的碱可以包括从下列项组成的群组中选择的材料:三乙基胺、四甲基氢氧化铵、氢氧化铵、以及它们的组合。在一些情况下,所述pH调整物质包括酸。在一些情况下,所述pH调整物质的酸可以包括从下列项组成的群组中选择的材料:硫酸、盐酸、硝酸、磷酸、以及它们的组合。
所述第二材料可使用各种沉积技术来沉积。在一些实施方案中,所述第二材料可经由无电镀覆(electroless plating)来沉积。在一些实施方案中,所述第二材料可经由电镀来沉积。在一些实施方案中,所述第二材料可经由化学气相沉积来沉积。在一些实施方案中,所述第二材料可经由原子层沉积来沉积。在一些实现方案中,所述第二材料可在包括溶剂和金属物质源的沉积浴中沉积,其中所述第二材料包括所述金属物质源中的金属。在某些实施方案中,所述第二材料可使用金属物质源而在气相沉积室中沉积,其中所述第二材料包括所述金属物质源中的金属。
在多种实施方案中,所述金属物质源可以包括金属盐。例如,所述金属盐可以包括从下列项组成的群组中选择的材料:金属卤化物、金属亚硫酸盐、金属硫酸盐、金属氢氧化物、金属硝酸盐、金属亚磷酸盐、金属磷酸盐、以及它们的组合。在一些情况下,所述金属盐包括金属卤化物。在一些情况下,所述金属盐包括金属亚硫酸盐。在一些情况下,所述金属盐包括金属硫酸盐。在一些情况下,所述金属盐包括金属氢氧化物。在一些情况下,所述金属盐包括金属硝酸盐。在一些情况下,所述金属盐包括金属亚磷酸盐。在一些情况下,所述金属盐包括金属磷酸盐。在一些实施方案中,所述金属物质源可以包括连接型有机金属前体。在一些这样的情况下,所述连接型有机金属前体可以包括从下列项组成的群组中选择的材料:金属卤化物、金属烷化物、金属环戊二烯化物、金属己烷衍生物、环状有机金属化合物、金属烷氧化物、金属β-二酮化物、金属酰胺、金属酰亚胺、金属脒化物(metalamidinate)、金属膦、金属乙烯基硅烷、金属羧基化物、金属脒阴离子化物(metalamidinato)、金属吡咯基衍生物、金属双齿基化物、金属多环配位基、以及它们的组合。在一些情况下,所述连接型有机金属前体包括金属卤化物。在一些情况下,所述连接型有机金属前体包括金属烷化物。在一些情况下,所述连接型有机金属前体包括金属环戊二烯化物。在一些情况下,所述连接型有机金属前体包括金属己烷衍生物。在一些情况下,所述连接型有机金属前体包括环状有机金属化合物。在一些情况下,所述连接型有机金属前体包括金属烷氧化物。在一些情况下,所述连接型有机金属前体包括金属β-二酮化物。在一些情况下,所述连接型有机金属前体包括金属酰胺。在一些情况下,所述连接型有机金属前体包括金属酰亚胺。在一些情况下,所述连接型有机金属前体包括金属脒化物。在一些情况下,所述连接型有机金属前体包括金属膦。在一些情况下,所述连接型有机金属前体包括金属乙烯基硅烷。在一些情况下,所述连接型有机金属前体包括金属羧基化物。在一些情况下,所述连接型有机金属前体包括金属脒阴离子化物。在一些情况下,所述连接型有机金属前体包括金属吡咯基衍生物。在一些情况下,所述连接型有机金属前体包括金属双齿基化物。在一些情况下,所述连接型有机金属前体包括金属多环配位基。
在一些实施方案中,所述第二材料可以是所述阻挡层前体,并且所述方法还可以包括将所述衬底暴露于退火工艺,所述退火工艺将所述阻挡层前体转化为所述阻挡层。在一些此类情况中,所述退火工艺可以包括将所述衬底加热至介于约150℃至400℃之间的温度。在这些或其他情况下,所述退火工艺可以包括将所述衬底暴露于氢等离子体。在某些实现方案中,所述阻挡层可以包括金属硅酸盐,所述金属硅酸盐通过所述介电材料与所述阻挡层前体中的金属之间的反应来形成。
在多种实施方案中,所述第二材料可以包括从下列项组成的群组中选择的金属:钽、钛、锌、锡、镁、锰、铟、铝、钴、铱、钌、铜、钼、钯、钨、以及它们的组合。在一些情况下,所述第二材料中的金属选自于由镁、钛、钽、以及它们的组合所组成的群组。在一些情况下,所述第二材料中的金属选自于由锰、钴、铜、锌、以及它们的组合所组成的群组。在一些情况下,所述第二材料中的金属选自于由钼、钌、钯、钨、铱、以及它们的组合所组成的群组。在一些情况下,所述第二材料中的金属选自于由铝、铟、锡、以及它们的组合所组成的群组。在一些情况下,所述第二材料中的金属包括钽。在一些情况下,所述第二材料中的金属包括钛。在一些情况下,所述第二材料中的金属包括锌。在一些情况下,所述第二材料中的金属包括锡。在一些情况下,所述第二材料中的金属包括镁。在一些情况下,所述第二材料中的金属包括锰。在一些情况下,所述第二材料中的金属包括铟。在一些情况下,所述第二材料中的金属包括铝。在一些情况下,所述第二材料中的金属包括钴。在一些情况下,所述第二材料中的金属包括铱。在一些情况下,所述第二材料中的金属包括钌。在一些情况下,所述第二材料中的金属包括铜。在一些情况下,所述第二材料中的金属包括钼。在一些情况下,所述第二材料中的金属包括钯。在一些情况下,所述第二材料中的金属包括钨。在一些实施方案中,所述第一材料可以是所述介电材料,而所述第二材料可以是所述阻挡层或所述阻挡层前体,其中所述第二材料包括金属氧化物。在多种情况下,所述金属氧化物可以包括从下列项组成的群组选择的材料:锌氧化物、锡氧化物、锰氧化物、镁氧化物、钼氮化物、以及它们的组合。
在某些实现方案中,所述官能化浴的所述第一溶剂可以包括水。在其他实现方案中,所述官能化浴的所述第一溶剂可以是非极性的。
在一些实施方案中,所述第一材料可以是所述介电材料,而所述第二材料可以是所述阻挡层前体,所述第一溶剂及所述官能化反应物可各自为水,所述官能化反应物的所述结合官能团可以是可结合至所述介电材料的羟基,所述官能化反应物的所述活性官能团也可以是羟基,所述羟基可促进所述阻挡层前体的沉积,所述阻挡层前体可经由化学气相沉积或原子层沉积来沉积,且所述方法还可以包括将所述衬底暴露于退火处理,以将所述阻挡层前体转化为所述阻挡层。
在某些实施方案中,所述第一材料可以是所述介电材料,而所述第二材料可以是所述阻挡层前体,所述第一溶剂可以包括水,所述官能化反应物的所述结合官能团可以包括与所述介电材料结合的物理吸附官能团,所述官能化反应物的所述活性官能团可以包括还原性基团,所述还原性基团促进所述阻挡层前体的沉积,所述阻挡层前体可在包括第二溶剂及金属盐的沉积浴中经由无电镀覆而完全或部分地沉积,所述还原性官能团可使所述金属盐的金属还原而导致所述阻挡层前体的沉积。在一些实现方案中,所述物理吸附官能团可以包括醇。醇可以包括儿茶酚或儿茶酚衍生物,所述还原性官能团可以包括硼氢化物,所述第二溶剂可以包括水,且所述金属盐可以包括金属硫酸盐。在一些实现方案中,所述方法还可以包括将所述衬底与所述官能化浴第二次接触,接着将所述衬底与所述沉积浴第二次接触以导致所述阻挡层前体的进一步沉积。在一些实现方案中,所述方法还可以包括在沉积所述阻挡层前体的部分后,添加还原性物质至所述沉积浴而导致所述阻挡层前体的进一步沉积。在一些实现方案中,所述方法还可以包括在经由无电镀覆沉积所述阻挡层前体的初始部分后,经由化学气相沉积或原子层沉积来沉积额外阻挡层前体。在一些实现方案中,所述方法还可以包括将所述衬底暴露于退火,以将所述阻挡层前体转化为所述阻挡层。
在一些实施方案中,所述第一材料可以是所述介电材料,而所述第二材料可以是所述阻挡层前体,所述官能化浴的所述第一溶剂可以是非极性的,所述官能化反应物的所述结合官能团可以包括与所述介电材料结合的化学吸附基团,并且所述官能化反应物的所述活性官能团可以包括还原性官能团,所述还原性官能团促进所述阻挡层前体的沉积。在一些实现方案中,所述第一溶剂可以包括甲苯,所述化学吸附基团可以包括烷氧基硅烷,且所述还原性官能团可以包括二元醇。所述二元醇可以是乙二醇。在一些实现方案中,在与所述官能化浴接触时,可将所述衬底保持在介于约60℃至80℃之间的温度。在一些实现方案中,所述阻挡层前体可在包括第二溶剂及金属盐的沉积浴中经由无电镀覆来沉积,且所述官能化反应物的所述还原性官能团可用于使所述金属盐的金属还原而导致所述阻挡层前体的沉积。在一些实现方案中,所述阻挡层前体可使用金属物质源、经由化学气相沉积或原子层沉积来沉积,且所述官能化反应物的所述还原性官能团可用于使所述金属物质源的金属还原而导致所述阻挡层前体的沉积。在一些实现方案中,所述方法还可以包括将所述衬底暴露于退火工艺以将所述阻挡层前体转化为所述阻挡层。
在某些实施方案中,所述第一材料可以是所述介电材料,而所述第二材料可以是所述阻挡层前体,所述官能化反应物的所述活性官能团可以包括催化性官能团,以及所述阻挡层前体可在包括第二溶剂、金属盐和还原性物质的沉积浴中使用无电镀覆来沉积。在一些实现方案中,所述官能化浴的所述第一溶剂可以包括水,所述官能化反应物的所述结合基团可以包括儿茶酚或儿茶酚衍生物,所述官能化反应物的所述催化性官能团可以包括钴纳米颗粒,所述沉积浴的所述第二溶剂可以包括水,所述金属盐可以包括金属硫酸盐,且所述还原性物质可以包括硼氢化物。在一些实现方案中,所述方法还可以包括在所述阻挡层前体的沉积期间将所述沉积浴中的溶解氧浓度保持在目标范围中。在一些实现方案中,所述方法还可以包括将所述衬底暴露于退火工艺以将所述阻挡层前体转化为所述阻挡层。
在某些实施方案中,所述第一材料可以是所述介电材料,而所述第二材料可以是所述阻挡层前体,所述官能化反应物的所述活性官能团可以包括去络合官能团,所述阻挡层前体可在包括第二溶剂及连接型有机金属前体的沉积浴中经由无电镀覆而完全或部分沉积,且所述去络合官能团可与所述连接型有机金属前体相互作用而从所述连接型有机金属前体释放出金属,以导致所述阻挡层前体的沉积。在一些实现方案中,所述官能化浴的所述第一溶剂可以包括水,所述官能化反应物的所述去络合官能团可以包括羧酸,且所述连接型有机金属前体可以包括乙酸盐连接型金属。在一些实现方案中,所述方法还可以包括将所述衬底与所述官能化浴第二次接触,接着将所述衬底与所述沉积浴第二次接触,以导致所述阻挡层前体的进一步沉积。在一些实现方案中,所述方法还可以包括在经由无电镀覆沉积所述阻挡层前体的初始部分后,经由化学气相沉积或原子层沉积来沉积额外阻挡层前体。在一些实现方案中,所述方法还可以包括将所述衬底暴露于退火,以将所述阻挡层前体转化为所述阻挡层。在一些实现方案中,所述第一溶剂和所述官能化浴的所述官能化反应物可各自为水,所述官能化反应物的所述结合官能团可以是结合至所述介电材料的羟基,所述官能化反应物的所述活性官能团也可以是羟基,所述羟基可促进所述阻挡层前体的沉积,所述阻挡层前体可利用连接型有机金属前体而使用化学气相沉积来沉积,且所述官能化反应物的所述羟基可与所述连接型有机金属前体相互作用以释放出所述连接型有机金属前体中的金属,从而导致所述阻挡层前体的沉积。在一些实现方案中,所述连接型有机金属前体可以包括二乙基锌。在一些实施方案中,所述方法还可以包括将所述衬底暴露于退火工艺以将所述阻挡层前体转化为所述阻挡层,所述阻挡层包括硅酸锌。在一些实施方案中,所述方法还可以包括将所述衬底暴露于氢等离子体以将过量锌挥发,接着沉积铜晶种层,接着经由电镀来沉积所述导电金属,所述导电金属形成所述互连件结构的所述互连件。
在所公开实施方案的另一方面中,提供了一种在衬底上形成互连件结构或所述互连件结构的一部分的系统,所述系统包括:(a)第一湿式处理室;(b)任选的第二湿式处理室;(c)任选的真空室;以及(d)控制器,其被配置成致使进行本文所述的任何方法。
在所公开实施方案的另一方面中,提供了一种用于在衬底上形成互连件结构或所述互连件结构的一部分的系统,所述系统包括:(a)第一湿式处理室;(b)任选的第二湿式处理室;(c)任选的真空室;以及(d)控制器,其被配置成导致:(i)在所述湿式处理室中接收所述衬底,所述衬底包括介电材料,所述介电材料具有形成在所述介电材料中的凹陷特征,其中所述互连件结构待形成于所述凹陷特征中,其中第一材料暴露于所述凹陷特征内;(ii)使所述衬底与官能化浴接触以在所述第一材料的表面上形成经改性的第一材料,其中所述官能化浴包括第一溶剂和官能化反应物,(1)其中所述经改性的第一材料包括被所述官能化反应物改性的所述第一材料,以及(2)其中所述官能化反应物包括(A)结合官能团,其将所述官能化反应物结合至所述第一材料;以及(B)活性官能团,其促进第二材料在所述经改性的第一材料上的沉积,其中所述结合官能团和所述活性官能团可以是相同的或不同的;以及(iii)当所述衬底位于所述第一湿式处理室、所述任选的第二湿式处理室、或所述任选的真空室的任一者中时,在所述经改性的第一材料上沉积所述第二材料,(1)其中所述第二材料通过无电镀覆、电镀、化学气相沉积或原子层沉积来沉积,以及(2)其中下列条件中的一者被满足:(a)所述第一材料为所述介电材料,而所述第二材料为阻挡层或阻挡层前体,(b)所述第一材料为所述阻挡层,而所述第二材料为衬垫,(c)所述第一材料为所述阻挡层,而所述第二材料为形成所述互连件结构的互连件的导电金属,(d)所述第一材料为所述阻挡层,而所述第二材料为晶种层,(e)所述第一材料为所述衬垫,而所述第二材料为所述晶种层,或者(f)所述第一材料为所述衬垫,而所述第二材料为形成所述互连件结构的所述互连件的导电金属。
这些和其他方面参照附图在下文进一步描述。
附图说明
图1根据多种实施方案示出了互连件结构。
图2是描述对材料层进行官能化以及在其上沉积额外材料的方法的流程图。
图3是描述图2的方法的特定实施方案的流程图,其中经改性的材料层为介电材料层,而沉积在该介电材料上的额外材料为阻挡层或阻挡层前体。
图4根据多种实施方案描绘了湿式处理容器。
具体实施方式
在下方描述中,阐述了许多具体细节以提供对所呈现的实施方案的透彻理解。所公开的实施方案可以在不具有这些具体细节中的一些或全部的情况下实施。在其他情况下,并未详细描述众所周知的工艺操作以免不必要地使所公开的实施方案难以理解。虽然所公开的实施方案将结合特定实施方案进行描述,但应理解,这些特定实施方案并非意在限制所公开的实施方案。
在半导体制造的后端制程(BEOL)中涉及工艺中的一种为互连件的形成,其中所述互连件将两个或更多电路元件电气连接在一起。图1示出了衬底101的示例,该衬底具有形成在其上的互连件结构。衬底101在其上具有介电材料103,其中该介电材料103已被蚀刻以形成凹陷特征。在该凹陷特征内,阻挡层105存在于介电材料103上。任选的衬垫107可存在于阻挡层105上。导电金属109存在于任选的衬垫107上、或者阻挡层105上。导电金属109用作互连件。
一般而言,存在着广泛使用的工业标准以形成如图1中显示的互连件结构(例如,用于金属化BEOL互连件)。该工业标准使用特定的材料堆叠件,其中该材料堆叠件通过已被证实在制造后即时以及随着时间推移都能形成低缺陷的工艺制造。然而,随着设备尺寸持续缩小,该工业标准堆叠件开始占据过多可用的互连件体积,从而造成过高的线电阻。然而,由于各种限制,要修改该工业标准堆叠件可能是困难的。
例如,介电材料103应具有低电容率以及相关的低介电常数(κ),使电路的RC延迟最小化。如本文所使用的,介电常数为约3.7或更低的材料被视为低介电常数材料。介电材料103还应易于被蚀刻以形成相关电路系统,且应具有足够的机械强度以在蚀刻后抵抗图案塌陷。
阻挡层105被提供以最小化或消除导电金属109扩散至介电材料103中。在没有阻挡层105的情况下,导电金属109通常会扩散至介电材料103中,这导致介电常数上升,且最终导致互连件的短路。因此,阻挡层105应有效防止导电金属109的扩散。此外,导电金属109对于介电材料103、以及对于任选的衬垫107、或对于导电金属109自身应具有良好粘附性。所期望的是,阻挡层105是尽可能地薄的且导电的。用于形成阻挡层105的工艺应在介电材料103上表现出良好的成核;应产生几乎没有缺陷或者没有缺陷的连续膜,其中所述缺陷将会损害阻挡层防止金属扩散的性能;以及应产生几乎保形的台阶覆盖率以防止窄特征的夹止(pinch-off)。对于商业性处理,几乎没有阻挡材料能够满足这些限制。在一些情况下,将钽/钽氮化物的堆叠件用作阻挡层105。在一些受限的情况下,将钛/钛氮化物的堆叠件用作阻挡层105。物理气相沉积或反应性溅镀通常被用于沉积这些材料,从而形成阻挡层105。
任选的衬垫107可设置在阻挡层105与导电金属109之间。当衬垫107存在时,其可减轻阻挡层105的缺点。例如,如果阻挡层105对于导电金属109不具有足够的粘附性,则可提供衬垫107以确保在相关层之间(例如,阻挡层105与衬垫107之间以及衬垫107与导电金属109之间)具有充分的粘附性。在一些情况下,例如在钽氮化物阻挡层与铜之间使用钴衬垫。
在一些情况下,可将晶种层(未显示于图1中)设置在阻挡层105或任选的衬垫107上。晶种层是导电性的,并且可由与主体导电金属109相同的材料制成。晶种层使主体导电金属109的后续沉积能进行。在一些情况下,堆叠件中的另一层(例如,阻挡层105或任选的衬垫107)具有足够导电性,从而不需要额外晶种层。在沉积晶种层的情况下,其通常经由物理气相沉积而形成。
导电金属109用作互连件,以将衬底101上的不同设备电气连接。导电金属109通常通过电沉积来形成,所述电沉积以相对低成本提供良好的填充性质。导电金属109通常填充凹陷特征的体积,其中该体积未被上述其他层占据。
上述各堆叠件成分存在着与材料性质、界面性质等相关的若干限制。因此,对堆叠件内的各种成分中的任一种的改变可能造成与该堆叠件的其余成分相关的问题。另外,例如,由于替代性沉积方法示出与成核、纯度、形貌、成本或缺陷相关的不满意结果,因此可使用的许多材料仅能通过单一沉积技术进行沉积。
在本文中的多种实施方案中,可在湿式官能化工艺中对图1中所描述的一或更多层进行改性,以有利于后续处理。许多改性类型是可使用的。一般而言,改性涉及对衬底的暴露表面进行官能化,使后续层的沉积能进行、或者显著改善后续层的沉积,其中该沉积可经由湿式技术或干式技术进行沉积。
本文中的大部分描述涉及多个实施方案,其中湿式官能化步骤在介电材料103上进行,从而促进阻挡层105的后续沉积、或者促进被进一步处理以形成阻挡层105的材料的后续沉积。然而,应当理解,本文所描述的技术可应用于图1中所显示的任何一或更多层/界面。例如,在一实施方案中,本文所描述的技术用于使阻挡层105的上表面官能化,从而促进衬垫107的后续沉积。在另一实施方案中,本文所描述的技术用于使阻挡层105的上表面官能化,从而促进晶种层的后续沉积。在另一实施方案中,本文所描述的技术用于使阻挡层105的上表面官能化,从而促进导电金属109的后续沉积。在另一实施方案中,本文所描述的技术用于使衬垫107的上表面官能化,从而促进晶种层的后续沉积。在另一实施方案中,本文所描述的技术用于使衬垫107的上表面官能化,从而促进导电金属109的后续沉积。在另一实施方案中,重复执行本文所描述的技术,以使图1中所示的两或更多层/界面进行官能化。
湿式官能化工艺中的一个优点在于其使得能将例如用于阻挡层105、衬垫107和晶种层的各种材料进行沉积,而这是在先前无法实现的。换言之,湿式处理工艺能够形成互连堆叠件,其中所述互连堆叠件具有有用的性质和材料,而这些材料在先前因为缺乏合适的处理方法而不能制造。本文中的技术呈现出制造性能的显著改善。
在某些实施方案中,介电材料103可以是例如硅、硅氧化物、硅氮化物、硅碳化物之类的含硅介电材料、或包括硅的三元素组合物、或者C、N或O的组合物。可利用如碳、氮等材料对介电材料103进行掺杂。在这些或其他实施方案中,阻挡层105可以是金属和/或金属氮化物。阻挡层105可以是金属、金属氮化物、金属氧化物、金属碳化物和/或金属硅酸盐,并且可以包括从由下列项组成的群组中选择的金属:钽、钛、锌、锡、镁、锰、铟、铝、钴、铱、钌、铜、钼、钯、钨、以及它们的组合。为阻挡层105所选择的材料应提供良好的扩散阻挡性,以防止导电金属109扩散至介电材料103中。因此,该材料应包括与导电金属109中的金属不同的金属,或者以其他方式将导电金属约束在维持无法移动的状态下。除上面列出的材料之外,阻挡层105的具体示例性材料包括但不限于锌氧化物、锡氧化物、锰氧化物、镁氧化物、钨碳氮化物。
在这些或其他实施方案中,衬垫107(如果存在的话)可以是或包括从下列项组成的群组中选择的金属:钽、钛、锌、锡、镁、锰、铟、铝、钴、铱、钌、铜、钼、钯、钨、以及它们的组合。在这些或其他实施方案中,晶种层(如果存在的话)可以是或包括从下列项组成的群组中选择的金属:铝、铜、钴、铱、钌、钼、钯、钨、以及它们的组合。在这些或其他实施方案中,导电金属109可以是从下列项组成的群组中选择的金属:铝、铜、钴、铱、钌、钼、钯、钨、以及它们的组合。
湿式官能化步骤的另一优点在于其可使先前在形成BEOL互连件的背景中无法使用的沉积方法能进行。例如,如上所述,阻挡层材料通常通过物理气相沉积或反应性溅镀技术沉积。化学气相沉积(CVD)、原子层沉积(ALD)以及无电镀覆在先前不能使用于该步骤,因为这些工艺在介电材料上示出非常差的成核,且介电材料与所沉积的阻挡层材料(或者阻挡层前体)之间的粘附性差。然而,在本文的多种实施方案中,可以在介电材料上进行湿式官能化步骤,从而对该介电材料的上表面进行官能化,使其具有在后续CVD、ALD、电镀、或无电镀覆步骤期间增强成核以及粘附性的官能团。此类增强可克服在无湿式官能化步骤的情况下将会发生的较差成核和粘附性。因此,本文所描述的技术能够使先前不可用的沉积工艺/工艺流程能使用。
在过去,除了主体导电金属(例如,图1中的导电金属109)的电沉积之外,在BEOL互连件的制造背景中避免使用湿式处理。在BEOL互连件的背景中甚至避免进行衬底冲洗,以确保液态水不接触衬底及其上的材料。由于湿式工艺被观察到对衬底上存在的介电材料和/或其他材料造成损害,因此避免使用湿式工艺。然而,本发明人发现在湿式处理期间可进行的某些表面改性在根据本文所描述的技术实施时可能是有利的而不是有害的。这些发现是预料不到的。
I.官能化浴
在湿式官能化步骤期间,将衬底暴露于官能化浴。该官能化浴是液相的,且包括溶剂以及与被改性层(例如,介电材料、阻挡层、或衬垫的层)反应的至少一种化学品。可将与被改性层反应的化学品称为官能化反应物。在许多情况下,溶剂和官能化反应物是不同的物质,并且该官能化反应物溶解在该溶剂中。在一些情况下,官能化反应物可以是该溶剂本身(例如,在一示例中,溶剂为水,并且其将相关材料官能化以具有羟基)。官能化反应物可以包括单一物质,或者其可以包括物质组合。许多官能化反应物的种类是可用的,并被视为落在所公开实施方案的范围内。在一些情况下,官能化浴还可以包括用于调整该官能化浴的pH值的物质。
A.溶剂
选择官能化浴中的溶剂以适当地溶剂化官能化反应物以及可能存在于该官能化浴中的任何其他化学品。此外,选择该溶剂以对被改性的材料(例如,介电材料层、阻挡层、或衬垫层)适当地进行湿润。
在多种实施方案中,官能化浴中的溶剂可以包括水、甲苯、己烷、醇(例如,甲醇、乙醇等)、丙酮、四氯化碳、氯仿、甘油、乙腈、二甲亚砜、这些材料的衍生物以、以及它们的组合。
在溶剂包括醇的情况下,醇可具有X-C(R)n(OH)-Y的化学式,其中:
n为1;
X及Y可各自独立地选自氢、-[C(R1)2]m-C(R2)3或OH,其中R1及R2可各自独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合,且其中m为从0至10的整数;且每个R可独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
在一些实施方案中,R、R1和R2各自独立地选自烷基、烯基、炔基、杂烷基、杂烯基、杂炔基、卤代烷基、卤代烯基、卤代炔基、卤代杂烷基、卤代杂烯基、卤代杂炔基、芳基、杂环基、杂芳基、烷基-芳基、烯基-芳基、炔基-芳基、烷基-杂环基、烯基-杂环基、炔基-杂环基、烷基-杂芳基、烯基-杂芳基、炔基-杂芳基、杂烷基-芳基、杂烯基-芳基、杂炔基-芳基、杂烷基-杂环基、杂烯基-杂环基、杂炔基-杂环基、杂烷基-杂芳基、杂烯基-杂芳基、杂炔基-杂芳基、或它们的任何组合。在所公开的特定实施方案中,醇可进一步被一或更多取代基进行取代,所述取代基例如为烷氧基、酰胺、胺、硫醚、硫醇、酰氧基、甲硅烷基、环脂肪族、芳基、醛、酮、酯、羧酸、酰基、酰基卤、氰基、卤素、磺酸根、硝基、亚硝基、季胺、吡啶基(或者氮原子被脂肪族或芳基官能化的吡啶基)、烷基卤化物、或它们的任何组合。
在其他实施方案中,当X或Y中的至少一者是-[C(R1)2]m-C(R2)3或者R为氢且m为1时,醇可以是C3醇。例如,如果不存在至少一个R1和一个R2,则该C3醇可以是C3烯醇(例如,烯丙醇)。在另一实例中,R和一个R2可共同形成环(例如,环脂肪族),则该C3醇可以是环丙醇或2-环丙烯醇。
在还有的其他实施方案中,当X或Y的至少一者为-[C(R1)2]m-C(R2)3或者R为氢且m为2时,醇可以是C4醇。例如,如果不存在至少一个R1和一个R2,则该C4醇可以是C4烯醇(例如,2-丁烯-1-醇、或3-丁烯-1-醇)。在另一实例中,R和一个R2可共同形成环(例如,环脂肪族),则该C4醇可以是C4环醇(例如,环丁醇或环丙基甲醇)。在又一实例中,如果X及Y都不是OH,则该C4醇可以是C4支链醇(例如,2-丁醇、异丁醇、或叔丁醇)。
在一些实例中,当X为OH且Y为-[C(R1)2]m-C(R2)3时,该醇可以是二元醇。在其他实例中,当X或Y中的至少一者为-[C(R1)2]m-C(R2)3,且至少一个R1为OH或一个R2为OH时,或者当R为OH时,该醇可以是二元醇。示例性的二元醇包括但不限于1,4-丁二醇、丙烯-1,3-二醇等。
在其他实例中,当X与Y为OH时,该醇可以是三元醇。在还有的其他实施方案中,当X与R为OH时,该醇可以是三元醇。在一些实例中,当X或Y中的至少一者为-[C(R1)2]m-C(R2)3,且一个R1和至少一个R2为OH时,该醇可以是三元醇。在其他实例中,当R为OH且X为-[C(R1)2]m-C(R2)3且一个R1和至少一个R2为OH时,该醇可以是三元醇。示例性的三元醇包括但不限于甘油、或其甘油衍生物。
在特定实施方案中,当R为环杂脂肪族、杂环基、杂芳基、烷基-杂环基、烯基-杂环基、炔基-杂环基、杂烷基-杂环基、杂烯基-杂环基、或杂炔基-杂环基时,该醇可以是杂环醇(例如,被一个或更多羟基取代的任选经取代的杂环基,例如呋喃甲醇)。在其他实施方案中,当X或Y中的至少一者为-[C(R1)2]m-C(R2)3,且一个R1和至少一个R2为环杂脂肪族、杂环基、杂芳基、烷基-杂环基、烯基-杂环基、炔基-杂环基、杂烷基-杂环基、杂烯基-杂环基、或杂炔基-杂环基时,该醇可以是杂环醇。
在多种实施方案中,醇可以具有1至10个碳原子。醇可以是伯醇、仲醇或叔醇。在一些情况下,醇可以选自于由下列项组成的群组:甲醇、乙醇、1-丙醇、2-丙醇、1-丁醇、2-丁醇、叔丁醇、1-戊醇、1-己醇、1-庚醇、1-辛醇、1-壬醇、1-癸醇以、以及它们的组合。
在官能化反应物具有极性的情况下,溶剂也可以具有极性。如本文所使用的,相对极性为约0.2或更大的溶剂或官能化反应物被视为具有极性。相对极性被计算为感兴趣的材料的极性与纯水的极性之间的比率。极性溶剂的一示例为水(相对极性为1)。在官能化反应物是非极性的、或者官能化反应物或衬底对于水具有反应性的情况下,溶剂可以是非极性的。示例性的非极性溶剂包括甲苯(相对极性为0.099)和己烷(相对极性为0.009)。一般而言,极性溶剂倾向于具有高偶极矩、以及高介电常数。
B.官能化反应物
提供官能化浴中的官能化反应物以与被改性材料结合,并且促进后续处理步骤中的沉积。因此,官能化反应物可以包括结合官能团和活性官能团。
1.结合官能团
结合官能团使官能化反应物能够结合至或以其他方式粘附至被改性表面。在对介电材料进行改性的一示例中,结合官能团使得官能化反应物能直接粘附至该介电材料上。类似地,在对阻挡层或衬垫进行改性的情况下,结合官能团分别使得官能化反应物能直接粘附至该阻挡层或衬垫上。粘附可通过各种机制(例如包括物理吸附和/或化学吸附)进行。
使用结合官能团的一个优点在于其允许对期望表面进行针对性/优先性的改性以及能在该期望表面上进行沉积。例如,在衬底包括第一暴露材料及第二暴露材料的一实施方案中,可选择结合官能团以与该第二暴露材料相比优先结合至该第一暴露材料。因此,该第一暴露材料将会比该第二暴露材料优先改性。由于改性促进沉积,因此在与该第二暴露材料相比时,后续沉积步骤可接着进行,并且优先沉积在经改性的该第一暴露材料上。
a.物理吸附官能团
物理吸附官能团经由范德华力而暂时结合至相关表面。该相关表面为该被改性材料(例如,介电材料、阻挡层或衬垫)。物理吸附官能团会强烈吸附至被改性材料上,从而确保官能化反应物及其相关活性官能团与该被改性材料紧密缔合(例如,物理上非常接近)。
在多种实施方案中,物理吸附官能团可以是或包括膦酸盐、羧酸盐、胺、烃(例如,炔、烯等)或儿茶酚。
在一些情况下,物理吸附官能团是或包括膦酸盐。膦酸盐是包含C-PO(OH)2或C-PO(OR)2的有机磷化合物,其中:
每个R独立地为烷基、芳基。
在一些情况下,物理吸附官能团是或包括羧酸盐。羧酸盐为羧酸的盐类或酯类,并且包括化学式R-COO-
在一些情况下,物理吸附官能团是或包括胺。胺可具有化学式NR1R2R3,其中:
R1、R2和R3中的每一者独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或其任何组合;
其中R1和R2可任选地与其各自附接的原子共同形成环杂脂肪族;以及
其中R1、R2和R3可任选地与其各自附接的原子共同形成环杂脂肪族。
在一些实施方案中,R1、R2及R3中的每一者独立地选自烷基、烯基、炔基、杂烷基、杂烯基、杂炔基、卤代烷基、卤代烯基、卤代炔基、卤代杂烷基、卤代杂烯基、卤代杂炔基、芳基、杂环基、杂芳基、烷基-芳基、烯基-芳基、炔基-芳基、烷基-杂环基、烯基-杂环基、炔基-杂环基、烷基-杂芳基、烯基-杂芳基、炔基-杂芳基、杂烷基-芳基、杂烯基-芳基、杂炔基-芳基、杂烷基-杂环基、杂烯基-杂环基、杂炔基-杂环基、杂烷基-杂芳基、杂烯基-杂芳基、杂炔基-杂芳基或它们的任意组合。在特别公开的实施方案中,胺可进一步经一个或更多取代基取代,所述取代基例如烷氧基、酰胺、胺、羟基、硫醚、硫醇、酰氧基、甲硅烷基、环脂肪族、芳基、醛、酮、酯、羧酸、酰基、酰卤、氰基、卤素、磺酸根、硝基、亚硝基、季胺、吡啶基(或其中氮原子被脂肪族基团或芳基基团官能化的吡啶基)、烷基卤(alkyl halide)或它们的任何组合。
在一些实施方案中,当R1、R2及R3中的至少一者是脂肪族、卤代脂肪族、卤代杂脂肪族或杂脂肪族时,添加剂是烷基胺。烷基胺可包括二烷基胺、三烷基胺、及其衍生物。示例性的烷基胺包含二甲基异丙胺、N-乙基二异丙胺、三甲胺、二甲胺、甲胺、三乙胺、叔丁胺等等。
在其他实施方案中,当R1、R2和R3中的至少一者包含羟基时,添加剂为醇胺。在一实例中,R1、R2及R3中的至少一者为经一个或更多羟基取代的脂肪族基团。示例性的醇胺包含2-(二甲基氨基)乙醇、2-(二乙基氨基)乙醇、2-(二丙基氨基)乙醇、2-(二丁基氨基)乙醇、N-乙基二乙醇胺、N-叔丁基二乙醇胺等等。
在一些实施方案中,当R1与R2与各自所连接的原子合在一起形成环杂脂肪族时,添加剂可以是环胺。示例性环胺包含哌啶、N-烷基哌啶(例如,N-甲基哌啶、N-丙基哌啶等)、吡咯烷、N-烷基吡咯烷(例如,N-甲基吡咯烷、N-丙基吡咯烷等)、吗啉、N-烷基吗啉(例如,N-甲基吗啉、N-丙基吗啉等)、哌嗪、N-烷基哌嗪、N,N-二烷基哌嗪(例如,1,4-二甲基哌嗪)等等。
在其他实施方案中,当R1、R2和R3中的至少一者包含芳香族时,添加剂为芳族胺。在一些实施方案中,R1、R2和R3中的至少一者为芳香族、脂肪族-芳香族或杂脂肪族-芳香族。在其他实施方案中,R1和R2均包含芳香族。在还有的其他实施方案中,R1与R2以及任选的R3与各自所连接的原子合在一起形成作为芳香族的环杂脂肪族。示例性芳香胺包含苯胺、组胺、吡咯、吡啶、咪唑、嘧啶及其衍生物。
在一些实施方案中,该添加剂可以包括从下列项组成的群组中选择的胺:甲基胺、二甲基胺、三甲基胺、乙基胺、二乙基胺、三乙基胺、异丙基胺、1,2-乙二胺、苯胺(以及苯胺衍生物,例如N,N-二甲基苯胺)、N-乙基二异丙基胺、叔丁基胺以、以及它们的组合。
在一些实施方案中,物理吸附官能团是或包括烃。在一些情况下,烃可以是环烃(例如,甲基环己烷);经取代的芳烃(例如,经卤素取代的苯、经胺取代的苯、经C2-8烷基取代的苯或经卤素和烷基取代的苯,如异丙苯、苯胺、N,N-二甲基苯胺等);或卤碳(例如,具有一个或更多卤素的C2-12烷基)。在一些实施方案中,烃为具有一个或更多双键或三键的不饱和烃。在其他实施方案中,烃是不饱和环烃(例如,环戊烯、环己烯、环庚烯、芴等)。在特定实施方案中,烃为具有一个或更多双键的烯烃或具有一或更多三键的炔烃,其中烯烃或炔烃可以是直链或环状的。示例性的烯烃包括乙烯、丙烯、1-丁烯、1-戊烯、1-己烯、1-庚烯、1-辛烯和1-壬烯,以及这些中的任一者的二烯及位置异构体(如果可用的话),其中双键的位置发生变化(例如,1-丁烯的位置异构体可以是2-丁烯等)。示例性的炔烃包含乙炔、丙炔、1-丁炔、1-戊炔、1-己炔、1-庚炔、1-辛炔和1-壬炔,以及位置异构体(如果可用的话),其中三键的位置发生变化(例如,1-丁炔的位置异构体可以是2-丁炔等)。
在一些实施方案中,物理吸附官能团是或包括如上文定义和描述的醇。在一些情况下,醇为芳香醇。示例性的醇包括但不限于儿茶酚以及上述的其他醇。儿茶酚具有化学式C6H4(OH)2,且其为具有与相邻碳原子附接的两个羟基的不饱和六碳环。在一些情况下可使用儿茶酚的经取代的形式,其中取代基包括,例如羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
b.化学吸附官能团
化学吸附官能团经由共价或离子化学键而结合至相关表面。这些键比物理吸附官能团所产生的键更强且更持久。化学吸附官能团与被改性材料进行化学反应,从而确保官能化反应物及其相关活性官能团与该被改性材料紧密缔合(例如,物理上非常接近)。在多种实施方案中,化学吸附官能团可以是或包括氢氧化物、卤代硅烷、烷氧基-硅烷、酰氧基-硅烷、N-羟基琥珀酰亚胺酯、二硅氮烷、三硅氮烷、丙烯基硅烷、芳基硅烷、甲硅烷基-乙酰胺、甲硅烷基-咪唑、甲基硅烷、乙烯基硅烷以、以及它们的组合。
在一些情况下,化学吸附官能团是或包括化学式为OH-的氢氧化物。
在化学吸附官能团是或包括氢氧化物的某些实施方案中,可在约室温(例如,介于约15℃与30℃之间)下将衬底暴露于官能化浴。
在一些情况下,化学吸附官能团是或包括卤代硅烷。卤代硅烷可具有化学式XmSiRn,其中:
每个X独立地选自F、Cl、Br或I;
每个X独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m为介于1至4之间的整数;以及
n=4-m。
在化学吸附官能团是或包括卤代硅烷的某些实施方案中,官能化浴还可以包括碱。在某些情况下,碱可提供OH-。也可使用其他类型的碱。在一示例中,可使用例如三乙基胺之类的碱。示例性卤代硅烷包括但不限于Cl-SiR3及Br-SiR3
在一些情况下,化学吸附官能团是或包括烷氧基-硅烷。烷氧基-硅烷可以具有化学式(R1O)m-(SiR2)n-,其中:
每个R1为烷基或烷基衍生物;
每个R2独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m为介于1至4之间的整数;以及
n=4-m。
在一些情况下,烷氧基-硅烷可具有化学式R1O-SiR2 3
在化学吸附官能团是或包括烷氧基-硅烷的某些实施方案中,可在高温(例如,约40℃或更高的温度)下将衬底暴露于官能化浴。在这些或其他实施方案中,可以将烷氧基-硅烷与水和/或碱组合使用作为反应物。
在一些情况下,化学吸附官能团是或包括酰氧基-硅烷。酰氧基-硅烷可具有化学式(R1CO2)m-SiR2 n,其中:
每个R1为烷基或烷基衍生物;
每个R2独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m为介于1至4之间的整数;以及
n=4-m。
在一些情况下,酰氧基-硅烷可具有化学式R1CO2-SiR2 3
在一些情况下,化学吸附官能团是或包括N-羟基琥珀酰亚胺酯。N-羟基琥珀酰亚胺酯可具有化学式(R1CO2)m-XR2 n,其中:
X为Si或O;以及
R1和R2各自独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
在X为硅的情况下,化学吸附官能团可以是如上所述的酰氧基-硅烷。在X为氧的情况下,化学吸附官能团可以是羟基酯。
在一些情况下,化学吸附官能团是或包括二硅氮烷。二硅氮烷可具有化学式NH(SiR3)2,其中:
每个R独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
示例性的二硅氮烷包括但不限于六甲基二硅氮烷、四甲基二硅氮烷、四苯基二甲基二硅氮烷、四甲基二乙烯基二硅氮烷以及六甲基二硅氮烷。
在一些情况下,化学吸附官能团是或包括三硅氮烷。三硅氮烷可具有化学式N(SiR3)3,其中:
每个R独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
在一些情况下,化学吸附官能团是或包括丙烯基硅烷。在某些实施方案中,丙烯基硅烷可具有化学式(H2C=CH-CH2)m–SiRn,其中:
每个R独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m为介于1至4之间的整数;以及
n=4-m。
在一些情况下,丙烯基硅烷可具有化学式H2C=CH-CH2–SiR3。在特定示例中。丙烯基硅烷可以是2-丙烯基(3-氯丙基)二甲基硅烷。
在一些情况下,化学吸附官能团是或包括芳基硅烷。芳基硅烷可以具有化学式R1 mSiR2 n,其中:
每个R1为芳基或芳基衍生物;
每个R2独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m为介于1至4之间的整数;以及
n=4-m。
在一示例中,芳基硅烷可具有化学式R1SiR2 3
在一些情况下,化学吸附官能团是或包括甲硅烷基-乙酰胺。甲硅烷基-乙酰胺可具有化学式R13Si-NCMeO-SiR23,其中:
Me为CH3;以及
R1和R2各自独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
在一些情况下,化学吸附官能团是或包括甲硅烷基-咪唑。甲硅烷基-咪唑可具有化学式R1 mSiR2 n,其中:
每个R1为咪唑或咪唑衍生物;
每个R2独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m为介于1至4之间的整数;以及
n=4-m。
在一些情况下,化学吸附官能团是或包括甲基丙烯基硅烷。甲基丙烯基硅烷可具有化学式(CH3C=CH2CH2)mSiRn,其中:
每个R独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m为介于1至4之间的整数;以及
n=4-m。
在一些情况下,化学吸附官能团是或包括乙烯基硅烷。乙烯基硅烷可具有化学式(H2C=CH)mSiRn,其中:
每个R独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m为介于1至4之间的整数;以及
n=4-m。
在本文的多种实施方案中,官能化反应物的结合官能团包括至少一个物理吸附官能团和/或化学吸附官能团。在一些情况下,可使用物理吸附官能团的组合、化学吸附官能团的组合、或者物理吸附官能团和化学吸附官能团的组合。结合官能团用于使官能化反应物结合至被改性材料。这确保官能化反应物的活性官能团与被改性材料紧密接触。
2.活性官能团
官能化反应物包括一个或更多活性官能团,所述活性官能团用于促进后续沉积步骤中的沉积。可使用各种不同类型的活性官能团,包括还原性官能团、催化性官能团、去络合官能团以及粘附性官能团。这些官能团类型中的每一者将会按顺序进行论述。
在一些实施方案中,活性官能团与结合官能团可以是相同的。换句话讲,单一官能团既可用作结合官能团又可用作活性官能团。在其他实施方案中,活性官能团与结合官能团可以是不相同的。在一些这样的情况下,活性官能团与结合官能团可由介于1至10原子长度之间的碳原子链分隔开。在一些情况下,活性官能团可以是经由范德华力或其他分子间相互作用而结合至该结合官能团(或官能化反应物的另一部分)的单独分子。
a.还原性官能团
还原性官能团能够在被改性材料的表面处将金属离子以化学方式还原成其金属形式的官能团。还原性官能团为后续沉积步骤形成高质量且均匀的成核层。在将相关材料进行改性以包括还原性官能团后,可使用沉积步骤(例如,湿式电镀处理或无电镀覆处理、或者干式气相处理)以在该还原性官能团存在的区域中(例如,在官能化反应物已结合至被改性材料的区域中)优先地将金属还原成其金属形式。该技术导致形成高质量膜,该高质量膜否则在不存在官能化反应物的情况下由于例如较差成核性而将不可获得。
在多种实施方案中,还原性官能团可以是或包括硼氢化物、硼烷、醛(例如,甲醛)、酸(例如,乙醛酸、丙酮酸、抗坏血酸等)、次磷酸盐、肼、二元醇(例如,乙二醇)、还原性金属离子(例如,通过被氧化且丧失电子而导致还原的金属离子,例如Fe(II)、Cr(II)、Ti(III)、V(II)等)、这些示例的经取代的形式、以及它们的组合。
在还原性官能团是或包括硼氢化物的情况下,硼氢化物可具有化学式BHmRn -,其中:
每个R独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m为介于1至4之间的整数;以及
n=4-m。
在特定示例中,硼氢化物可具有化学式BH4 -。在一些情况下,硼氢化物可以盐的形式提供。
在还原性官能团是或包括硼烷的情况下,硼烷可具有化学式BxHy,其中:
X和y为整数。
在一些实施方案中,硼烷可被一个或更多羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合所取代。
在还原性官能团是或包括醛的情况下,醛可具有化学式R-CHO。可在某些情况下使用的特定醛的示例为甲醛。
在还原性官能团是或包括二元醇的情况下,该二元醇可以是具有两个-OH基的醇,其中每个-OH基位于不同碳原子上。可在一些情况下使用的一示例性二元醇为乙二醇。
b.催化性官能团
催化性官能团是能够催化金属离子还原、或者从络合物释放金属原子的官能团。催化性官能团并不直接与金属离子相互作用,而是经由催化作用致使金属离子被还原或去络合。
在一些实施方案中,催化性官能团可以是或包括金属和/或金属氧化物的纳米颗粒。纳米颗粒的直径可介于约
Figure BDA0003956524340000261
Figure BDA0003956524340000262
之间。在某些实施方案中,纳米颗粒可以是金、铜、铜氧化物、锌、锌氧化物、铂、铂氧化物、钯、钯氧化物、钌、钌氧化物、钼、钼氧化物、银、银氧化物、钒、钒氧化物、钨、钨氧化物、或上述材料中的两者或更多者的合金或其他组合。
c.去络合官能团
去络合官能团是能够与配位基进行反应且结合至该配位基,从而释放先前与该配位基络合的金属的官能团。与配位基的反应将金属释放以沉积在被改性表面上。
在一些实施方案中,去络合官能团可以是或包括氢氧化物、醇、酯、醚、羧酸、以及它们的组合。
在去络合官能团是或包括氢氧化物的某些实施方案中,氢氧化物可具有化学式-OH-
在去络合官能团是或包括醇的某些实施方案中,醇可具有上文关于醇溶剂所描述的化学式。
在去络合官能团是或包括酯的某些实施方案中,酯可具有化学式X-[O]n-C(O)-O-Y,其中:
n为0或1;
每个X和Y可独立地选自-[C(R1)2]m-C(R2)或-{[C(R1)2]m-[O]n}p-C(R2)或-
[C(R1)2]m-C(O)-N(R2)2或-[C(R1)2]m-C(O)-O-[C(R2)2]m-C(R3),其中每个R1
R2及R3独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合,且其中m为0至10的整数而p为1至10的整数;以及
其中X和Y可任选地与其各自附接的原子共同形成环杂脂肪族基团。
在一些实施方案中,每个R1、R2和R3独立地选自烷基、烯基、炔基、杂烷基、杂烯基、杂炔基、卤代烷基、卤代烯基、卤代炔基、卤代杂烷基、卤代杂烯基、卤代杂炔基、芳基、杂环基、杂芳基、烷基-芳基、烯基-芳基、烷基-炔基、烷基-杂环基、烯基-杂环基、炔基-杂环基、烷基-杂芳基、烯基-杂芳基、炔基-杂芳基、杂烷基-芳基、杂烯基-芳基、杂炔基-芳基、杂烷基-杂环基、杂烯基-杂环基、烷基-杂环基、杂烷基-杂芳基、杂烯基-杂芳基、杂炔基-杂芳基或它们的任何组合。在特别公开的实施方案中,酯可进一步经一个或更多取代基取代,所述取代基例如烷氧基、酰胺、胺、硫醚、硫醇、酰氧基、甲硅烷基、环脂肪族、芳基、醛、酮、酯、羧酸、酰基、酰卤、氰基、卤素、磺酸根、硝基、亚硝基、季胺、吡啶基(或其中氮原子被脂肪族基团或芳族基团基官能化的吡啶基)、烷基卤、或它们的任何组合。
在一些实施方案中,当X与Y与各自所连接的原子合在一起形成环杂脂肪族基团时,酯可以是环酯。示例性的环酯包含内酯,例如ε-己内酯、γ-己内酯、γ-戊内酯、δ-戊内酯等等。
在一些实施方案中,当X或Y中的至少一者为-[C(R1)2]m-C(O)-N(R2)2时,则该酯可以是氨基酯。示例性氨基酯包含甲基-5-(二甲基氨基)-2-甲基-5-氧代戊酸酯等等。
在一些实施方案中,当X=-CH3且n=0时,酯可以是醋酸酯,其中Y=-[C(R1)2]m-C(R2),且m为2至10的整数。在其他实施方案中,当X=-CH3且n=0时,酯可以是醋酸酯,其中Y=-[C(R1)2]m-C(R2),m为1至10的整数,且至少一个R1或R2为C1-10脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族或它们的任何组合。示例性的醋酸酯包含醋酸正丙酯、醋酸异丙酯、醋酸正丁酯、醋酸叔丁酯、醋酸异丁酯、丙二醇甲醚醋酸酯等,包括乙二醇的甲基、乙基、丙基及丁基单醚及二醚的对应醋酸酯。
在一些实施方案中,当X或Y中的至少一者=-{[C(R2)2]m-[O]n}p-C(R1)时,酯可以是基于二醇(glycol)的酯。示例性的基于二醇的酯包含丙二醇甲醚醋酸酯等等。
在其他实施方案中,当X或Y中的至少一者包含羟基时,酯可以是羟基酯。示例性的羟基酯包含α-羟基酯,例如衍生自乳酸酯的那些(例如,乳酸甲酯、乳酸乙酯、乳酸正丙酯、乳酸异丙酯、乳酸正丁酯、乳酸异丁酯、乳酸叔丁酯等)。
在一些实施方案中,当n=1时,酯可以是碳酸酯。在特定实施方案中,X与Y与各自所连接的原子合在一起以便形成环杂脂肪族基团,从而提供环碳酸酯。示例性的碳酸酯包含碳酸丙烯酯、碳酸二乙酯、碳酸甘油酯等等。
在其他实施方案中,当X=-[C(R1)2]m-C(O)-O-[C(R2)2]m-C(R3)(且,例如,n=0)时,酯可以是二酯。示例性的二酯包含2-甲基戊二酸二甲酯、琥珀酸二甲酯、己二酸二甲酯等等。
在去络合官能团是或包括醚的某些实施方案中,醚可具有化学式X-O-Y或X-O-[C(R)2]n-O-Y,其中:
n为1至4的整数;
每个X和Y可独立地选自-[C(R1)2]m-C(R2)或-R3或-[C(R4)2]p-O-[C(R5)2]m-
C(R6),其中R1、R2、R3、R4、R5、R6和R各自独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合,且其中m为0至10的整数而p
为1至10的整数;
其中X及Y可任选地与其各自附接的原子共同形成环杂脂肪族。
在一些实施方案中,每个R、R1、R2、R3、R4、R5、和R6独立地选自烷基、烯基、炔基、杂烷基、杂烯基、杂炔基、卤代烷基、卤代烯基、卤代炔基、卤代杂烷基、卤代杂烯基、卤代杂炔基、芳基、杂环基、杂芳基、烷基-芳基、烯基-芳基、烷基-炔基、烷基-杂环基、烯基-杂环基、炔基-杂环基、烷基-杂芳基、烯基-杂芳基、炔基-杂芳基、杂烷基-芳基、杂烯基-芳基、杂炔基-芳基、杂烷基-杂环基、杂烯基-杂环基、烷基-杂环基、杂烷基-杂芳基、杂烯基-杂芳基、杂炔基-杂芳基或它们的任何组合。在特别公开的实施方案中,醚可进一步经一个或更多取代基取代,例如烷氧基、酰胺、胺、硫醚、硫醇、酰氧基、甲硅烷基、环脂肪族、芳基、醛、酮、酯、羧酸、酰基、酰卤、氰基、卤素、磺酸根、硝基、亚硝基、季胺、吡啶基(或其中氮原子被脂肪族基团或芳基基团官能化的吡啶基)、烷基卤、或它们的任何组合。
在一些实施方案中,当X和Y与其各自附接的原子共同形成环杂脂肪族基团时,该有机溶剂为环醚,例如乙缩醛、二噁烷、二氧戊环。在一些实施方案中,当n=1且每个R=H时,X和Y共同形成六元、七元、八元、九元或十元环。示例性醚包括但不限于1,3-二氧戊环或其衍生物。在其他实施方案中,当n=2且R=H时,X和Y形成七元、八元、九元或十元环。示例性的醚包括但不限于1,4-二噁烷或其衍生物。在还有的其他实施方案中,当n=1或n=2时,则R为脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。示例性的环醚包括四氢呋喃、2-甲基四氢呋喃、2-甲基-1,3-二氧戊环等。
在其他实施方案中,当X或Y的至少一者为芳香族时,该有机溶剂可以是芳香醚。示例性芳香醚包括苯甲醚、二苯醚等。
在一些实施方案中,当X或Y的至少一者为环脂肪族时,该有机溶剂可以是环烷基醚。示例性环烷基醚包括环戊基甲醚、环己基甲醚等。
在其他实施方案中,当X或Y中的至少一者为-[C(R4)2]p-O-[C(R5)2]m-C(R6)时,该有机溶剂可以是基于二元醇的醚。示例性的基于二元醇的醚包括二乙二醇二乙醚、二丙二醇二甲醚、聚(乙二醇)二甲醚等,包括乙二醇的甲基、乙基、丙基以及丁基单醚和二醚等。
在去络合官能团是或包括羧酸的某些实施方案中,羧酸可具有化学式R-COOH,其中:
R为烷基。
d.粘附性官能团
粘附性官能团是能够有效地与待被直接沉积在被改性材料上的材料进行粘结的官能团。参照图1,在对介电材料103进行改性的一示例中,粘附性官能团能够有效地与用于阻挡层105的材料(或者阻挡层105的前体)接合。在对阻挡层105进行改性的另一示例中,粘附性官能团能够有效地与用于任选的衬垫107的材料、或者用于晶种层的材料、或者用于导电金属109的材料结合。当后续材料沉积在被改性材料上时,粘附性官能团可改善对于该被改性材料的粘附性和/或在该被改性材料上的成核。
在一些实施方案中,粘附性官能团可与结合官能团相同。在此情况下,作为粘附性官能团和结合官能团的材料可用作薄衬垫,该薄衬垫良好地粘附到被改性材料以及后续沉积在该被改性材料上的材料上。
在多种实施方案中,粘附性官能团可以是或包括氢氧化物、醇、羧酸、金属氧化物、以及它们的组合。
在粘附性官能团是或包括氢氧化物的情况下,该氢氧化物可具有上文关于氢氧化物去络合官能团所描述的化学式。
在粘附性官能团是或包括醇的情况下,该醇可具有上文关于醇溶剂所记载的化学式。
在粘附性官能团是或包括羧酸的情况下,该羧酸可具有上文关于去络合官能团所记载的化学式。
在粘附性官能团是或包括金属氧化物的情况下,该金属氧化物可具有化学式MemOn,其中:
Me为所关注的金属;以及
m和n为可能会或可能不会代表金属与氧之间的化学计量平衡的整数。
在多种实施方案中,所关注的金属可与后续步骤中所沉积的金属相同。例如,参照图1,在一示例中,通过使用包含金属氧化物粘附性官能团的官能化反应物对介电材料103的层进行改性,其中该粘附性官能团包含金属,该金属将被沉积作为阻挡层105的一部分。在另一示例中,利用包含金属氧化物粘附性官能团的官能化反应物对阻挡层105进行改性,该粘附性官能团包含金属,该金属将被沉积作为任选的衬垫107的一部分、或者作为晶种层、或者作为导电金属109。在另一示例中,利用包含金属氧化物粘附性官能团的官能化反应物对任选的衬垫107进行改性,该粘附性官能团包含金属,该金属将被沉积作为晶种层、或者作为导电金属109。在其他情况下,所关注的金属可与后续步骤/层中所沉积的金属不同。
可以在一些实施方案中使用的示例性金属氧化物包括但不限于钽氧化物、钛氧化物、锡氧化物、铜氧化物、钼氧化物、锌氧化物、镁氧化物、锰氧化物、铟氧化物、铝氧化物、钴氧化物、铱氧化物、钌氧化物、钯氧化物等。
C.pH调整物质
在某些实施方案中,官能化浴还可以包括pH调整物质。可提供所述pH调整物质以将官能化浴的pH值调整至期望范围内。该pH控制可促进期望反应并抑制非期望反应。在一些情况下,可提供一种或更多pH调整物质以将官能化浴的pH值维持在介于约0至5之间(针对酸稳定物质)、或者介于约9至12之间(针对碱稳定物质)。
在一些情况下,pH调整物质可以包括碱或酸。示例性的碱包括但不限于三乙基胺、四甲基氢氧化铵、氢氧化铵、以及它们的组合。示例性的酸包括但不限于硫酸、盐酸、硝酸、磷酸、以及它们的组合。
D.利用官能化浴的处理
为了将衬底上的期望材料进行官能化,在该期望材料暴露于衬底表面上时将该衬底与官能化浴接触。可将该衬底完全或部分浸泡在官能化浴中,或者可将该官能化浴喷洒到衬底上。根据需要,使衬底接触官能化浴并持续足够的时间以充分地将该表面进行官能化。在一些实施方案中,该持续时间可介于约5秒至约2分钟之间。该持续时间可取决于官能化反应物以及可以是该官能化反应物的一部分的结合官能团和/或活性官能团的特性。
在将衬底与官能化浴进行接触后,可任选地将该官能化浴从该衬底表面进行冲洗。在多种实施方案中,可利用水或者其他冲洗液体对该衬底进行冲洗。在一些情况下,该冲洗液体可以是或包括用于官能化浴中的相同溶剂。
在官能化期望的材料官能化并任选地冲洗衬底后,可任选地对该衬底进行干燥。在一示例中,通过将该衬底表面上的过量液体旋转掉来干燥该衬底。在另一示例中,可通过施加热、对流等将该衬底进行干燥。
当利用官能化浴对衬底进行处理时,可控制多个处理变量。可控制的示例性处理变量包括但不限于进行官能化的处理室内的压力、官能化浴和/或衬底的温度、进行官能化的处理室内的大气组成、官能化浴的组成、官能化浴中的溶氧量以及官能化浴中的质量传输(例如,流率、混合速率等)。
在一些情况下,可在减压(例如,低于大气压的压强,例如约100托或更低)下使衬底与官能化浴进行接触。该减压可有助于避免气泡形成,从而确保小特征被官能化浴充分湿润。
当官能化浴与衬底接触时,可控制该官能化浴和/或该衬底的温度。在一些情况下,当官能化浴与衬底接触时,可将该官能化浴和/或该衬底的温度保持在介于约15℃至70℃之间的温度。最佳温度可取决于例如被改性材料与官能化反应物的结合基团之间的期望反应。
可控制进行官能化的反应室内的大气组成。在一些情况下,该大气为空气。在其他情况下,该大气可以是惰性的(例如,He、Ne、Ar、Kr、Xe、N2等)。
官能化浴的组成是可控制的。溶剂、官能化反应物及pH调整物质可各自针对特定应用而进行选择,以例如将特定材料进行改性以促进后续材料的沉积。在一些情况下,可以介于约1mM至1000mM之间的浓度提供该官能化反应物(和/或有助于该官能化反应物的官能团)。在使用pH调整物质的情况下,可以介于约1mM至1000mM之间的浓度提供该pH调整物质。在某些情况下,可将官能化浴中的溶解氧浓度控制在介于约0ppm至9ppm之间。
同样,可控制进行官能化的反应室内的质量传输特性。这些特性可通过例如调节将官能化浴运输至该反应室的流率、该反应室内的搅拌速度、该室内的衬底旋转等进行控制。
图2示出了描述多种实施方案的流程图,其中衬底在湿式官能化浴中进行处理,以促进在形成BELO互连件结构的背景中的进一步处理。
该方法从操作201开始,其中将衬底接收在反应室中。所接收的衬底包括形成于其上的凹陷特征。所述凹陷特征对应于BEOL互连件结构待形成的位置。参照图1,在一示例中,接收在反应室中的衬底101包括暴露于衬底表面上的介电材料103。换句话讲,在该示例中,尚未沉积阻挡层105、任选的衬垫107和导电金属109。在另一示例中,衬底101包括介电材料103和阻挡层105,其中阻挡层105暴露在衬底表面上。在该示例中,尚未沉积任选的衬垫107和导电金属109。在另一示例中,衬底101包括介电材料103、阻挡层105和任选的衬垫107,其中任选的衬垫107暴露在衬底表面上。在该示例中,尚未沉积导电金属109。
在操作203处,使衬底与官能化浴接触并持续足以允许在该官能化浴中利用官能化反应物将暴露材料官能化的持续时间。如上所述,该接触可通过将该衬底完全或部分浸泡在官能化浴中(例如,类似于将衬底浸泡以进行电镀或无电镀覆),或者可通过将该官能化浴喷洒至衬底的表面上进行。随着官能化浴接触该衬底上的暴露材料,官能化反应物(例如,通过官能化反应物上的结合官能团)与该暴露材料,从而将该暴露材料官能化并在衬底的表面上形成经改性材料。如上所述,在将衬底与官能化浴接触后,可任选地将该衬底进行冲洗和/或干燥。
在操作205处,使用如下所述的湿式技术(例如,电镀或无电镀覆)或干式技术(例如,化学气相沉积或原子层沉积)对该衬底进一步处理。该进一步处理例如随着使额外材料与经改性材料进行反应,在该衬底上沉积该额外材料。参照图1,在一示例中,操作203涉及对介电材料103进行改性,而操作205涉及沉积阻挡层105(或阻挡层105的前体)。在另一示例中,操作203涉及对阻挡层105进行改性,而操作205涉及沉积任选的衬垫107、或晶种层、或导电金属109。在另一示例中,操作203涉及对任选的衬垫107进行改性,而操作205涉及沉积晶种层或导电金属109。
对于本文所述的许多材料及沉积技术,在不存在利用官能化浴进行改性的情况下,操作205中的进一步处理步骤将由于例如在相关材料之间的较差的成核及粘附性而不能成功、或者具有非常差的质量。操作203期间所进行的表面改性/官能化有利于并使得操作205中的沉积能够进行。
图3示出了图2的特定实施方案,其中将介电材料进行改性以促进阻挡层(或阻挡层的前体)的后续沉积。图3的方法由操作301开始,其中将衬底接收在反应室中。参照图1,衬底101包括位于其上的介电材料103,其中在该介电材料103中形成凹陷特征。该衬底尚未包括阻挡层105、任选的衬垫107或导电金属109。在操作303处,使该衬底与官能化浴接触以由此在衬底表面上形成经改性形式的介电材料。随着官能化反应物与该介电材料结合(例如,通过官能化反应物上的结合官能团)而对该介电材料进行改性,从而形成经官能化的表面。在操作303后,可任选地将该衬底进行冲洗和/或干燥。在操作305处,使用如下所述的湿式或干式技术对该衬底进一步处理,以沉积阻挡层、或者用作阻挡层前体的材料。
II.官能化后处理
在利用官能化反应物对相关材料进行改性后,在衬底表面上沉积额外材料。该额外材料(或用于形成该额外材料的反应物)可与衬底表面上的经改性材料进行反应,以促进两种材料之间的高成核度和高质量粘附。例如,该额外材料(或用于形成该额外材料的反应物)可与官能化反应物的活性官能团进行反应,该活性官能团可经由官能化反应物的结合官能团而与经改性材料结合。这确保在两相关材料之间的高质量界面。
在官能化后处理期间所沉积的层取决于先前步骤中进行改性/官能化的层。参照图1,在一示例中,在湿式官能化步骤(例如,将衬底暴露于官能化浴)期间对介电材料103的层进行改性,而在官能化后处理步骤中沉积阻挡层105。在另一示例中,在湿式官能化步骤期间对阻挡层105进行改性,而在官能化后处理步骤期间沉积任选的衬垫107、晶种层或导电金属109。在另一示例中,在湿式官能化步骤期间对任选的衬垫107进行改性,而在官能化后处理步骤期间沉积晶种层或导电金属109。
一般而言,除非另外声明,否则官能化后处理步骤是指用于形成额外材料的沉积步骤,该额外材料被沉积在经改性/官能化材料上。在对多于一个材料层进行改性/官能化的情况下,可存在多于一个官能化后处理步骤(例如,在每个改性/官能化步骤后进行一个官能化后处理步骤)。
多个不同处理方法可用于沉积额外材料。在一些实施方案中,该额外材料经由例如无电镀覆或电镀的湿式处理技术进行沉积。在一些实施方案中,该额外材料经由例如化学气相沉积(CVD)或原子层沉积(ALD)的干式处理技术进行沉积,其中CVD和ALD中的任一者可经由热能(例如,热CVD或热ALD)或等离子体能量(例如,等离子体增强CVD或等离子体增强ALD)驱动。
A.湿式处理:无电镀覆和电镀
在湿式官能化步骤中利用官能化反应物对暴露于衬底上的材料进行改性后,可使用例如无电镀覆或电镀之类的湿式处理技术来沉积额外材料。无电镀覆在衬底在其上不具有导电晶种层的背景中是特别有用的。例如,无电镀覆可用于在经改性/官能化的介电材料上直接沉积阻挡层(或阻挡层的前体)。与之相比,电镀在衬底包括导电晶种层的背景中是特别有用的。例如,在阻挡层或任选的衬垫(由官能化反应物改性)具有充足导电性的情况下,这些层可用作后续电镀步骤的晶种层,以例如沉积任选的衬垫或导电金属。
可将在被官能化反应物改性的材料上沉积额外材料所使用的溶液称为沉积浴。沉积浴包含至少一种溶剂和物质物质源。取决于沉积机制,该沉积浴中可以包括额外物质。各种沉积机制是可使用的。
在一些实施方案中,将单一处理室用于执行官能化步骤和沉积步骤两者。在此类实例中,官能化浴和沉积浴可各自设置在同一室中不同时间处提供。在其他实施方案中,可设置第一处理室以执行官能化步骤,并且可设置第二处理室以执行沉积步骤。在该示例中,可将官能化浴设置在第一处理室中,并将沉积浴设置在第二处理室中。在该情况下,不需要将不同浴循环通过各处理室。反而,可根据需要将衬底在处理室之间进行传输。
1.溶剂
可选择沉积浴中的溶剂以适当地溶剂化物质源以及可能存在于该沉积浴中的任何其他化学品。此外,选择该溶剂以适当地湿润经改性材料。一般而言,上文关于官能化浴中的溶剂所描述的特征也可适用于沉积浴。
在多种实施方案中,沉积浴中的溶液可以包括水、甲苯、己烷、醇(例如,甲醇、乙醇等)、丙酮、四氯化碳、氯仿、甘油、乙腈、二甲基亚砜、这些材料的衍生物以、以及它们的组合。
2.物质源和沉积机制
物质源(或其部分)随着将额外材料沉积在经改性材料上而掺入到生长膜中。在许多实施方案中,物质源包括所关注的金属。例如,当所沉积的额外材料包括钽时,该物质源包括钽。在所沉积的额外材料包括钛的另一示例中,该物质源包括钛。在所沉积的额外材料包括铜的另一示例中,该物质源包括铜。在所沉积的额外材料包括锡的另一示例中,该物质源包括锡。在所沉积的额外材料包括钼的另一示例中,该物质源包括钼。在所沉积的额外材料包括锌的另一示例中,该物质源包括锌。在所沉积的额外材料包括镁的另一示例中,该物质源包括镁。在所沉积的额外材料包括锰的另一示例中,该物质源包括锰。在所沉积的额外材料包括铟的另一示例中,该物质源包括铟。在所沉积的额外材料包括铝的另一示例中,该物质源包括铝。在所沉积的额外材料包括钴的另一示例中,该物质源包括钴。在所沉积的额外材料包括铱的另一示例中,该物质源包括铱。在所沉积的额外材料包括钌的另一示例中,该物质源包括钌。在所沉积的额外材料包括钯的另一示例中,该物质源包括钯。可根据需要使用其他金属/材料。
存在可用于多种实施方案中的三种一般类型的物质源,包括例如金属盐、接合型前体(ligated precursor)以及与该金属进行反应的非金属源。所用的物质源的类型可取决于所使用的官能化反应物的类型。更具体而言,物质源的类型可取决在官能化反应物上所使用的活性官能团的类型。不同的活性官能团类型与物质源相互作用以不同方式造成沉积。
a.金属盐
在某些情况下,物质源可以是金属盐。金属盐中的金属掺入到额外材料的生长膜中。换句话讲,该金属盐提供金属物质源以用于沉积金属或其他含金属材料。示例性的金属盐包括但不限于金属卤化物(例如,金属氟化物、金属氯化物、金属溴化物、金属碘化物)、金属亚硫酸盐、金属硫酸盐、金属氢氧化物、金属硝酸盐、金属亚磷酸盐和金属磷酸盐。金属盐中的金属是所沉积的所关注的金属。例如,在所沉积的金属包括铜的情况下,该金属盐可以是铜盐。类似地,在所沉积的金属包括钽或钛的情况下,该金属盐可分别为钽盐或钛盐。金属盐中可以包括的示例性金属包括但不限于铜、钽、钛、锡、钼、锌、镁、锰、铟、铝、钴、铱、钌、钯、钨、铂等。
有利地,金属盐在引入到溶剂后溶解以释放金属离子,从而在衬底表面处进行沉积。这些金属离子可与结合在衬底表面上的官能化反应物的活性官能团相互作用。
在一示例中,来自金属盐的金属离子与官能化反应物的还原活性官能团相互作用,以将金属盐还原并使金属沉积在衬底表面上(例如,直接沉积在被官能化反应物所改性的材料上)。
在另一示例中,利用该沉积浴中存在的还原试剂将来自金属盐的金属离子还原,其中该还原试剂不作为官能化反应物的一部分提供。在一此类示例中,官能化反应物包括催化性官能团,且该催化性官能团催化金属离子的还原,使金属沉积在该催化性官能团所存在的衬底表面上(例如,直接沉积在被官能化反应物所改性的材料上)。在先前示例的任一者中,与一般来讲在沉积浴中相比,由于官能化反应物在衬底表面上局部存在,还原/沉积优先在衬底表面上进行。
b.连接型有机金属前体
在一些实施方案中,物质源可以是连接型有机金属前体。连接型有机金属前体可以包括被掺入至额外材料的生长膜中的金属(例如,中性金属)。连接型有机金属前体提供溶剂化(或在气相沉积的情况下为挥发性)形式的金属离子或原子,该金属离子或原子可被沉积到衬底上。
接合型前体常用于例如化学气相沉积和原子层沉积的气相沉积技术中。然而,用于气相沉积技术的挥发性前体类型并不常用于湿式处理中。在将接合型前体中的金属掺入到额外材料的生长膜中之前,将金属从其有机框架中释放。该释放可经由各种不同技术而驱动。所释放的金属可以是中性或带电的。
在使用连接型有机金属前体的一示例中,可使用热能以驱使将金属从其有机框架中释放。驱动释放的示例性温度可介于40℃至90℃之间。在该情况下,游离金属可与官能化反应物的粘附性官能团相互作用,从而在经改性材料上沉积金属。
在使用连接型有机金属前体的另一示例中,该连接型有机金属前体间接地与官能化反应物的催化性官能团相互作用,从而催化该金属从其有机框架释放。在这种情况下,游离金属聚集在衬底表面处,接着可在该处将金属掺入到额外材料的生长膜中。
在使用连接型有机金属前体的另一示例中,该连接型有机金属前体直接与官能化反应物的去络合官能团进行反应。因此,连接型有机金属前体中的金属在衬底表面附近被释放,接着可在该处将金属掺入到额外材料的生长膜中。
可使用许多不同类型的连接型有机金属前体。其示例包括但不限于金属卤化物、金属烷化物、金属环戊二烯化物、金属己烷衍生物、其他环状有机金属、金属烷氧化物、金属β-二酮化物、金属酰胺、金属酰亚胺、金属脒化物、金属膦、金属乙烯基硅烷、金属羧基化物、金属脒阴离子化物、金属吡咯基衍生物、金属双齿基化物以及金属多环配位基。
连接型有机金属前体中的金属可以是上文关于金属盐所讨论的任何金属。
在某些实施方案中,连接型有机金属前体是或包括金属卤化物。金属卤化物可具有化学式MmXnRz,其中:
M为金属;
X为卤素(例如,氟、氯、溴、碘等);
每个R独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂
脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族;
M和n为可能会或可能不会代表化学计量平衡的整数;以及
z可以是0或任何正数。
示例性金属卤化物包括金属氟化物、金属氯化物、金属溴化物以及金属碘化物。还可使用金属卤化物的经取代形式,其中示例性取代基包括例如羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
在某些实施方案中,连接型有机金属前体是或包括金属烷化物。金属烷化物可具有化学式MmR1 nR2 z,其中:
M为金属;
每个R1为烷基或烷基衍生物;
每个R2独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m和n为可能会或可能不会代表化学计量平衡的整数;以及
z可以是0或任何正数。
示例性的金属烷化物前体包括但不限于甲基金属、四甲基金属、乙基金属、二乙基金属、异丙基金属、烯丙基金属、正丁基金属、异丁基金属、叔丁基金属、新戊基金属、羰基金属、3-氨基丙基金属等。还可使用这些金属烷化物前体的经取代形式,其中示例性的取代基包括例如羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
在某些实施方案中,连接型有机金属前体是或包括金属环戊二烯化物。金属环戊二烯化物可具有化学式MmR1 nR2 z,其中:
M为金属;
每个R1为环戊二烯基或环戊二烯基衍生物;
每个R2独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
M和n为可能会或可能不会代表化学计量平衡的整数;以及
z可以是0或任何正数。
示例性金属环戊二烯化物包括但不限于环戊二烯基金属、甲基环戊二烯基金属、五甲基环戊二烯基金属、乙基环戊二烯基金属、异丙基环戊二烯基金属、三异丙基环戊二烯基金属、三(叔丁基)环戊二烯基金属、正丙基四甲基环戊二烯基金属、N-N'-二甲基-1-环戊二烯基乙胺金属以及三甲基甲硅烷基环戊二烯基金属。还可使用这些金属环戊二烯化物的经取代的形式,其中取代基包括例如羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
在某些实施方案中,连接型有机金属前体是或包括金属己烷衍生物。金属己烷衍生物可具有化学式MmR1 nR2 z,其中:
M为金属;
每个R1为己烷或己烷衍生物;
每个R2独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m和n为可能会或可能不会代表化学计量平衡的整数;以及
z可以是0或任何正数。
示例性的金属己烷衍生物包括但不限于1,3-环己二烯金属、苯基金属、2,4-二甲基戊二烯基金属以及1-异丙基-4-甲苯基金属。
在某些实施方案中,连接型有机金属前体是或包括其他环状有机金属化合物。其示例包括但不限于1,5-环辛二烯金属以及2,2-双(环戊二烯基)丙烷金属。也可使用这些环状有机金属化合物的经取代的形式,其中取代基包括例如羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
在某些实施方案中,连接型有机金属前体是或包括金属烷氧化物。金属烷氧化物可具有化学式MmR1 nR2 z,其中:
M为金属;
每个R1为烷氧化物或烷氧化物衍生物;
每个R2独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m和n为可能会或可能不会代表化学计量平衡的整数;以及
z可以是0或任何正数。
示例性的金属烷氧化物包括但不限于甲氧基金属、乙氧基金属、正丙氧基金属、正丁氧基金属、异丁氧基金属、叔丁氧基金属、叔戊氧基金属、1-甲氧基-2-甲基-2-丙氧基金属、2,3-二甲基-2-丁氧基金属、3-甲基-2-戊氧基金属以及N,N'-二乙基羟基酰胺基金属。也可使用这些金属烷氧化物的经取代的形式,其中取代基包括例如羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
在某些实施方案中,连接型有机金属前体是或包括金属β-二酮化物。金属β-二酮化物可具有化学式MmR1 nR2 z,其中:
M为金属;
每个R1为β-二酮化物或β-二酮化物衍生物;
每个R2独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m和n为可能会或可能不会代表化学计量平衡的整数;以及
z可以是0或任何正数。
示例性的金属β-二酮化物包括但不限于乙酰丙酮金属、2,2,6-三甲基-3,5-庚二酮金属、2,2,6,6-四甲基-3,5-庚二酮金属、2,2,6,6-四甲基-3,5-辛二酮金属、辛烷-2,4-二酮金属、6-乙基-2,2-二甲基-3,5-癸二酮金属、1-(2-甲氧基乙氧基)-2,2,6,6-四甲基-3,5-庚二酮金属以及1,1,1,5,5,5-六氟乙酰丙酮金属。也可使用这些金属β-二酮化物的经取代的形式,其中取代基包括例如羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
在某些实施方案中,连接型有机金属前体是或包括金属酰胺。金属酰胺可具有化学式MmR1 nR2 z,其中:
M为金属;
每个R1为酰胺或酰胺衍生物;
每个R2独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m和n为可能会或可能不会代表化学计量平衡的整数;以及
z可以是0或任何正数。
示例性的金属酰胺包括但不限于酰胺基金属、二甲基酰胺基金属、乙基甲基酰胺基金属、二乙基酰胺基金属、叔丁基酰胺基金属以及双(三甲基甲硅烷基)酰胺基金属。也可使用这些金属酰胺的经取代的形式,其中取代基包括例如羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
在某些实施方案中,连接型有机金属前体是或包括金属酰亚胺。金属酰亚胺可具有化学式MmR1 nR2 z,其中:
M为金属;
每个R1为酰亚胺或酰亚胺衍生物;
每个R2独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m和n为可能会或可能不会代表化学计量平衡的整数;以及
z可以是0或任何正数。
示例性的金属酰亚胺包括但不限于乙酰亚胺金属、异丙酰亚胺金属、叔丁基酰亚胺金属、叔戊基酰亚胺金属、亚硝酰基金属以及异氰酸基金属。也可使用这些金属酰亚胺的经取代的形式,其中取代基包括例如羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
在某些实施方案中,连接型有机金属前体是或包括金属脒化物。金属脒化物可具有化学式MmR1 nR2 z,其中:
M为金属;
每个R1为脒化物或脒化物衍生物;
每个R2独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m和n为可能会或可能不会代表化学计量平衡的整数;以及
z可以是0或任何正数。
一示例性的金属脒化物为N,N’-二异丙基乙脒基金属。也可使用经取代的形式,其中取代基包括例如羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
在某些实施方案中,连接型有机金属前体是或包括金属膦。金属膦可具有化学式MmR1 nR2 z,其中:
M为金属;
每个R1为膦或膦衍生物;
每个R2独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m和n为可能会或可能不会代表化学计量平衡的整数;以及
z可以是0或任何正数。
示例性金属膦包括但不限于三乙基膦金属及三丁基膦金属。也可使用这些金属膦的经取代的形式,其中取代基包括例如羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
在某些实施方案中,连接型有机金属前体是或包括金属乙烯基硅烷。金属乙烯基硅烷可具有化学式MmR1 nR2 z,其中:
M为金属;
每个R1为乙烯基硅烷或乙烯基硅烷衍生物;
每个R2独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m和n为可能会或可能不会代表化学计量平衡的整数;以及
z可以是0或任何正数。
示例性的金属乙烯基硅烷包括但不限于乙烯基三甲基硅烷金属以及乙烯基三甲氧基硅烷金属。也可使用这些金属乙烯基硅烷的经取代的形式,其中取代基包括例如羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
在某些实施方案中,连接型有机金属前体是或包括金属羧基化物。金属羧基化物可具有化学式MmR1 nR2 z,其中:
M为金属;
每个R1为羧基化物或羧基化物衍生物;
每个R2独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m和n为可能会或可能不会代表化学计量平衡的整数;以及
z可以是0或任何正数。
示例性的金属羧基化物包括但不限于金属乙酸盐以及2,2-二甲基丙酸基金属。也可使用这些金属羧基化物的经取代的形式,其中取代基包括例如羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
在某些实施方案中,连接型有机金属前体是或包括金属脒阴离子化物。金属脒阴离子化物可具有化学式MmR1 nR2 z,其中:
M为金属;
每个R1为脒阴离子化物或脒阴离子化物衍生物;
每个R2独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m和n为可能会或可能不会代表化学计量平衡的整数;以及
z可以是0或任何正数。
示例性金属脒阴离子化物包括但不限于N,N'-二甲基脒基金属、N,N'-二异丙基乙脒基金属、N,N'-二异丙基甲脒基金属、N,N'-二叔丁基乙脒基金属、N,N'-二仲丁基脒基金属、N,N'-二异丙基胍基金属以及N,N'-二异丙基异丙基酰胺基胍基金属。也可使用这些金属脒阴离子化物的经取代的形式,其中取代基包括例如羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
在某些实施方案中,连接型有机金属前体是或包括金属吡咯基衍生物。金属吡咯基衍生物可具有化学式MmR1 nR2 z,其中:
M为金属;
每个R1为吡咯基或吡咯基衍生物;
每个R2独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m和n为可能会或可能不会代表化学计量平衡的整数;以及
z可以是0或任何正数。
示例性金属吡咯基衍生物包括但不限于吡咯基金属以及3,5-二叔丁基吡唑基金属。也可使用这些金属吡咯基衍生物的经取代形式,其中取代基包括例如羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
在某些实施方案中,连接型有机金属前体是或包括金属双齿基化物。金属双齿基化物可具有化学式MmR1 nR2 z,其中:
M为金属;
每个R1为双齿基;
每个R2独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m和n为可能会或可能不会代表化学计量平衡的整数;以及
z可以是0或任何正数。
可使用的示例性金属双齿基化物包括但不限于二乙基二硫代胺基甲酸基金属、二甲基乙二醛肟基金属、2-甲氧基乙氧基金属、二甲氨基乙氧基金属、二甲氨基-2-丙氧基金属、N,N-2-三甲基-2-丁氧基金属、N,N,2-三甲基-2-丙氧基金属、N,N-二叔丁基丁烷-2,3-二酰胺基金属以及2-氨基戊-2-烯-4-酸基金属(2-amino-pent-2-en-4-onato metal)。也可使用这些金属双齿基化物的经取代形式,其中示例性取代基包括例如羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
在某些实施方案中,连接型有机金属前体是或包括金属多环配位基。金属多环配位基可具有化学式MmR1 nR2 z,其中:
M为金属;
每个R1为多环配位基;
每个R2独立地选自氢、羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合;
m和n为可能会或可能不会代表化学计量平衡的整数;以及
z可以是0或任何正数。
可使用的示例性金属多环配位基包括但不限于2-(2-氨基-丙-2-烯基)-1-吡咯啉基金属、1,10-啡啉基金属、三(3,5-二乙基-吡唑基)硼酸基金属以及1,2-双(2,6-二异丙基苯基亚氨基)二氢苊金属。也可使用这些金属多环配位基的经取代的形式,其中取代基包括例如羟基、脂肪族、卤代脂肪族、卤代杂脂肪族、杂脂肪族、芳香族、脂肪族-芳香族、杂脂肪族-芳香族、或它们的任何组合。
c.与金属反应的非金属源
在多个实施方案中,物质源可以包括与金属反应以形成沉积化合物的非金属源。与金属反应可产生沉积在利用官能化反应物改性的材料上的金属氧化物、金属氮化物、金属硫化物、金属碳化物、或它们的组合。
与金属反应的非金属物质源可以是含氧反应物、含氮反应物、含硫反应物、反应性含碳反应物等。
除了金属的物质源外,可提供与金属反应的非金属源。金属的物质源可提供中性形式或离子形式的金属。在金属以离子形式提供的情况下,用于沉积额外材料的沉积机制可能会或可能不会包括将该金属还原。可提供一种或更多反应性化学品以产生期望的额外材料(例如,金属氧化物、金属氮化物、金属硫化物等)。反应性化学品可以是含氧反应物、含氮反应物、含硫反应物等。
3.额外物质和额外浴
在一些实施方案中,沉积浴可以包括一种或更多额外物质。该物质可以包括例如常用于电镀的还原性物质和/或辅助性电解液。在官能化反应物的活性官能团包括催化性官能团的情况下,沉积浴中包括还原性物质可以是特别有用的。催化性官能团可催化该还原性物质与金属之间的反应,从而导致该金属的还原(以及沉积)。
在某些实现方案中,沉积浴的组成可随时间推移而变化。例如,在一些情况下,在将衬底暴露于沉积浴一段时间后,可将还原性物质添加至该沉积浴。还原性物质可以包括如关于官能化反应物的活性官能团所描述的一个或更多还原性官能团。在官能化反应物包括还原性物质的情况下,添加还原性物质会是特别有用的。在官能化反应物的还原性官能团(其固定在衬底表面上)与沉积浴中的金属物质源的一部分进行反应并将其还原后,可通过将还原性物质添加至沉积浴来促进额外的金属还原/沉积。还原性物质可以包括与官能化反应物相同的还原性官能团,或者其可以包括不同的还原性官能团。
替代地,可以在将衬底从第一沉积浴移除后提供第二沉积浴,而并非添加物质至该沉积浴。该第二沉积浴可具有与该第一沉积浴不同的组成。在特定示例中,官能化反应物包括作为活性官能团的还原性官能团,第一沉积浴包括例如金属盐之类的金属源,但无还原性物质;将该衬底暴露于该第一沉积浴造成在衬底表面上沉积金属薄层;第二沉积浴包括还原性物质及例如金属盐之类的金属源;以及将该衬底暴露于该第二沉积浴造成金属的进一步沉积。在该示例中,由于该衬底上的还原性官能团已进行反应后将不会发生进一步的还原,因此该第一沉积浴中的金属沉积是自限制的。与之相比,由于还原性物质和金属源被自由地提供在该第二沉积浴中,因此该第二沉积浴中的金属沉积并非是自限制的。类似地,在将还原性物质添加至沉积浴的情况下,金属沉积在添加该还原性物质之前以自限制方式进行,而在添加该还原性物质之后以非自限制方式进行。在使用两种不同沉积浴的情况下,可将其按顺序提供于单一处理室中,或者可将衬底在不同处理室之间移动。
在一些情况下,以循环方式将衬底暴露于官能化浴和沉积浴,如下文进一步描述的。
4.工艺变量的控制
在经由官能化反应物所改性的材料上沉积额外材料的期间,可控制各种工艺变量。可控制该工艺变量以促进衬底上的期望反应和/或抑制非期望反应。可控制的工艺变量的示例包括但不限于沉积浴和/或装置的温度、沉积浴的通气程度、沉积浴内的质量传输、衬底在沉积浴中的暴露时间、施加至衬底的电流或电压(如果使用)以及沉积浴的组成(例如,溶解氧浓度、物质源的浓度及特性、溶剂特性、沉积浴中额外材料的存在等)等。
在多种示例中,可将沉积浴和/或装置的温度控制在介于约10℃与90℃之间。可利用加热器、冷却器、热交换器等控制这些温度。在这些或其他示例中,可通过将溶解泵送通过气体交换膜、以及监测溶解气体来控制通气。在某些实施方案中,可将溶解的O2控制在低水平,例如低于0.5ppm。该浴中的质量传输能以多种方式进行控制,例如通过控制沉积浴通过反应室的流率、通过控制衬底在沉积期间旋转的速度(或者不旋转)、通过控制混合桨叶或其他混合元件的速率等。暴露的持续时间可介于约30秒至约1小时之间。较长暴露时间造成较大沉积,其中较短暴露时间较适合用于沉积薄层(例如,阻挡层、阻挡层前体或任选的衬垫),而较长暴露时间更适合用于沉积较厚层(例如,形成互连件的导电金属)。
通过调节引导溶剂、物质源以及任何额外物质进入该沉积浴中,可控制该浴的组成。在某些情况下,物质源可在沉积浴中提供介于约1mM至1000mM的金属浓度。此外,可使用除气机和/或气体注入器来控制沉积浴中的溶氧量,以达成期望氧浓度。在某些实施方案中,可将沉积浴中的溶氧量控制在介于约0ppm至9ppm之间,例如在如上所述的一些情况中为约0.5ppm或更低。
B.干式处理:化学气相沉积和原子层沉积
在某些实施方案中,可以将例如化学气相沉积或原子层沉积的干式处理技术用于在经由官能化反应物进行改性的材料上沉积额外材料。在一些情况下,可将干式处理技术用于在如上所述经由官能化反应物进行改性并接着使用无电镀覆或电镀进一步处理的层上沉积材料。化学气相沉积及原子层沉积可通过热能或等离子体能量来驱动。
在先前,化学气相沉积和原子层沉积由于例如在介电材料上成核性较差而不能用于BEOL互连件形成的背景中。本文所述的官能化步骤显著改善了成核行为,从而扩展将化学气相沉积和原子层沉积用于沉积期望材料的可能性。
1.物质源和沉积机制
可使用如上文关于官能化后湿式处理技术所描述的各种物质源类型。一般而言,根据特定应用的需求,可将上述物质源与干式处理技术结合使用,前体条件是在上述物质源可在干式反应室中挥发。
同样地,关于湿式处理技术所描述的沉积机制(例如,包括物质源与官能化反应物之间的交互作用)也可适用于干式处理技术。在整个本申请中描述了沉积机制的各种示例。沉积机制可以包括例如还原、催化、去络合、形成共价或离子化学键、经由范德华力的键合等。
一般而言,化学气相沉积涉及同时将衬底暴露于一种或更多气相反应物并驱动气相反应,以造成衬底上的沉积。原子层沉积涉及将衬底循环暴露于一种或更多反应物(可使每种反应物单独流动)、允许所述反应物吸附至衬底表面上(例如,在自限制工艺中),随后施加能量以驱动表面反应而造成衬底上的沉积。,在化学气相沉积及原子层沉积的情况下,反应可根据对特定应用的需要由热能或等离子体能量驱动。
2.工艺变量的控制
在实施本文所述的干式沉积技术时可控制多个工艺变量。可控制此类工艺变量以促进衬底上的期望反应和/或抑制非期望反应。可控制的工艺变量的示例包括但不限于反应物暴露持续时间及流率、清扫持续时间(如果使用)、温度(例如,衬底支撑件温度)、压力、等离子体产生条件(例如,RF功率、RF频率、工作周期、衬底偏压功率、离子能量等)。可根据对特定应用需要来控制这些条件。
一般而言,对于化学气相沉积应用的反应物暴露持续时间可介于约10秒至5分钟之间,而对于原子层沉积应用可介于1秒至60秒之间。当使用清扫时,持续时间可介于约1秒至60秒之间。可将衬底支撑件维持在介于约30℃至400℃之间的温度。可将压强维持在介于约10-8托(例如,对于超高真空CVD)至760托(例如,对于大气CVD)之间。可在介于约0.2kW至3kW之间的RF功率下产生等离子体。该等离子体可在例如13.56kHz和/或100kHz的一种或更多频率下产生。该等离子体可具有介于约10%至90%之间的工作周期。施加至衬底支撑件的偏压可介于约0V至400V之间。虽然这些范围大致反映可用于许多不同实施方案中的处理条件,但应当理解,在某些情况下可将这些处理变量中的任一者或更多者控制在更小的范围内。
III.循环官能化及官能化后处理步骤
再次参照图2,操作203中的官能化步骤使正进行官能化的材料的上表面改性。接着,操作205中的沉积步骤继续进行以在经官能化的材料上沉积额外材料层。在某些实施方案中,操作203进行一次,而操作205中所沉积的全部额外材料可在单一沉积操作中形成。在其他实施方案中,操作203和205可彼此循环进行。在此情况下,操作203的第二次以及后续重复进行可涉及将先前操作205的重复进行中所沉积的材料(以及任选地,在操作203的第一次重复中所改性的材料,如果在该时间点任何这样的材料仍然暴露在衬底表面上的话)进行官能化。此循环可持续进行,直到额外材料达成期望厚度。
IV.阻挡物制造
本文中的多个实施方案涉及阻挡层的形成。参照图1,可将阻挡层105定位在介电材料103与任选的衬垫107、晶种层或导电金属109之间。
在先前,由于上述的各种限制,阻挡层材料的类型以及用于形成此阻挡层材料的沉积方法是受限的。例如,由于在介电材料上的较差成核、以及在介电材料与阻挡层(或阻挡层前体)的材料之间的较差粘附性,许多材料及沉积方法可能是无法使用的。
有利地,本文所述的技术使得独特的阻挡层和阻挡层堆叠件的制造能进行。例如,所述技术允许进行材料堆叠件的高质量制造,而所述材料堆叠件在先前无法直接形成在介电材料上。此外,所述技术允许将先前可获得的材料使用交替沉积方法来制造。这些因素大大拓宽了可用于BEOL互连件形成的处理材料和方法。
在一些实施方案中,在被官能化反应物所改性的材料上沉积的额外材料为阻挡层或阻挡层的前体。可通过本文所述的湿式工艺(例如,无电镀覆)或干式工艺(例如,化学气相沉积、原子层沉积)来提供阻挡层或阻挡层的前体。在额外材料为阻挡层的前体的情况下,可采取额外处理步骤以将阻挡层前体转化为实际阻挡层。该额外处理可涉及热退火工艺和/或等离子体退火工艺。
热退火工艺可涉及将衬底暴露于热以使阻挡层前体转化为实际阻挡层。在某些实施方案中,退火工艺涉及将衬底暴露于形成气体(例如,氢和氮的混合物)、或其他反应性气体。该气体可以与阻挡层前体进行反应以形成阻挡层。在某些实施方案中,退火工艺可涉及将衬底暴露在介于约150℃至400℃之间的高温。在一些情况下,可将室内用于退火的衬底支撑件加热至落在该范围内的温度。
等离子体退火工艺可涉及将衬底暴露于等离子体以使阻挡层前体转化为实际阻挡层。在某些实施方案中,该等离子体为还原性等离子体,例如含氢等离子体。在其他实施方案中,该等离子体可以是氧化性等离子体,例如用于形成氧化物阻挡物的含氧等离子体。
V.额外示例
在BEOL互连件形成的背景下描述各种示例。这些示例并非意指是限制性的。所述示例将参照图3进行描述。在多种示例中,在操作303中用官能化反应物改性的材料为介电材料,而在操作305中沉积在介电材料上的额外材料为阻挡层或阻挡层前体。
在一示例中,操作303中的官能化步骤涉及将衬底暴露于包含溶剂(例如,水)的官能化浴,所述溶剂自身与该衬底进行反应并在介电材料上形成成组均匀的键终端(例如,羟基)。在此情况下,水用作官能化浴的溶剂和官能化反应物两者。关于官能化反应物,羟基用作结合官能团(例如,化学吸附官能团)和活性官能团(例如,去络合官能团或粘附性官能团)两者。在操作303后,在操作305中使用涉及例如化学气相沉积或原子层沉积的真空金属化工艺将衬底进行金属化。该金属化工艺将前体沉积至阻挡层。在该示例中,在由官能化反应物改性的材料上沉积的额外材料为阻挡层的前体。在沉积阻挡层的前体后,使其经历热或等离子体退火工艺,从而提供阻挡层的反应性形成。
在另一示例中,操作303中的官能化步骤涉及将衬底暴露于包含溶剂(例如,水)和官能化反应物的官能化浴,所述官能化反应物包括用作结合官能团的物理吸附官能团(例如,儿茶酚)、以及用作活性官能团的还原性官能团(例如,硼氢化物)。将该衬底暴露于官能化浴一段时间(例如,一分钟),从而允许官能化反应物的物理吸附官能团经由范德华力而与该衬底上的介电材料暂时结合。接着,通过不含官能化反应物的溶剂(例如,水)将该官能化浴冲洗掉。任选地将该衬底干燥。接着,操作305中的沉积步骤涉及无电镀覆,以将前体沉积至该阻挡层。在该步骤中,将衬底暴露于包含溶剂(例如,水)和金属物质源(例如,金属硫酸盐)的沉积浴。来自金属物质源的金属与官能化反应物上的还原性官能团相互作用,导致金属物质源还原并且使金属沉积在衬底表面上。可通过使用热控制、流动控制及其他工艺改性来促进该还原。在该金属与还原性官能团之间进行反应后,可通过重复进行操作303中的官能化步骤和操作305中的沉积步骤,或者通过将还原性物质(例如,硼氢化物)添加到该沉积浴来执行进一步的金属化。如上所述,也可使用第二沉积浴(例如,包含还原性物质的第二沉积浴)来代替将还原性物质添加到第一沉积浴。在该沉积浴中提供还原性物质的情况下,可通过先前被还原的金属层来催化金属的进一步还原。替代地,可经由例如化学气相沉积或原子层沉积的干式技术而执行进一步金属化。在该沉积浴中沉积在介电材料上的初始金属层确保该金属在介电材料上的高质量成核及粘附性。在该金属化充分完成(例如,将阻挡层前体完全沉积)后,接着将该衬底暴露于热或等离子体退火工艺,从而使该阻挡层能由阻挡层的前体反应性形成。
在另一示例中,操作303中的官能化步骤涉及将衬底暴露于包含溶剂(例如,甲苯)和官能化反应物的官能化浴,所述官能化反应物包括用作结合官能团的化学吸附官能团(例如,烷氧基硅烷)、以及包括用作活性官能团的还原性部分(例如,乙二醇、还原性官能团)的R基。官能化反应物的化学吸附官能团与衬底表面上的介电材料结合。将该衬底在存在反应物化学品(例如,水,其可受控制地与化学吸附官能团进行反应以将衬底硅烷化)的情况下于受控温度(例如,介于约60℃至80℃之间)进行处理。将官能化浴从衬底冲洗去除,并任选地将衬底干燥。接着,在操作305中将衬底金属化以形成阻挡层前体。在一种情况下,该衬底在包含例如水和金属盐的沉积浴中以湿式工艺进行金属化。该金属盐可被官能化反应物的还原性官能团还原。在另一情况下,该衬底利用干式沉积工艺(例如,化学气相沉积或原子层沉积)进行金属化,其中官能化反应物的还原性官能团使该干式沉积工艺能进行/增强。在将阻挡层前体完全金属化后,可将衬底暴露于热或等离子体退火工艺,从而使阻挡层前体转化为阻挡层。在某些实施方案中,退火工艺涉及将衬底加热至介于约150℃至400℃之间的温度。替代地,可执行如本文所述的等离子体退火工艺。
在另一示例中,操作303中的官能化步骤涉及将衬底暴露于包含溶剂(例如,水)和官能化反应物的官能化浴,所述官能化反应物包括结合官能团(例如,物理吸附官能团,如儿茶酚)、以及作为活性官能团的催化性官能团(例如,钴纳米颗粒)。结合官能团将官能化反应物与衬底上的介电材料结合。因此,该介电材料被改性成具有催化活性的。在将该衬底暴露于官能化浴一段时间后,将该官能化浴清扫掉,并将该衬底任选地冲洗以及任选地干燥,接着在操作305中使该衬底经受无电镀覆以形成阻挡层前体。无电镀覆涉及将衬底暴露于包含溶剂(例如,水)、金属源(例如,金属硫酸盐)以及还原性物质(例如,硼氢化物)的沉积浴。可将该沉积浴进行控制以调节温度、质量传输、溶解气体等,以促进还原性物质将金属沉积在经催化改性的介电质表面上。所述沉积可持续进行直到阻挡层前体达到期望厚度。在达到该期望厚度后,可使该衬底经受热或等离子体退火以促进从阻挡层前体反应形成阻挡层。在一实施方案中,退火涉及将衬底加热至介于约150℃至400℃之间的温度。在另一实施方案中,退火涉及将衬底暴露于氢等离子体。
在另一示例中,操作303中的官能化步骤涉及将衬底暴露于包含溶剂(例如,水)和官能化反应物的官能化浴,所述官能化反应物包含结合官能团、以及作为活性官能团的去络合官能团(例如,羧酸)。结合官能团与衬底上的介电材料结合。将该衬底任选地冲洗以及任选地干燥。接着,操作305涉及将衬底暴露于包含溶剂(例如,己烷)和接合型金属前体(例如,乙酸盐接合金属)的沉积浴。可控制该沉积浴以调节温度、质量传输、溶解气体等,以促进去络合金属沉积到衬底表面上,从而形成阻挡层前体(或其部分)。额外阻挡层前体的沉积可通过重复操作303和305来执行。另选地或除此之外,额外阻挡层前体的沉积可使用例如化学气相沉积或原子层沉积之类的干式技术来执行。在使用干式技术的情况下,先前的表面改性使所述干式技术能进行/增强。在完全沉积阻挡层前体后,可将该衬底暴露于热或等离子体退火工艺以将阻挡层前体转化为实际阻挡层。在一实施方案中,退火工艺为热退火,且该热退火涉及将衬底加热至介于约150℃至400℃之间的温度。在另一实施方案中,退火工艺涉及将衬底暴露于氢等离子体。
在另一示例中,操作303中的官能化步骤涉及将衬底暴露于包包含溶剂(例如,水)的官能化浴,其中该溶剂也用作官能化反应物。在该示例中,羟基既用作官能化反应物的结合官能团又用作活性官能团。官能化浴中的水在介电材料上产生羟基终端。接着,将该衬底任选地冲洗以及任选地干燥。接着,操作305中的沉积步骤涉及将衬底暴露于化学气相沉积工艺,所述化学气相沉积工艺涉及将衬底暴露于用作金属物质源的二乙基锌。该化学气相沉积工艺通过介电材料上羟基终端实现/增强,并且导致在该衬底上形成锌层。接着,使该衬底经历热或等离子体退火工艺以(例如,在含硅介电材料与锌阻挡层前体材料之间的反应中)产生硅酸锌。接着,可通过将该衬底暴露于氢等离子体以使过量锌挥发。接着,可沉积铜晶种层(经由任何可用机制),随后通过电填充本体金属化以形成互连件结构的导电金属。
在一些实施方案中,阻挡层或阻挡层前体经由化学气相沉积工艺或原子层沉积工艺来沉积,其中所述工艺用过先前的湿式官能化步骤实现或增强。在其他实施方案中,仅使用湿式工艺来沉积阻挡层或阻挡层前体。这样的工艺可随后进行退火,以完成阻挡层的形成(例如,由阻挡层前体形成)。
VI.定义
如本文可互换使用的,术语“酰基(acyl)”或“烷酰基(alkanoyl)”表示通过如本文所定义的羰基连接至母分子基团的直链、支链、环状构型、饱和、不饱和及芳香族、以及它们的组合的1、2、3、4、5、6、7、8个或更多碳原子的基团或氢。该基团例如有甲酰基、乙酰基、丙酰基、异丁酰基、丁酰基等。在一些实施方案中,酰基或烷酰基为-C(O)-R,其中R为如本文所定义的氢、脂肪族基团或芳香族基团。
“酰基卤(acyl halide)”意指-C(O)X,其中X为卤素,例如Br、F、I或Cl。
“醛(aldehyde)”意指-C(O)H基团。
“脂肪族(aliphatic)”意指具有至少一个碳原子至50个碳原子(C1-50),例如1至25个碳原子(C1-25)、或1至10个碳原子(C1-10)的烃基,且其包括烷烃(或烷基)、烯烃(或烯基)、炔烃(或炔基),包括其环状形式,并且进一步包括直链和支链排列,以及所有立体和位置异构体。
“烷基-芳基(alkyl-aryl)”、“烯基-芳基(alkenyl-aryl)”及“炔基-芳基(alkynyl-aryl)”意指通过如本文所定义的烷基、烯基或炔基偶联或可偶联(或连接)至母分子基团的如本文所定义的芳基。烷基-芳基、烯基-芳基和/或炔基-芳基可经取代或未经取代。例如,烷基-芳基、烯基-芳基和/或炔基-芳基可经一个或更多取代基取代,如本文对烷基、烯基、炔基和/或芳基所述。示例性的未经取代的烷基-芳基具有7至16个碳(C7-16烷基-芳基),以及具有带1至6个碳的烷基及带4至18个碳的芳基的那些(即,C1-6烷基-C4-18芳基)。示例性未经取代的烯基-芳基具有7至16个碳(C7-16烯基-芳基),以及具有带2至6个碳的烯基及带4至18个碳的芳基的那些(即,C2-6烯基-C4-18芳基)。示例性的未经取代的炔基-芳基具有7至16个碳(C7-16炔基-芳基),以及具有带2至6个碳的炔基及带4至18个碳的芳基的那些(即,C2-6炔基-C4-18芳基)。在一些实施方案中,烷基-芳基为-L-R,其中L为如本文所定义的烷基,而R为如本文所定义的芳基。在一些实施方案中,烯基-芳基为-L-R,其中L为如本文所定义的烯基,而R为如本文所定义的芳基。在一些实施方案中,炔基-芳基为-L-R,其中L为如本文所定义的炔基,而R为如本文所定义的芳基。
“烯基(alkenyl)”意指具有至少两个碳原子至50个碳原子(C2-50)(例如两个至25个碳原子(C2-25)、或两个至十个碳原子(C2-10))以及至少一个碳-碳双键的不饱和单价烃,其中不饱和单价烃可衍生自从母体烯烃的一个碳原子上除去一个氢原子。烯基可以是支链、直链、环状(例如环烯基)、顺式或反式(例如E或Z)。示例性的烯基包含具有一个或更多双键的任选地经取代的C2-24烷基。烯基可以是单价或多价(例如二价),其通过去除一个或更多氢以形成与母分子基团适当连接或母分子基团与另一取代基之间的适当连接。烯基也可以是经取代或未经取代的。例如,烯基可经一个或更多取代基取代,如本文对烷基所述的。
“烷基-杂芳基(alkyl-heteroaryl)”意指通过如本文所定义的烷基与母分子基团连接的如本文所定义的杂芳基。在一些实施方案中,烷基-杂芳基为-L-R,其中L为如本文所定义的烷基,而R为如本文所定义的杂芳基。
“烷基-杂环基(alkyl-heterocyclyl)”、“烯基-杂环基(alkenyl-heterocyclyl)”和“炔基-杂环基(alkynyl-heterocyclyl)”意指分别通过如本文所定义的烷基、烯基或炔基偶联或可偶联(或连接)至母分子基团上的如本文所定义的杂环基。烷基-杂环基、烯基-杂环基和/或炔基-杂环基可以是经取代或未经取代的。例如,烷基-杂环基、烯基-杂环基和/或炔基-杂环基可经一个或更多取代基取代,如本文中对烷基、烯基、炔基和/或杂环基所述的。示例性的未经取代的烷基-杂环基具有2至16个碳(C2-16烷基-杂环基),以及具有带1至6个碳的烷基和带1至18个碳的杂环基的那些(即,C1-6烷基-C1-18杂环基)。示例性的未经取代的烯基-杂环基具有3至16个碳(C3-16烯基-杂环基),以及具有带2至6个碳的烯基及带1至18个碳的杂环基的那些(即,C2-6烯基-C1-18杂环基)。示例性的未经取代的炔基-杂环基具有3至16个碳(C3-16炔基-杂环基),以及具有带2至6个碳的炔基及带1至18个碳的杂环基的那些(即,C2-6炔基-C1-18杂环基)。在一些实施方案中,烷基-杂环基为-L-R,其中L为如本文所定义的烷基,而R为如本文所定义的杂环基。在一些实施方案中,烯基-杂环基为-L-R,其中L为如本文定义的烯基,而R为如本文定义的杂环基。在一些实施方案中,炔基-杂环基为-L-R,其中L为如本文定义的炔基,而R为如本文定义的杂环基。
“烷氧基(alkoxy)”意指-OR,其中R为任选地经取代的脂肪族基,如本文所述。示例性的烷氧基包括但不限于,甲氧基、乙氧基、正丙氧基、异丙氧基、正丁氧基、叔丁氧基、仲丁氧基、正戊氧基、三卤代烷氧基,例如三氟甲氧基等。烷氧基可经取代或未经取代。例如,烷氧基可经一个或更多取代基取代,如本文对烷基所述的。示例性的未经取代烷氧基包括C1-3、C1-6、C1-12、C1-16、C1-18、C1-20、或C1-24烷氧基。
“烷基(alkyl)”意指具有至少一个碳原子至50个碳原子(C1-50)(例如1至25个碳原子(C1-25)、或1至10个碳原子(C1-10)的饱和单价烃,其中饱和单价烃可衍生自从母化合物(例如烷烃)的一个碳原子上去除一个氢原子。烷基可以是支链、直链或环状(例如,环烷基)。示例性的烷基包含具有1至24个碳原子的支链或非支链饱和烃基,例如甲基、乙基、正丙基、异丙基、正丁基、异丁基、仲丁基、叔丁基、正戊基、异戊基、仲戊基、新戊基、己基、庚基、辛基、壬基、癸基、十二烷基、十四烷基、十六烷基、二十烷基、二十四烷基等。烷基也可以是经取代或未经取代的。烷基可以是单价或多价(例如,二价),其通过去除一个或更多氢以形成与母分子基团的适当连接或母分子基团与另一取代基之间的适当连接。例如,烷基可经一个、两个、三个或(在具有两个或更多碳的烷基的情况下)四个取代基取代,取代基独立地选自由以下项组成的群组:(1)C1-6烷氧基(例如-O-R,其中R为C1-6烷基);(2)C1-6烷基亚磺酰基(例如-S(O)-R,其中R为C1-6烷基);(3)C1-6烷基磺酰基(例如-SO2-R,其中R为C1-6烷基);(4)胺(例如,-C(O)NR1R2或-NHCOR1,其中R1与R2各自独立地选自如本文所定义的氢、脂肪族、杂脂肪族、卤代脂肪族、卤代杂脂肪族、芳香族、或它们的任何组合,或R1与R2与各自所连接的氮原子合在一起形成如本文所定义的杂环基);(5)芳基;(6)芳基烷氧基(例如-O-L-R,其中L为烷基而R为芳基);(7)芳酰基(例如,-C(O)-R,其中R为芳基);(8)叠氮基(例如-N3);(9)氰基(例如-CN);(10)醛基(例如,-C(O)H);(11)C3-8环烷基;(12)卤素;(13)杂环基(例如,如本文所定义的,例如含有一个、两个、三个或四个非碳杂原子的5-、6-或7-元环);(14)杂环氧基(例如-O-R,其中R为如本文所定义的杂环基);(15)杂环酰基(例如-C(O)-R,其中R为如本文所定义的杂环基);(16)羟基(例如-OH);(17)N-保护氨基;(18)硝基(例如-NO2);(19)氧代基(例如,=O);(20)C1-6硫代烷氧基(例如-S-R,其中R为烷基);(21)硫醇基(例如-SH);(22)-CO2R1,其中R1选自由以下项组成的群组:(a)氢、(b)C1-6烷基、(c)C4-18芳基以及(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基而R为C4-18芳基);(23)-C(O)NR1R2,其中R1与R2各自独立地选自由以下项组成的群组:(a)氢、(b)C1-6烷基、(c)C4-18芳基以及(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基而R为C4-18芳基);(24)-SO2R1,其中R1选自由以下项组成的群组:(a)C1-6烷基、(b)C4-18芳基以及(c)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基而R为C4-18芳基);(25)-SO2NR1R2,其中R1与R2各自独立地选自由以下项组成的群组:(a)氢、(b)C1-6烷基、(c)C4-18芳基以及(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基而R为C4-18芳基);以及(26)-NR1R2,其中R1与R2各自独立地选自由以下项组成的群组:(a)氢、(b)N-保护基团、(c)C1-6烷基、(d)C2-6烯基、(e)C2-6炔基、(f)C4-18芳基、(g)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基,R为C4-18芳基)、(h)C3-8环烷基、以及(i)C1-6烷基-C3-8环烷基(例如,-L-R,其中L为C1-6烷基而R为C3-8环烷基),其中在一实施方案中,没有两个基团通过羰基或磺酰基与氮原子结合。烷基可以是经一个或更多取代基(例如,一个或更多卤素或烷氧基)取代的伯烷基、仲烷基或叔烷基。在一些实施方案中,未经取代的烷基为C1-3、C1-6、C1-12、C1-16、C1-18、C1-20或C1-24烷基。
“烷基亚磺酰基(alkylsulfinyl)”意指通过-S(O)-基团连接至母分子基团的如本文所定义的烷基。在一些实施方案中,未经取代的烷基亚磺酰基为C1-6或C1-12烷基亚磺酰基。在其他实施方案中,烷基亚磺酰基为-S(O)-R,其中R为如本文所定义的烷基。
“烷基磺酰基(alkylsulfonyl)”意指通过-SO2-基团连接至母分子基团的如本文所定义的烷基。在一些实施方案中,未经取代的烷基磺酰基为C1-6或C1-12烷基磺酰基。在其他实施方案中,烷基磺酰基为-SO2-R,其中R为任选地经取代的烷基(例如,如本文所述,包括任选地经取代的C1-12烷基、卤代烷基或全氟烷基)。
“炔基(alkynyl)”意指具有至少两个碳原子至50个碳原子(C2-50)(例如两个至25个碳原子(C2-25)、或两个至十个碳原子(C2-10))以及至少一个碳-碳三键的不饱和单价烃,其中不饱和单价烃可衍生自从母体炔烃的一个碳原子上除去一个氢原子。炔基可以是支链、直链或环状的(例如,环炔基)。示例性的炔基包含具有一个或更多三键的任选地经取代的C2-24烷基。炔基可以是环状或非环状的,并且例示为乙炔基、1-丙炔基等。炔基可以是单价或多价(例如,二价)的,其通过去除一个或更多氢以形成与母分子基团的适当连接或母分子基团与另一取代基之间的适当连接。炔基也可以是经取代或未经取代的。例如,炔基可经一个或更多取代基取代,如本文对烷基所述的。
“酰胺(amide)”意指-C(O)NR1R2或-NHCOR1,其中R1与R2各自独立地选自如本文所定义的氢、脂肪族、杂脂肪族、卤代脂肪族、卤代杂脂肪族、芳香族、或它们的任何组合,或R1与R2与各自所连接的氮原子合在一起形成如本文所定义的杂环基。
“胺(amine)”意指-NR1R2,其中R1与R2各自独立地选自如本文所定义的氢、脂肪族、杂脂肪族、卤代脂肪族、卤代杂脂肪族、芳香族、或它们的任何组合;或R1与R2于各自所连接的氮原子合在一起形成如本文所定义的杂环基。
“氨基烷基(aminoalkyl)”意指经如本文所定义的氨基取代的如本文定义的烷基。在一些实施方案中,氨基烷基为-L-NR1R2,其中L为如本文定义的烷基,而R1与R2各自独立地选自如本文所定义的氢、脂肪族、杂脂肪族、卤代脂肪族、卤代杂脂肪族、芳香族、或它们的任何组合;或R1与R2与各自所连接的氮原子合在一起形成如本文所定义的杂环基。在其他实施方案中,氨基烷基为-L-C(NR1R2)(R3)-R4,其中L为如本文所定义的共价键或烷基;R1与R2各自独立地选自如本文所定义的氢、脂肪族、杂脂肪族、卤代脂肪族、卤代杂脂肪族、芳香族、或它们的任何组合;或R1与R2与各自所连接的氮原子合在一起形成如本文所定义的杂环基;且R3与R4各自独立地为如本文所定义的H或烷基。
“芳香族(aromatic)”意指具有单环(例如苯基)或多个稠合环的5至15个(除非另指明)环原子的环状共轭基团或部分,其中至少一个环为芳香族(例如,萘基、吲哚基或吡唑并吡啶基(pyrazolopyridinyl));即,至少一个环及可选地多个稠环具有连续且离域的π电子体系。通常,平面外π电子的数量对应于休克尔(Huckel)规则(4n+2)。与母体结构的连接点通常是通过稠环体系的芳香族部分。
“芳基(aryl)”意指包含至少五个碳原子至15个碳原子(C5-15)(例如五至十个碳原子(C5-10))的芳香族碳环基,其具有单环或多个稠环,所述稠环可以是或可以不是芳香族,前提条件是与本文所公开的化合物的剩余位置的连接点是通过芳香族碳环基的原子。芳基可经一个或更多除氢以外的基团(例如脂肪族、杂脂肪族、芳香族、其它官能团或它们的任何组合)取代。示例性的芳基包括但不限于,苄基、萘、苯基、联苯基、苯氧基苯等。术语芳基还包括杂芳基,其定义为包含芳香基的基团,该芳香基具有并入芳香基的环内的至少一杂原子。杂原子的示例包括但不限于氮、氧、硫和磷。同样,也包含在术语芳基中的术语非杂芳基定义了含有芳香基且不含杂原子的基团。芳基可以是经取代或未经取代的。芳基可经一个、两个、三个、四个或五个取代基取代,所述取代基独立地选自由以下项组成的群组:(1)C1-6烷酰基(例如-C(O)-R,其中R为C1-6烷基);(2)C1-6烷基;(3)C1-6烷氧基(例如-O-R,其中R为C1-6烷基);(4)C1-6烷氧基-C1-6烷基(例如-L-O-R,其中L与R各自独立地为C1-6烷基);(5)C1-6烷基亚磺酰基(例如-S(O)-R,其中R为C1-6烷基);(6)C1-6烷基亚磺酰基-C1-6烷基(例如-L-S(O)-R,其中L与R各自独立地为C1-6烷基);(7)C1-6烷基磺酰基(如-SO2-R,其中R为C1-6烷基);(8)C1-6烷基磺酰基-C1-6烷基(例如-L-SO2-R,其中L与R各自独立地为C1-6烷基);(9)芳基;(10)胺(例如,-NR1R2,其中R1与R2各自独立地选自如本文所定义的氢、脂肪族、杂脂肪族、卤代脂肪族、卤代杂脂肪族、芳香族、或它们的任何组合;或R1与R2与各自所连接的氮原子合在一起形成如本文所定义的杂环基);(11)C1-6氨基烷基(例如,-L1-NR1R2或-L2-C(NR1R2)(R3)-R4,其中L1为C1-6烷基;L2为共价键或C1-6烷基;R1与R2各自独立地选自如本文所定义的氢、脂肪族、杂脂肪族、卤代脂肪族、卤代杂脂肪族、芳香族、或它们的任何组合;或R1与R2与各自所连接的氮原子合在一起形成如本文所定义的杂环基;R3与R4各自独立地为H或C1-6烷基);(12)杂芳基;(13)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基而R为C4-18芳基);(14)芳酰基(例如,-C(O)-R,其中R为芳基);(15)叠氮基(例如,-N3);(16)氰基(例如,-CN);(17)C1-6叠氮烷基(例如,-L-N3,其中L为C1-6烷基);(18)醛(例如,C(O)H);(19)醛-C1-6烷基(例如,-L-C(O)H,其中L是C1-6烷基);(20)C3-8环烷基;(21)C1-6烷基-C3-8环烷基(例如,-L-R,其中L为C1-6烷基且R为C3-8环烷基);(22)卤素;(23)C1-6卤代烷基(例如,-L1-X或-L2-C(X)(R1)-R2,其中L1为C1-6烷基;L2为共价键或C1-6烷基;X为氟、溴、氯或碘;且R1与R2各自独立地为H或C1-6烷基);(24)杂环基(例如,如本文所定义的,例如含有一个、两个、三个或四个非碳杂原子的5-、6-或7-元环);(25)杂环氧基(例如,-O-R,其中R为如本文所定义的杂环基);(26)杂环酰基(例如,-C(O)-R,其中R为如本文所定义的杂环基);(27)羟基(-OH);(28)C1-6羟烷基(例如,-L1-OH或-L2-C(OH)(R1)-R2,其中L1为C1-6烷基;L2为共价键或烷基;且R1与R2各自独立地为如本文所定义的H或C1-6烷基);(29)硝基;(30)C1-6硝基烷基(例如,-L1-NO或-L2-C(NO)(R1)-R2,其中L1为C1-6烷基;L2为共价键或烷基;且R1与R2各自独立地为如本文所定义的H或C1-6烷基);(31)N-保护氨基;(32)N-保护氨基-C1-6烷基;(33)氧代基(例如,=O);(34)C1-6硫代烷氧基(例如,-S-R,其中R为C1-6烷基);(35)硫代-C1-6烷氧基-C1-6烷基(例如,-L-S-R,其中L与R各自独立地为C1-6烷基);(36)-(CH2)rCO2R1,其中r为0至4的整数,且R1选自由以下项组成的群组:(a)氢、(b)C1-6烷基、(c)C4-18芳基、以及(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基且R为C4-18芳基);(37)-(CH2)rCONR1R2,其中r为0至4的整数,且其中R1与R2各自独立地选自由以下项组成的群组:(a)氢、(b)C1-6烷基、(c)C4-18芳基、以及(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基且R为C4-18芳基);(38)-(CH2)rSO2R1,其中r为0至4的整数,且其中R1选自由以下项组成的群组:(a)C1-6烷基、(b)C4-18芳基、及(c)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基且R为C4-18芳基);(39)-(CH2)rSO2NR1R2,其中r为0至4的整数且其中R1与R2各自独立地选自由以下项组成的群组:(a)氢、(b)C1-6烷基、(c)C4-18芳基、以及(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基且R为C4-18芳基);(40)-(CH2)rNR1R2,其中r为0至4的整数且其中R1与R2各自独立地选自由以下项组成的群组:(a)氢、(b)N-保护基、(c)C1-6烷基、(d)C2-6烯基、(e)C2-6炔基、(f)C4-18芳基、(g)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基且R为C4-18芳基)、(h)C3-8环烷基、以及(i)C1-6烷基-C3-8环烷基(例如,-L-R,其中L为C1-6烷基且R为C3-8环烷基),其中在一实施方案中没有两个基团通过羰基或磺酰基与氮原子结合;(41)硫醇(例如,-SH);(42)全氟烷基(例如,-(CF2)nCF3,其中n为0至10的整数);(43)全氟烷氧基(例如,-O-(CF2)nCF3,其中n为0至10的整数);(44)芳氧基(例如,-O-R,其中R为芳基);(45)环烷氧基(例如,-O-R,其中R为环烷基);(46)环烷基烷氧基(例如,-O-L-R,其中L为烷基且R为环烷基);以及(47)芳基烷氧基(例如,-O-L-R,其中L为烷基,R为芳基)。在特定的实施方案中,未经取代的芳基为C4-18、C4-14、C4-12、C4-10、C6-18、C6-14、C6-12、或C6-10芳基。
“芳基烷氧基(arylalkoxy)”意指通过氧原子连接至母分子基团的如本文所定义的烷基-芳基。在一些实施方案中,芳基烷氧基为-O-L-R,其中L为如本文所定义的烷基,而R为如本文所定义的芳基。
“芳氧基(aryloxy)”意指-OR,其中R为如本文所述的任选地经取代的芳基。在一些实施方案中,未经取代的芳氧基为C4-18或C6-18芳氧基。
“芳酰基(aryloy)”意指通过羰基连接至母分子基团的芳基。在一些实施方案中,未经取代的芳酰基为C7-11芳酰基或C5-19芳酰基。在其他实施方案中,芳酰基为-C(O)-R,其中R为如本文所定义的芳基。
“叠氮基(azido)”意指-N3基团。
“叠氮烷基(azidoalkyl)”意指通过如本文所定义的烷基连接至母分子基团的叠氮基。在一些实施方案中,叠氮烷基为-L-N3,其中L为如本文所定义的烷基。“偶氮(azo)”意指-N=N-基团。
“羰基(carbonyl)”意指-C(O)-基团,也可表示为>C=O。
“羧基(carboxyl)”意指-CO2H基团或其阴离子。
“催化剂(catalyst)”意指通常相对于反应物少量存在且能够催化合成反应的化合物,如本领域普通技术人员将容易理解的。在一些实施方案中,催化剂可包含过渡金属配位络合物。
“氰基(cyano)”意指-CN基团。
“环脂肪族(cycloaliphatic)”意指如本文所定义的环状的脂肪族基团。
“环烷氧基(cycloalkoxy)”意指通过氧原子连接至母分子基团的如本文所定义的环烷基。在一些实施方案中,环烷氧基为-O-R,其中R为如本文所定义的环烷基。
“环烷基烷氧基(cycloalkylalkoxy)”意指通过氧原子连接至母分子基团的如本文所定义的烷基-环烷基。在一些实施方案中,环烷基烷氧基为-O-L-R,其中L为如本文所定义的烷基,而R为如本文所定义的环烷基。
“环烷基(cycloalkyl)”意指三至八个碳(除非另外指明)的单价饱和或不饱和的非芳香环烃基团,其例示为环丙基、环丁基、环戊基、环己基、环庚基、双环[2.2.1]庚基等。环烷基也可以是经取代或未经取代的。例如,环烷基可经一个或更多包括本文对烷基所述的那些基团取代。
“环杂脂肪族(cycloheteroaliphatic)”意指如本文所定义的环状的杂脂肪族基团。
“酯(ester)”意指-C(O)OR或-OC(O)R,其中R选自如本文所定义的脂肪族、杂脂肪族、卤代脂肪族、卤代杂脂肪族、芳香族、或它们的任何组合。
“卤素(halo)”意指F、Cl、Br或I。
“卤代脂肪族(haloaliphatic)”意指一个或更多氢原子(例如1至10个氢原子)独立地被卤素原子(例如氟、溴、氯或碘)取代的如本文所定义的脂肪族基团。
“卤代烷基(haloalkyl)”意指一个或更多氢原子(例如1至10个氢原子)独立地被卤素原子(例如氟、溴、氯或碘)取代的如本文所定义的烷基。在一独立实施方案中,卤代烷基可以是-CX3基团,其中每个X可独立地选自氟、溴、氯或碘。在一些实施方案中,卤代烷基为-L-X,其中L为如本文定义的烷基,而X为氟、溴、氯或碘。在其他实施方案中,卤代烷基(halooalkylgroup)为-L-C(X)(R1)-R2,其中L为如本文所定义的共价键或烷基;X为氟、溴、氯或碘;且R1与R2各自独立地为如本文所定义的H或烷基。
“卤代杂脂肪族(haloheteroaliphatic)”意指一个或更多氢原子(例如1至10个氢原子)独立地被卤素原子(例如氟、溴、氯或碘)取代的如本文所定义的杂脂肪族。
“杂脂肪族(heteroaliphatic)”意指在该基团内包含至少一个杂原子至20个杂原子(例如1至15个杂原子、或1至5个杂原子)的如本文所定义的脂肪族基团,杂原子可选自但不限于氧、氮、硫、硅、硼、硒、磷及其氧化形式。
“杂烷基(heteroalkyl)”、“杂烯基(heteroalkenyl)”和“杂炔基(heteroalkynyl)”分别意指在该基团内包含至少一个杂原子至20个杂原子(例如1至15个杂原子或1至5个杂原子)的如本文所定义的烷基、烯基或炔基(其可以是支链、直链或环状的),杂原子可选自但不限于氧、氮、硫、硅、硼、硒、磷及其氧化形式。
“杂烷基-芳基(heteroalkyl-aryl)”、“杂烯基-芳基(heteroalkenyl-aryl)”和“杂炔基-芳基(heteroalkynyl-aryl)”意指偶联或可偶联至本文所公开化合物的如本文所定义的芳基,其中芳基分别通过如本文所定义的杂烷基、杂烯基或杂炔基偶联或变为偶联。在一些实施方案中,杂烷基-芳基为-L-R,其中L为如本文所定义的杂烷基,而R为如本文所定义的芳基。在一些实施方案中,杂烯基-芳基为-L-R,其中L为如本文所定义的杂烯基,而R为如本文所定义的芳基。在一些实施方案中,杂炔基-芳基为-L-R,其中L为如本文所定义的杂炔基,而R为如本文所定义的芳基。
“杂烷基-杂芳基(heteroalkyl-heteroaryl)”、“杂烯基-杂芳基(heteroalkenyl-heteroaryl)”和“杂炔基-杂芳基(heteroalkynyl-heteroaryl)”意指偶联或可偶联至本文所公开化合物的如本文所定义的杂芳基,其中杂芳基分别通过如本文所定义的杂烷基、杂烯基或杂炔基偶联或变为偶联。在一些实施方案中,杂烷基-杂芳基为-L-R,其中L为如本文所定义的杂烷基,而R为如本文所定义的杂芳基。在一些实施方案中,杂烯基-杂芳基为-L-R,其中L为如本文所定义的杂烯基,而R为如本文所定义的杂芳基。在一些实施方案中,杂炔基-杂芳基为-L-R,其中L为如本文所定义的杂炔基,而R为如本文所定义的杂芳基。
“杂芳基(heteroaryl)”意指在环内的包含至少一个杂原子至六个杂原子(例如一至四个杂原子)的芳基,杂原子可选自但不限于氧、氮、硫、硅、硼、硒、磷及其氧化形式。这种杂芳基可具有单环或多个稠环,其中稠环可以是或可以不是芳香族和/或含有杂原子,前提条件是连接点是通过芳香族杂芳基的原子。杂芳基可经一个或更多个除氢以外的基团取代,例如脂肪族、杂脂肪族、芳香族、其他官能团或它们的任何组合。示例性的杂芳基包含如本文所定义的杂环基的子集,其为芳香族,即,其在单环或多环体系内含有4n+2个π电子。
“杂原子(heteroatom)”意指除碳以外的原子,例如氧、氮、硫、硅、硼、硒或磷。在特别公开的实施方案中,例如当价限制不允许时,杂原子不包含卤素原子。
“杂环基(heterocyclyl)”意指含有一个、两个、三个或四个非碳杂原子(例如,独立地选自氮、氧、磷、硫或卤素)的5-、6-或7-元环(除非另外指明)。五元环具有零至两个双键,而六元环及七元环具有零至三个双键。术语“杂环基”还包括双环、三环和四环基团,其中任何上述杂环稠合至一个、两个或三个独立选自由芳环、环己烷环、环己烯环、环戊烷环、环戊烯环以及另一单环杂环(例如吲哚基、喹啉基、异喹啉基、四氢喹啉基、苯并呋喃基、苯并噻吩基等)组成的群组的环。杂环包含硫杂环丙基(thiiranyl)、氧杂环丁烷基(thietanyl)、四氢噻吩基(tetrahydrothienyl)、噻环己基(thianyl)、硫杂环庚烷基(thiepanyl)、吖丙啶基(aziridinyl)、吖丁啶基(azetidinyl)、吡咯烷基(pyrrolidinyl)、均哌啶基(homopiperidinyl)、氮杂环庚烷基(azepanyl)、吡咯基(pyrrolyl)、吡咯啉基(pyrrolinyl)、吡唑基(pyrazolyl)、吡唑啉基(pyrazolinyl)、吡唑烷基(pyrazolidinyl)、咪唑基(imidazolyl)、咪唑啉基(imidazolinyl)、咪唑烷基(imidazolidinyl)、吡啶基(pyridyl)、均哌啶基(homopiperidinyl)、吡嗪基(pyrazinyl)、哌嗪基(piperazinyl)、嘧啶基(pyrimidinyl)、哒嗪基(pyridazinyl)、噁唑基(oxazolyl)、噁唑烷基(oxazolidinyl)、噁唑烷酮基(oxazolidonyl)、异噁唑基(isoxazolyl)、异噁唑烷基(isoxazolidiniyl)、吗啉基(morpholinyl)、硫代吗啉基(thiomorpholinyl)、噻唑基(thiazolyl)、噻唑烷基(thiazolidinyl)、异噻唑基(isothiazolyl)、异噻唑烷基(isothiazolidinyl)、吲哚基(indolyl)、喹啉基(quinolinyl)、异喹啉基(isoquinolinyl)、苯并咪唑基(benzimidazolyl)、苯并噻唑基(benzothiazolyl)、苯并噁唑基(benzoxazolyl)、呋喃基(furyl)、噻吩基(thienyl)、噻唑烷基(thiazolidinyl)、异噻唑基(isothiazolyl)、异吲唑基(isoindazoyl)、三唑基(triazolyl)、四唑基(tetrazolyl)、噁二唑基(oxadiazolyl)、脲嘧啶基(uricyl)、噻二唑基(thiadiazolyl)、嘧啶基(pyrimidyl)、四氢呋喃基(tetrahydrofuranyl)、二氢呋喃基(dihydrofuranyl)、二氢噻吩基(dihydrothienyl)、二氢吲哚基(dihydroindolyl)、四氢喹啉基(tetrahydroquinolyl)、四氢异喹啉基(tetrahydroisoquinolyl)、哌喃基(pyranyl)、二氢哌喃基(dihydropyranyl)、四氢哌喃基(tetrahydropyranyl)、二噻唑基(dithiazolyl)、二氧杂环己基(dioxanyl)、二氧杂环己烯基(dioxinyl)、二噻环己基(dithianyl)、三噻环己基(trithianyl)、噁嗪基(oxazinyl)、噻嗪基(thiazinyl)、氧代硫杂环戊基(oxothiolanyl)、三嗪基(triazinyl)、苯并呋喃基(benzofuranyl)、苯并噻吩基(benzothienyl)等。
“杂环氧基(heterocyclyloxy)”意指通过氧原子连接至母分子基团的如本文所定义的杂环基。在一些实施方案中,杂环氧基为-O-R,其中R为如本文所定义的杂环基。
“杂环酰基(heterocyclyloyl)”意指通过羰基连接至母分子基团的如本文所定义的杂环基。在一些实施方案中,杂环酰基为-C(O)-R,其中R为如本文所定义的杂环基。
“羟基(hydroxyl)”意指-OH。
“羟烷基(hydroxyalkyl)”意指经一个至三个羟基取代的如本文所定义的烷基,前提条件是不超过一个羟基可连接至该烷基的单个碳原子,其例示为羟甲基、二羟丙基等。在一些实施方案中,羟烷基为-L-OH,其中L为如本文所定义的烷基。在其他实施方案中,羟烷基为-L-C(OH)(R1)-R2,其中L为如本文所定义的共价键或烷基,而R1与R2各自独立地为如本文所定义的H或烷基。
“酮(ketone)”意指-C(O)R,其中R选自如本文所定义的脂肪族、杂脂肪族、芳香族、或它们的任何组合。
“硝基(nitro)”意指-NO2基团。
“硝烷基(nitroalkyl)”意指经一个至三个硝基取代的如本文所定义的烷基。在一些实施方案中,硝烷基为-L-NO,其中L为如本文所定义的烷基。在其他实施方案中,硝烷基为-L-C(NO)(R1)-R2,其中L为如本文所定义的共价键或烷基,而R1与R2各自独立地为如本文所定义的H或烷基。
“氧代基(oxo)”意指=O基团。
“氧基(oxy)”意指-O-。
“全氟烷基(perfluoroalkyl)”意指每一氢原子被氟原子取代的如本文所定义的烷基。示例性的全氟烷基包括三氟甲基、五氟乙基等。在一些实施方案中,全氟烷基为-(CF2)nCF3,其中n为0至10的整数。
“全氟烷氧基(perfluoroalkoxy)”意指每一氢原子被氟原子取代的如本文所定义的烷氧基。在一些实施方案中,全氟烷氧基为-O-R,其中R为如本文所定义的全氟烷基。
“盐”意指化合物或结构的离子形式(例如,本文所述的任何式、化合物或组合物),其包含阳离子或阴离子化合物以形成电中性化合物或结构。盐为本领域所公知。例如,无毒盐在Berge S.M.et al.,“Pharmaceutical salts,”J.Pharm.Sci.1977January;66(1):1-19;以及在“Handbook of Pharmaceutical Salts:Properties,Selection,and Use,”Wiley-VCH,April 2011(2nd rev.ed.,eds.P.H.Stahl and C.G.Wermuth中描述。盐可在本发明的化合物的最终分离及纯化期间原位制备,或者通过游离碱基团与合适的有机酸反应(因而产生阴离子盐)或通过酸基团与合适的金属或有机盐反应(因而产生阳离子盐)来独立地制备。代表性的阴离子盐包括醋酸盐(acetate)、己二酸盐(adipate)、海藻酸盐(alginate)、抗坏血酸盐(ascorbate)、天冬氨酸盐(aspartate)、苯磺酸盐(benzenesulfonate)、苯甲酸盐(benzoate)、碳酸氢盐(bicarbonate)、硫酸氢盐(bisulfate)、酒石酸氢盐(bitartrate)、硼酸盐(borate)、溴化物(bromide)、丁酸盐(butyrate)、樟脑酸盐(camphorate)、樟脑磺酸盐(camphorsulfonate)、氯化物(chloride)、柠檬酸盐(citrate)、环戊丙酸盐(cyclopentanepropionate)、二葡糖酸盐(digluconate)、二盐酸盐(dihydrochloride)、二磷酸盐(diphosphate)、十二烷基硫酸盐(dodecylsulfate)、依地酸盐(edetate)、乙磺酸盐(ethanesulfonate)、富马酸盐(fumarate)、葡萄糖庚酸盐(glucoheptonate)、葡萄糖酸盐(gluconate)、谷氨酸盐(glutamate)、甘油磷酸盐(glycerophosphate)、半硫酸盐(hemisulfate)、庚酸盐(heptonate)、己酸盐(hexanoate)、溴化氢盐(hydrobromide)、氯化氢盐(hydrochloride)、碘化氢盐(hydroiodide)、羟基乙磺酸盐(hydroxyethanesulfonate)、羟基萘甲酸盐(hydroxynaphthoate)、碘化物(iodide)、乳酸盐(lactate)、乳糖酸盐(lactobionate)、月桂酸盐(laurate)、月桂基硫酸盐(lauryl sulfate)、苹果酸盐(malate)、顺丁烯二酸盐(maleate)、丙二酸盐(malonate)、扁桃酸盐(mandelate)、甲烷磺酸盐(mesylate)、甲磺酸盐(methanesulfonate)、甲基溴化物(methylbromide)、甲基硝酸盐(methylnitrate)、甲基硫酸盐(methylsulfate)、粘液酸盐(mucate)、2-萘磺酸盐(2-naphthalenesulfonate)、烟酸盐(nicotinate)、硝酸盐(nitrate)、油酸盐(oleate)、草酸盐(oxalate)、棕榈酸盐(palmitate)、双羟萘酸盐(pamoate)、果胶酯酸盐(pectinate)、过硫酸盐(persulfate)、3-苯丙酸盐(3-phenylpropionate)、磷酸盐(phosphate)、苦酸盐(picrate)、新戊酸盐(pivalate)、聚半乳糖醛酸盐(polygalacturonate)、丙酸盐(propionate)、水杨酸盐(salicylate)、硬脂酸盐(stearate)、次醋酸盐(subacetate)、琥珀酸盐(succinate)、硫酸盐(sulfate)、单宁酸盐(tannate)、酒石酸盐(tartrate)、茶碱酸盐(theophyllinate)、硫氰酸盐(thiocyanate)、三乙基碘化物(triethiodide)、甲苯磺酸盐(toluenesulfonate)、十一酸盐(undecanoate)、戊酸盐(valerate salts)等。代表性的阳离子盐包括金属盐,例如碱金属盐或碱土金属盐,如钡、钙(例如依地酸钙)、锂、镁、钾、钠等;其他金属盐,如铝、铋、铁和锌;以及无毒的铵盐、季铵盐及胺阳离子,其包括但不限于铵、四甲基铵、四乙基铵、甲胺、二甲胺、三甲胺、三乙胺、乙胺、吡啶鎓(pyridinium)等。其他阳离子盐包括有机盐,例如氯普鲁卡因(chloroprocain)、胆碱(choline)、二苄基乙二胺、二乙醇胺、乙二胺、甲基葡萄糖胺及普鲁卡因(procaine)。还有的其它盐包括铵、锍(sulfonium)、氧化锍(sulfoxonium)、磷鎓(phosphonium)、亚胺鎓(iminium)、咪唑鎓(imidazolium)、苯并咪唑鎓(benzimidazolium)、脒鎓(amidinium)、胍鎓(guanidinium)、磷酸鎓(phosphazinium)、磷腈鎓(phosphazenium)、吡啶鎓(pyridinium)等,以及本文所述的其它阳离子基团(例如,任选地经取代的异噁唑鎓(isoxazolium)、任选地经取代的噁唑鎓(oxazolium)、任选地经取代的噻唑鎓(thiazolium)、任选地经取代的吡咯鎓(pyrrolium)、任选地经取代的呋喃鎓(furanium)、任选地经取代的噻吩鎓(thiophenium)、任选地经取代的咪唑鎓(imidazolium)、任选地经取代的吡唑鎓(pyrazolium)、任选地经取代的异噻唑鎓(isothiazolium)、任选地经取代的三唑鎓(triazolium)、任选地经取代的四唑鎓(tetrazolium)、任选地经取代的呋喃唑鎓(furazanium)、任选地经取代的吡啶鎓(pyridinium),任选地经取代的嘧啶鎓(pyrimidinium)、任选地经取代的吡嗪鎓(pyrazinium)、任选地经取代的三嗪鎓(triazinium)、任选地经取代的四嗪鎓(tetrazinium)、任选地经取代的哒嗪鎓(pyridazinium)、任选地经取代的噁嗪鎓(oxazinium)、任选地经取代的吡咯烷鎓(pyrrolidinium)、任选地经取代的吡唑烷鎓(pyrazolidinium)、任选地经取代的咪唑啉鎓(imidazolinium)、任选地经取代的异噁唑烷鎓(isoxazolidinium)、任选地经取代的噁唑烷鎓(oxazolidinium)、任选地经取代的哌嗪鎓(piperazinium)、任选地经取代的哌啶鎓(piperidinium)、任选地经取代的吗啉鎓(morpholinium)、任选地经取代的氮杂环庚烷鎓(azepanium)、任选地经取代的氮杂庚因鎓(azepinium)、任选地经取代的吲哚鎓(indolium)、任选地经取代的异吲哚鎓(isoindolium)、任选地经取代的吲哚嗪鎓(indolizinium)、任选地经取代的吲唑鎓(indazolium)、任选地经取代的苯并咪唑鎓(benzimidazolium)、任选地经取代的异喹啉鎓(isoquinolinum)、任选地经取代的喹嗪鎓(quinolizinium)、任选地经取代的脱氢喹嗪鎓(dehydroquinolizinium)、任选地经取代的喹啉鎓(quinolinium)、任选地经取代的异吲哚啉鎓(isoindolinium)、任选地经取代的苯并咪唑鎓(benzimidazolinium)、及任选地经取代的嘌呤鎓(purinium)。
“磺酸基(sulfo)”意指-S(O)2OH基团。
“磺酰基(sulfonyl)”或“磺酸根(sulfonate)”意指-S(O)2-基团或-SO2R,其中R选自如本文所定义的氢、脂肪族、杂脂肪族、卤代脂肪族、卤代杂脂肪族、芳香族、或它们的任何组合。
“硫代烷氧基(thioalkoxy)”意指通过硫原子连接至母分子基团的如本文所定义的烷基。示例性的未经取代的硫代烷氧基包含C1-6硫代烷氧基。在一些实施方案中,硫代烷氧基为-S-R,其中R为如本文所定义的烷基。
“硫醇(thiol)”意指-SH基团。
本领域技术人员应理解,以上提供的定义并非旨在包含不允许的取代模式(例如,被5个不同基团取代的甲基等)。本领域普通技术人员容易理解这种不允许的取代模式。本文所公开和/或上文所定义的任何官能团均可经取代或未经取代,除非其中另外指明。
装置
本文所公开的方法可通过任何合适装置或装置组合来执行。一般而言,本文所述的官能化步骤和湿式沉积步骤可各自在任何湿式处理室中进行。图4提供了湿式处理室的一示例。在特定实施方案中,单一湿式处理室用于官能化步骤和沉积步骤两者。
图4的湿式处理室包括容器401,所述容器用于容纳官能化浴403;以及衬底保持器405,其在当衬底407完全或部分浸泡于该官能化浴403中时支撑衬底407。衬底保持器405可往上和往下移动和/或在一些情况下其可使衬底407转动。替代地或除此之外,湿式处理室可以包括喷嘴或其他流体分配设备(未示出),其用于将官能化浴喷洒在衬底表面上。湿式处理室可以包括入口和/或出口(未示出),其分别用于将流体引导至该容器、以及将流体从该容器移除。湿式处理室还可具有如下所述的控制器(未示出)。在将湿式处理室用于电镀的情况下,其还可包括电气连接件和电源,其用于连接至衬底和阳极以驱动衬底上的沉积(未示出)。可使用的示例性装置包括可从Lam Research Corporation(Fremont,CA)获得的
Figure BDA0003956524340000691
产品系列中的装置。
在一些实施方案中,可使用气相沉积室以例如执行图2的操作205中的沉积步骤。气相沉积室包括处理室,其能够在低压下进行处理;衬底支撑件,其用于在沉积期间支撑衬底;以及入口和出口,其用于将物质引导至处理室、以及将流体从该处理室移除。在一些情况下,气相沉积室可以包括多个站,其用于同时处理多于一个的衬底(例如,在每站处为一衬底)。气相沉积室可以包括控制器,如下所述。气相沉积室还可以包括加热器和/或冷却器,其用于保持期望的衬底温度和/或驱动反应。在气相沉积由等离子体能量驱动的情况下,气相沉积室还可以包括等离子体产生器。可使用的示例性气相沉积装置包括可从LamResearch Corporation(Fremont,CA)获得的
Figure BDA0003956524340000692
产品系列中的装置。
在各种实现方案中,可将两个或更多处理室共同包括于单一工具中。该工具可以包括衬底传输机构,以将衬底在多个处理室之间移动。该工具还可以包括装载锁,其被操作以例如在衬底转移或其他操作期间保护衬底以避免暴露于大气。在多种示例中,该工具包括至少(1)第一处理室,其被配置成执行上述的一个或更多湿式官能化操作;以及(2)第二处理室,其被配置成执行如上所述的额外材料的沉积。根据对特定应用需要,第二处理室可被配置用于湿式处理或干式处理。在一示例中,第一处理室和第二处理室两者都被配置成执行湿式处理,其中湿式官能化在第一处理室中进行,而额外材料的湿式沉积在第二处理室中进行。在另一示例中,仅第一处理室被配置成执行湿式处理(例如,湿式官能化),而第二处理室被配置成执行干式处理(例如,干式沉积额外材料)。在一些其他示例中,可设置第一处理室和第二处理室作为分离装置。可将这些装置共同使用以形成系统。
如上所述,湿式处理室和/或气相沉积室可以包括控制器。在一些实现方案中,控制器是系统的一部分且该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
从广义上讲,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或执行程序指令(例如,软件)的一个或多个微处理器或微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方案中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的工艺。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例性系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
结论
虽然为了清楚理解的目的已详细描述了前述实施方案,但显而易见的是,可在随附权利要求的范围内进行某些改变及修改。应当注意,有许多实施本发明实施方案的工艺、系统和装置的替代方式。因此,本发明实施方案应被认为是说明性而非限制性的,且这些实施方案不限于本文所给出的细节。

Claims (56)

1.一种在衬底上形成互连件结构或所述互连件结构的一部分的方法,所述方法包括:
a.在湿式处理室中接收所述衬底,所述衬底包括介电材料,所述介电材料具有形成在所述介电材料中的凹陷特征,其中所述互连件结构待形成于所述凹陷特征中,其中第一材料在暴露于所述凹陷特征内;
b.使所述衬底与官能化浴接触以在所述第一材料的表面上形成经改性的第一材料,其中所述官能化浴包含第一溶剂和官能化反应物,
i.其中所述经改性的第一材料包括被所述官能化反应物改性的所述第一材料,以及
ii.其中所述官能化反应物包含(1)结合官能团,其将所述官能化反应物粘结到至所述第一材料;以及(2)活性官能团,其促进第二材料在所述经改性的第一材料上的沉积,其中所述结合官能团和所述活性官能团可以是相同的或不同的;以及
c.在所述经改性的第一材料上沉积所述第二材料,
i.其中所述第二材料通过无电镀覆、电镀、化学气相沉积或原子层沉积来沉积,以及
ii.其中下列条件中的一者被满足:
1.所述第一材料为所述介电材料,而所述第二材料为阻挡层或阻挡层前体,
2.所述第一材料为所述阻挡层,而所述第二材料为衬垫,
3.所述第一材料为所述阻挡层,而所述第二材料为形成所述互连件结构的互连件的导电金属,
4.所述第一材料为所述阻挡层,而所述第二材料为晶种层,
5.所述第一材料为所述衬垫,而所述第二材料为所述晶种层,或者
6.所述第一材料为所述衬垫,而所述第二材料为形成所述互连件结构的所述互连件的导电金属。
2.根据权利要求1所述的方法,其中所述活性官能团包括还原性基团,所述还原性基团包括从下列项组成的群组中选择的材料:硼氢化物、硼烷、醛、酸、次磷酸盐、肼、二元醇、还原性金属离子、这些材料的任一者的经取代的形式以及它们的组合。
3.根据权利要求1所述的方法,其中所述活性官能团包括催化性官能团。
4.根据权利要求3所述的方法,其中所述催化性官能团包括金属的纳米颗粒或金属氧化物的纳米颗粒中的至少一者。
5.根据权利要求1所述的方法,其中所述活性官能团包括去络合官能团。
6.根据权利要求5所述的方法,其中所述去络合官能团包括从下列项组成的群组中选择的材料:氢氧化物、醇、酯、醚、羧酸及其组合。
7.根据权利要求1所述的方法,其中所述活性官能团包括粘附性官能基。
8.根据权利要求7所述的方法,其中所述粘附性官能基包括从下列项组成的群组中选择的材料:氢氧化物、醇、羧酸、金属氧化物以及它们的组合。
9.根据权利要求1所述的方法,其中所述结合官能团包括物理吸附官能团。
10.根据权利要求9所述的方法,其中所述物理吸附官能团包括从下列项组成的群组中选择的材料:膦酸盐、羧酸盐、胺、炔、烯、儿茶酚、儿茶酚衍生物、以及它们的组合。
11.根据权利要求1所述的方法,其中所述结合官能团包括化学吸附官能团。
12.根据权利要求11所述的方法,其中所述化学吸附官能团包括从下列项组成的群组中选择的材料:氢氧化物、硅烷、酯、硅氮烷、甲硅烷基-乙酰胺、甲硅烷基-咪唑、以及它们的组合。
13.根据权利要求1所述的方法,其中所述官能化浴还包括pH调整物质,所述pH调整物质包括碱或酸。
14.根据权利要求13所述的方法,其中所述pH调整物质的所述碱或酸包括从下列项组成的群组中选择的材料:三乙基胺、四甲基氢氧化铵、氢氧化铵、硫酸、盐酸、硝酸、磷酸、以及它们的组合。
15.根据权利要求1所述的方法,其中所述第二材料通过无电镀覆或电镀来沉积,且其中所述第二材料是在包含溶剂和金属物质源的沉积浴中沉积,其中所述第二材料包含所述金属物质源中的金属。
16.根据权利要求1所述的方法,其中所述第二材料通过化学气相沉积或原子层沉积来沉积,且其中所述第二材料使用金属物质源在气相沉积室中沉积,其中所述第二材料包含所述金属物质源中的金属。
17.根据权利要求1所述的方法,其中所述第二材料通过无电镀覆、电镀、化学气相沉积或原子气相沉积来沉积,其中所述第二材料使用金属物质源来沉积,其中所述第二材料包含所述金属物质源中的金属,且其中所述金属物质源包括金属盐。
18.根据权利要求17所述的方法,其中所述金属盐包括从下列项组成的群组中选择的材料:金属卤化物、金属亚硫酸盐、金属硫酸盐、金属氢氧化物、金属硝酸盐、金属亚磷酸盐、金属磷酸盐、以及它们的组合。
19.根据权利要求1所述的方法,其中所述第二材料通过无电镀覆、电镀、化学气相沉积或原子气相沉积来沉积,其中所述第二材料使用金属物质源来沉积,其中所述第二材料包含所述金属物质源中的金属,且其中所述金属物质源包括连接型有机金属前体。
20.根据权利要求19所述的方法,其中所述连接型有机金属前体包括从下列项组成的群组中选择的材料:金属卤化物、金属烷化物、金属环戊二烯化物、金属己烷衍生物、环状有机金属化合物、金属烷氧化物、金属β-二酮化物、金属酰胺、金属酰亚胺、金属脒化物、金属膦、金属乙烯基硅烷、金属羧基化物、金属脒阴离子化物、金属吡咯基衍生物、金属双齿基化物、金属多环配位基、以及它们的组合。
21.根据权利要求1所述的方法,其中所述第一材料为所述介电材料,而所述第二材料为所述阻挡层前体,所述方法还包括将所述衬底暴露于退火工艺,以将所述阻挡层前体转化为所述阻挡层。
22.根据权利要求21所述的方法,其中所述退火工艺包括将所述衬底加热至介于约150℃至400℃之间的温度。
23.根据权利要求21所述的方法,其中所述退火工艺包括将所述衬底暴露于氢等离子体。
24.根据权利要求21所述的方法,其中所述阻挡层包括金属硅酸盐,所述金属硅酸盐通过所述介电材料与所述阻挡层前体中的金属之间的反应来形成。
25.根据权利要求1所述的方法,其中所述第二材料包括从下列项组成的群组中选择的金属:钽、钛、锌、锡、镁、锰、铟、铝、钴、铱、钌、铜、钼、钯、钨、以及它们的组合。
26.根据权利要求1所述的方法,其中所述第一材料为所述介电材料,而所述第二材料为所述阻挡层或所述阻挡层前体,其中所述第二材料包含金属氧化物。
27.根据权利要求1所述的方法,其中所述官能化浴的所述第一溶剂包括水。
28.根据权利要求1所述的方法,其中所述官能化浴的所述第一溶剂是非极性的。
29.根据权利要求1所述的方法,其中所述第一材料为所述介电材料,而所述第二材料为所述阻挡层前体,其中所述第一溶剂和所述官能化反应物各自为水,其中所述官能化反应物的所述结合官能团为结合至所述介电材料的羟基,其中所述官能化反应物的所述活性官能团也为羟基,所述羟基促进所述阻挡层前体的沉积,其中所述阻挡层前体通过化学气相沉积或原子层沉积来沉积,所述方法还包括将所述衬底暴露于退火工艺,以将所述阻挡层前体转化为所述阻挡层。
30.根据权利要求1所述的方法,其中所述第一材料为所述介电材料,而所述第二材料为所述阻挡层前体,其中所述第一溶剂包括水,其中所述官能化反应物的所述结合官能团包括与所述介电材料结合的物理吸附官能基,其中所述官能化反应物的所述活性官能团包括还原性基团,所述还原性基团促进所述阻挡层前体的沉积,其中所述阻挡层前体是在包含第二溶剂和金属盐的沉积浴中经由无电镀覆而完全或部分沉积,其中所述还原性官能基使所述金属盐的金属还原以导致所述阻挡层前体的沉积。
31.根据权利要求30所述的方法,其中所述物理吸附官能基包括儿茶酚或儿茶酚衍生物,其中所述还原性官能基包括硼氢化物,其中所述第二溶剂包括水,其中所述金属盐包括金属硫酸盐。
32.根据权利要求30或31所述的方法,其还包括使所述衬底与所述官能化浴第二次接触,接着使所述衬底与所述沉积浴第二次接触,以导致所述阻挡层前体的进一步沉积。
33.根据权利要求30或31所述的方法,其还包括在沉积所述阻挡层前体的一部分后,添加还原性物质至所述沉积浴以导致所述阻挡层前体的进一步沉积。
34.根据权利要求30或31所述的方法,其还包括在经由无电镀覆沉积所述阻挡层前体的初始部分后,经由化学气相沉积或原子层沉积来沉积额外阻挡层前体。
35.根据权利要求30或31所述的方法,其还包括将所述衬底暴露于退火,以将所述阻挡层前体转化为所述阻挡层。
36.根据权利要求1所述的方法,其中所述第一材料为所述介电材料,而所述第二材料为所述阻挡层前体,其中所述官能化浴的所述第一溶剂是非极性的,其中所述官能化反应物的所述结合官能团包括与所述介电材料结合的化学吸附官能团,其中所述官能化反应物的所述活性官能团包括还原性官能团,所述还原性官能团促进所述阻挡层前体的沉积。
37.根据权利要求36所述的方法,其中所述第一溶剂包括甲苯,其中所述化学吸附官能团包括烷氧基硅烷,且其中所述还原性官能团包括二元醇。
38.根据权利要求36或37所述的方法,其中与所述官能化浴接触时,所述衬底被保持在介于约60℃至80℃之间的温度。
39.根据权利要求36或37所述的方法,其中所述阻挡层前体在包含第二溶剂和金属盐的沉积浴中经由无电镀覆来沉积,其中所述官能化反应物的所述还原性官能团用于使所述金属盐中的金属还原而导致所述阻挡层前体的沉积。
40.根据权利要求36或37所述的方法,其中所述阻挡层前体使用金属物质源经由化学气相沉积或原子层沉积来沉积,其中所述官能化反应物的所述还原性官能团用于使所述金属物质源中的金属还原而导致所述阻挡层前体的沉积。
41.根据权利要求36或37所述的方法,其还包括将所述衬底暴露于退火工艺以将所述阻挡层前体转化为所述阻挡层。
42.根据权利要求1所述的方法,其中所述第一材料为所述介电材料,而所述第二材料为所述阻挡层前体,其中所述官能化反应物的所述活性官能团包括催化性官能团,其中所述阻挡层前体在包含第二溶剂、金属盐和还原性物质的沉积浴中使用无电镀覆来沉积。
43.根据权利要求42所述的方法,其中所述官能化浴的所述第一溶剂包括水,其中所述官能化反应物的所述结合官能团包括儿茶酚或儿茶酚衍生物,其中所述官能化反应物的所述催化性官能团包括钴纳米颗粒,其中所述沉积浴的所述第二溶剂包括水,其中所述金属盐包括金属硫酸盐,且其中所述还原性物质包括硼氢化物。
44.根据权利要求42或43所述的方法,其还包括在所述阻挡层前体的沉积期间将所述沉积浴中的溶解氧浓度保持在目标范围中。
45.根据权利要求42或43所述的方法,其还包括将所述衬底暴露于退火工艺以将所述阻挡层前体转化为所述阻挡层。
46.根据权利要求1所述的方法,其中所述第一材料为所述介电材料,而所述第二材料为所述阻挡层前体,其中所述官能化反应物的所述活性官能团包括去络合官能团,其中所述阻挡层前体在包含第二溶剂和连接型有机金属前体的沉积浴中经由无电镀覆而完全或部分地沉积,并且其中所述去络合官能团与所述连接型有机金属前体相互作用以从所述连接型有机金属前体释放出金属,从而导致所述阻挡层前体的沉积。
47.根据权利要求46所述的方法,其中所述官能化浴的所述第一溶剂包括水,其中所述官能化反应物的所述去络合官能团包括羧酸,其中所述连接型有机金属前体包括乙酸盐连接型金属。
48.根据权利要求46或47所述的方法,其还包括将所述衬底与所述官能化浴第二次接触,接着使所述衬底与所述沉积浴第二次接触,以导致所述阻挡层前体的进一步沉积。
49.根据权利要求46或47所述的方法,其还包括在经由无电镀覆沉积所述阻挡层前体的初始部分后,经由化学气相沉积或原子层沉积来沉积额外阻挡层前体。
50.根据权利要求46或47所述的方法,其还包括将所述衬底暴露于退火,以将所述阻挡层前体转化为所述阻挡层。
51.根据权利要求1所述的方法,其中所述官能化浴的所述第一溶剂和所述官能化反应物各自为水,其中所述官能化反应物的所述结合官能团为结合至所述介电材料的羟基,其中所述官能化反应物的所述活性官能团也为羟基,所述羟基促进所述阻挡层前体的沉积,其中所述阻挡层前体利用连接型有机金属前体而使用化学气相沉积来沉积,其中所述官能化反应物的所述羟基与所述连接型有机金属前体相互作用以释放出所述连接型有机金属前体中的金属,从而导致所述阻挡层前体的沉积。
52.根据权利要求51所述的方法,其中所述连接型有机金属前体包括二乙基锌。
53.根据权利要求52所述的方法,其中所述方法还包括将所述衬底暴露于退火工艺,从而将所述阻挡层前体转化为所述阻挡层,所述阻挡层包括锌硅酸盐。
54.根据权利要求53所述的方法,其还包括将所述衬底暴露于氢等离子体以使过量锌挥发,接着沉积铜晶种层,接着经由电镀而沉积所述导电金属,所述导电金属形成所述互连件结构的所述互连件。
55.一种用于在衬底上形成互连件结构或所述互连件结构的一部分的系统,所述系统包括:
a.第一湿式处理室;
b.任选的第二湿式处理室;
c.任选的真空室;以及
d.控制器,其被配置成致使进行本文所述方法中的任一种。
56.一种用于在衬底上形成互连件结构或所述互连件结构的一部分的系统,所述系统包括:
a.第一湿式处理室;
b.任选的第二湿式处理室;
c.任选的真空室;以及
d.控制器,其被配置成进行:
i.在所述湿式处理室中接收所述衬底,所述衬底包括介电材料,所述介电材料具有形成在所述介电材料中的凹陷特征,其中所述互连件结构待形成于所述凹陷特征中,其中第一材料在暴露于所述凹陷特征内;
ii.使所述衬底与官能化浴接触以在所述第一材料的表面上形成经改性的第一材料,其中所述官能化浴包含第一溶剂和官能化反应物,
1.其中所述经改性的第一材料包括被所述官能化反应物改性的所述第一材料,以及
2.其中所述官能化反应物包含(A)结合官能团,其将所述官能化反应物结合至所述第一材料;以及(B)活性官能团,其促进第二材料在所述经改性的第一材料上的沉积,其中所述结合官能团和所述活性官能团可以是相同的或不同的;以及
iii.当所述衬底位于所述第一湿式处理室、所述任选的第二湿式处理室、或所述任选的真空室中的任一者中时,在所述经改性的第一材料上沉积所述第二材料,
1.其中所述第二材料通过无电镀覆、电镀、化学气相沉积或原子层沉积来沉积,以及
2.其中下列条件中的一者被满足:
a.所述第一材料为所述介电材料,而所述第二材料为阻挡层或阻挡层前体,
b.所述第一材料为所述阻挡层,而所述第二材料为衬垫,
c.所述第一材料为所述阻挡层,而所述第二材料为形成所述互连件结构的互连件的导电金属,
d.所述第一材料为所述阻挡层,而所述第二材料为晶种层,
e.所述第一材料为所述衬垫,而所述第二材料为所述晶种层,或者
f.所述第一材料为所述衬垫,而所述第二材料为形成所述互连件结构的所述互连件的导电金属。
CN202180037052.4A 2020-05-22 2021-05-20 介电表面的湿式官能化 Pending CN115769362A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062704692P 2020-05-22 2020-05-22
US62/704,692 2020-05-22
PCT/US2021/033329 WO2021236886A1 (en) 2020-05-22 2021-05-20 Wet functionalization of dielectric surfaces

Publications (1)

Publication Number Publication Date
CN115769362A true CN115769362A (zh) 2023-03-07

Family

ID=78707622

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180037052.4A Pending CN115769362A (zh) 2020-05-22 2021-05-20 介电表面的湿式官能化

Country Status (6)

Country Link
US (1) US20230197509A1 (zh)
JP (1) JP2023526789A (zh)
KR (1) KR20230013064A (zh)
CN (1) CN115769362A (zh)
TW (1) TW202215510A (zh)
WO (1) WO2021236886A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4245996B2 (ja) * 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
US7365011B2 (en) * 2005-11-07 2008-04-29 Intel Corporation Catalytic nucleation monolayer for metal seed layers
US7786011B2 (en) * 2007-01-30 2010-08-31 Lam Research Corporation Composition and methods for forming metal films on semiconductor substrates using supercritical solvents
US8895441B2 (en) * 2012-02-24 2014-11-25 Lam Research Corporation Methods and materials for anchoring gapfill metals
JP6841769B2 (ja) * 2015-01-30 2021-03-10 トリナミクス ゲゼルシャフト ミット ベシュレンクテル ハフツング 少なくとも1個の物体を光学的に検出する検出器

Also Published As

Publication number Publication date
JP2023526789A (ja) 2023-06-23
US20230197509A1 (en) 2023-06-22
KR20230013064A (ko) 2023-01-26
TW202215510A (zh) 2022-04-16
WO2021236886A1 (en) 2021-11-25

Similar Documents

Publication Publication Date Title
US20240052486A1 (en) Precursors for deposition of molybdenum-containing films
US7759508B2 (en) Volatile copper(1) complexes and processes for deposition of copper films by atomic layer deposition
US20080299322A1 (en) Copper (I) Complexes for Deposition of Copper Films by Atomic Layer Deposition
EP1613789B1 (en) Volatile copper(i) complexes for deposition of copper films by atomic layer deposition
CN101595243B (zh) 使用超临界溶剂在半导体基板上形成和沉积金属薄膜的组合物和方法
CN115769362A (zh) 介电表面的湿式官能化
WO2014118750A1 (en) Manganese-containing compounds, their synthesis, and use in manganese-containing film deposition
CN115769364A (zh) 金属氧化物扩散阻挡物
WO2023250500A1 (en) Plasma enhanced low temperature atomic layer deposition of metals
WO2023114640A1 (en) Deposition of metals in recessed features with the use of halogen-containing deposition inhibitors
CN115702474A (zh) 高选择性掺杂硬掩模膜
WO2024081263A1 (en) Deposition of metal-containing films
US7488435B2 (en) Copper(I) complexes and processes for deposition of copper films by atomic layer deposition
KR20230105315A (ko) 갭 충진 유체를 증착하기 위한 방법 그리고 이와 관련된 시스템 및 장치
WO2008018861A1 (en) Copper(i) complexes and processes for deposition of copper films by atomic layer deposition
WO2023114648A1 (en) Low temperature molybdenum deposition assisted by silicon-containing reactants
WO2023172736A1 (en) Methods of selective deposition and chemical delivery systems
WO2023122557A1 (en) Conformal silicon oxide deposition using aminosilane and chlorosilane precursors
TW202407128A (zh) 矽氮化物之電漿沉積期間的低k介電質保護
WO2023114641A1 (en) Conformal deposition of silicon nitride

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination