CN115616387B - 一种基于芯片的控制信号校准方法、系统 - Google Patents

一种基于芯片的控制信号校准方法、系统 Download PDF

Info

Publication number
CN115616387B
CN115616387B CN202211552724.8A CN202211552724A CN115616387B CN 115616387 B CN115616387 B CN 115616387B CN 202211552724 A CN202211552724 A CN 202211552724A CN 115616387 B CN115616387 B CN 115616387B
Authority
CN
China
Prior art keywords
signal
clock
tested
logic
frequency division
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202211552724.8A
Other languages
English (en)
Other versions
CN115616387A (zh
Inventor
疏凤
薛小龙
马珺
文敏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Changsha Chixin Semiconductor Technology Co ltd
Original Assignee
Changsha Chixin Semiconductor Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Changsha Chixin Semiconductor Technology Co ltd filed Critical Changsha Chixin Semiconductor Technology Co ltd
Priority to CN202211552724.8A priority Critical patent/CN115616387B/zh
Publication of CN115616387A publication Critical patent/CN115616387A/zh
Application granted granted Critical
Publication of CN115616387B publication Critical patent/CN115616387B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31718Logistic aspects, e.g. binning, selection, sorting of devices under test, tester/handler interaction networks, Test management software, e.g. software for test statistics or test evaluation, yield analysis
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2853Electrical testing of internal connections or -isolation, e.g. latch-up or chip-to-lead connections
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31704Design for test; Design verification
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31727Clock circuits aspects, e.g. test clock circuit details, timing aspects for signal generation, circuits for testing clocks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3177Testing of logic operation, e.g. by logic analysers

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

本发明涉及电子产品检测技术领域,具体涉及一种基于芯片的控制信号校准方法、系统。其中一种基于芯片的控制信号校准方法,包括:于目标信息数据形成的状态下,读取当前被测逻辑信号的逻辑类型;根据所述被测逻辑信号的逻辑类型形成一测试控制信号;于所述测试控制信号作用下读取当前被测逻辑信号的测试数据;根据所述测试数据结合所述目标信息数据形成一测试结果。

Description

一种基于芯片的控制信号校准方法、系统
技术领域
本发明涉及电子产品检测技术领域,具体涉及一种基于芯片的控制信号校准方法、系统。
背景技术
随着半导体工艺的飞速发展和芯片复杂程度的提高,芯片的研发迎来越来越多的挑战。其中,在有限的时间和人力情况下,缩短芯片的研发周期,能快速流片就是挑战之一。而在芯片的研发过程中,包括芯片设计和功能验证。芯片的前端设计人员,在平时的工作中,将各种算法/协议等,用硬件描述语言Verilog HDL实现完成之后,进行RTL的功能仿真。随着芯片的复杂度快速的持续提升,除了设计的复杂度增加之外,验证的难度也变得越来越大。随着芯片规模的增大,芯片设计中验证需要的时间越来越长,占整个设计周期的比例越来越大。功能验证,即验证所设计的芯片是否符合预期的要求。经统计通常功能验证的时间是设计芯片时间的1.5倍。由于数字芯片有各种逻辑信号,这些信号都是基于时钟生成,以及基于能正确的释放复位信号才能工作。确认时钟和复位是否正确工作是功能验证的重要环节。目前主要的验证方法有两种,一种是通过波形人工验证,还有一种方式是通过验证时钟频率做确认, 通过波形人工确认这种方式的效率相对较低,且不可复用,不能应对设计版本的修改和回归验证。通过验证时钟频率的装置,对于时钟信号频率相同时,无法确认是否出现连接错误,即在存在有前级时钟信号频率相同的其不能验证当前时钟信号的来源,故而无法识别连接正确性。
发明内容
针对现有技术的缺陷,本申请提供一种基于芯片的控制信号校准方法、系统。
一方面,本申请提供一种基于芯片的控制信号校准方法,其中,包括:
于目标信息数据形成的状态下,读取当前被测逻辑信号的逻辑类型;
根据所述被测逻辑信号的逻辑类型形成一测试控制信号;所述测试控制信号至少包括时钟选择信号;
于所述测试控制信号作用下读取当前被测逻辑信号的测试数据;
根据所述测试数据结合所述目标信息数据形成一测试结果。
优选地,上述的一种基于芯片的控制信号校准方法,其中,于目标信息数据形成的状态下,读取当前被测逻辑信号的逻辑类型之前还包括;
读取被测逻辑信号中逻辑信号的关联关系,根据所述关联关系形成所述目标信息数据。
优选地,上述的一种基于芯片的控制信号校准方法,其中,根据所述被测逻辑信号的逻辑类型形成一测试控制信号具体包括:
判断所述被测逻辑信号的类型是否为门控时钟,并形成一门控信息,于所述被测逻辑信号为门控时钟的状态下,所述门控信息为与所述门控时钟匹配的门控信号;于所述被测逻辑信号为非门控时钟的状态下,所述门控信息为空;
判断所述被测逻辑信号的类型是否为分频时钟;并形成一分频控制信息;于所述被测逻辑信号的时钟类型为非分频时钟的状态下,所述分频控制信息为空;于所述被测逻辑信号的时钟类型为分频时钟的状态下,读取当前被测逻辑信号的分频寄存器,并对所述分频寄存器做置位处理以形成所述分频时钟匹配的分频控制信号,根据所述分频控制信号为所述分频控制信息;
根据所述门控信息、所述分频控制信息、所述时钟选择信号形成所述测试控制信号。
优选地,上述的一种基于芯片的控制信号校准方法,其中,所述时钟选择信号由外部输入信号形成,具体地,
预制时钟选择信号与控制数据之间的映射关系;
于接收到外部输入信号的状态下,根据所述映射关系读取与所述时钟选择信号对应的控制数据;
根据所述控制数据形成所述控制基础信号。
优选地,上述的一种基于芯片的控制信号校准方法,其中,于所述测试控制信号作用下读取当前被测逻辑信号的测试数据具体包括:
于所述测试控制信号作用下读取当前被测逻辑信号、上级时钟信号;
根据所述当前被测逻辑信号、上级时钟信号形成所述被测逻辑信号的测试数据。
另一方面,本发明再提供一种基于芯片的控制信号校准系统,其中,包括:
逻辑类型形成单元,于目标信息数据形成的状态下,读取当前被测逻辑信号的逻辑类型;
测试控制信号形成单元,根据所述被测逻辑信号的逻辑类型形成一测试控制信号;
测试数据形成单元,于所述测试控制信号作用下读取当前被测逻辑信号的测试数据;
测试结果形成单元,根据所述测试数据结合所述目标信息数据形成一测试结果。
优选地,上述的一种基于芯片的控制信号校准系统,其中,还包括;
目标信息数据形成单元,读取被测逻辑信号中逻辑信号的关联关系,根据所述关联关系形成所述目标信息数据。
优选地,上述的一种基于芯片的控制信号校准系统,其中,所述测试数据形成单元具体包括:
读取装置,于所述测试控制信号作用下读取当前被测逻辑信号、上级时钟信号;根据所述当前被测逻辑信号、上级时钟信号形成所述被测逻辑信号的测试数据。
再一方面,本申请提供一种电子设备,包括存储器、处理器及存储在存储器上并可在处理器运行的计算机程序,其中,所述处理器执行所述计算机程序时实现上述任一项所述的一种基于芯片的控制信号校准方法。
最后,本申请再提供一种计算机程序产品,其中,包括计算机可读代码,或者承载有计算机可读代码的可读存储介质,当计算机可读代码在电子设备的处理器中运行时,所述电子设备中的处理器执行用于实现上述任一项所述的一种基于芯片的控制信号校准方法。
与现有技术相比,本申请的有益效果是:
本申请中,通过时钟信号或复位信号之间的逻辑关系形成目标信息数据,同时基于该逻辑关系对每个时钟信号或复位信号做测试以判断芯片设计过程是否发生连接错误。与逻辑信号的频率的关联性相对减弱,一方面避免了同频率逻辑信号连接错误无法发现的技术问题,可以快速发现连接异常的技术问题,另一方面相当于模拟了时钟信号的使用以验证逻辑关系的正确性。
附图说明
图1为本发明实施例提供的一种基于芯片的控制信号校准方法的流程示意图;
图2为本发明实施例提供的一种基于芯片的时钟信号树示意图;
图3为本发明实施例提供的一种基于芯片的控制信号校准方法的示意图;
图4为本发明实施例提供的一种基于芯片的控制信号校准方法的流程示意图;
图5为本发明实施例提供一种电子设备的结构示意图。
具体实施方式
下面将结合本发明实施例中的附图,对本发明实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例仅仅是本发明一部分实施例,而不是全部的实施例。基于本发明中的实施例,本领域普通技术人员在没有做出创造性劳动前提下所获得的所有其他实施例,都属于本发明保护的范围。
实施例一
一个复杂芯片中包含有几十个甚至更多的功能模块(例如GPIO,SPI,WDT等功能模块),每个功能模块都有其独立的工作时钟和复位信号。因此,在芯片架构设计阶段,设计或架构人员就需要规划整个芯片的时钟信号和复位信号形成一个时钟信号树和复位信号树。基于该时钟信号树和复位信号树执行本申请所提供的一种基于芯片的控制信号校准方法及相关技术方案。
如图1所示,一方面,本申请提供一种基于芯片的控制信号校准方法,本申请应用于芯片设计的RTL阶段,其中:包括,
步骤S110、于目标信息数据形成的状态下,读取当前被测逻辑信号的逻辑类型;其中所述目标数据为基于所述时钟信号树和/或复位信号树映射形成,所述时钟信号树、复位信号树中记录有时钟信号、复位信号之间的相互关系,示意性地,如图2所示的一种时钟树示意图,例如时钟信号树记录有当前时钟及时钟信号类型、当前时钟的上级时钟及上级时钟信号类型、当前时钟的下级时钟及下级时钟信号类型,以及当前时钟信号、上级时钟信号、下级时钟信号之间的逻辑关系。例如在上级时钟信号为逻辑1的状态下,当前时钟信号为逻辑1,上级时钟信号为逻辑0的状态下,当前时钟信号为逻辑0,也有可能是其他逻辑关系,此处不一一列举。时钟信号的逻辑关系由开发人员根据实际开发需求设计。
步骤S120、根据所述被测逻辑信号的逻辑类型形成一测试控制信号;所述测试控制信号至少包括时钟选择信号。
示意性的,被测逻辑信号的逻辑类型涉及门控时钟信号、非门控时钟信号、复位信号,或者被测时钟信号涉及分频信号,例如二分频、四分频,当所述被测时钟信号为非分频信号的状态下,例如当所述被测时钟信号为分频信号的状态下,根据分频信号的类型确定测试控制逻辑信号以及对应的辅助测试信号。
所述时钟选择信号用以确定当前被测时钟的工作模式,如图3所示,当前时钟具有两种工作模式,分别为Clk1_1、Clk1_2,于测试Clk1时,通过时钟选择信号Clk_sel选择,例如当Clk_sel=0时,Clk_sel选择的工作模式即为Clk1=Clk1_1,当Clk_sel=1时,Clk_sel选择的工作模式即为Clk1=Clk1_2。Clk_sel在设计中,可以通过寄存器配置其为1或0; 也可以通过其他逻辑rtl内部自动切换为1或0。
例如当前时钟具有三个工作模式,分别为f1、f2、f3,那么时钟选择信号则包含两位,例如当时钟选择信号为01的状态下,当前被测时钟工作于输出f1信号的工作模式,例如当时钟选择信号为10的状态下,当前被测时钟工作于输出f2信号的工作模式,例如当时钟选择信号为11的状态下,当前被测时钟工作于输出f3信号的工作模式。时钟选择信号与被测时钟的工作模式之间具有匹配关系。
步骤S130、于所述测试控制信号作用下读取当前被测逻辑信号的测试数据;示意性地,在被测试控制信号的作用下,当前的被测时钟基于上级时钟信号形成一测试数据。具体包括:
步骤S1301、于所述测试控制信号作用下读取当前被测时钟信号、上级时钟信号;
步骤S1302、根据所述当前被测时钟信号、上级时钟信号形成所述被测逻辑信号的测试数据。
步骤S140、根据所述测试数据结合所述目标信息数据形成一测试结果输出。示意性地,所述目标信息数据中包含有与当前被测时钟的目标数据,将所述测试数据与所述目标数据做比对,在所述测试数据匹配目标数据的状态下判定当前被测时钟正常,反之,在所述测试数据不匹配目标数据的状态下判定当前被测时钟异常。
本申请中,通过时钟信号或复位信号之间的逻辑关系形成目标信息数据,同时基于该逻辑关系对每个时钟信号或复位信号做测试以判断芯片设计过程是否发生连接错误,与逻辑信号的频率的关联性相对减弱。一方面避免了同频率逻辑信号连接错误无法发现的技术问题,可以快速发现连接异常的技术问题,另一方面相当于模拟了时钟信号的使用以验证逻辑关系的正确性。
列举一具体实施方式,以时钟信号测试为例,如图2、3所示,CLK1为第一级时钟,CLK2-1、CLK2-2为第二级时钟,CLK3-1、CLK3-2、CLK3-3、CLK3-4为第三级时钟,在测试第一级时钟时,仅需要确定输入信号为时钟选择信号,通过时钟选择信号强制第一级时钟输出相应的测试信号。通过控制时钟选择信号(也可以理解为时钟选择信号对应的输入信号)以确定第一级时钟是否出现故障。例如将时钟选择信号强制设置为00状态,经过合理时间后获取第一级时钟信号CLK1的状态,例如当前读取第一级时钟信号CLK1的状态,如果当前第一级时钟信号CLK1不等于标准值(标准值通过时钟树获取),则输出第一级时钟信号CLK1错误的提示指令。继续将时钟选择信号强制设置为01状态,经过合理时间后获取第一级时钟信号CLK1的状态,例如当前读取第一级时钟信号CLK1的状态,如果当前第一级时钟信号CLK1不等于标准值,则输出第一级时钟信号CLK1错误的提示指令。若时钟选择信号信号测试的结果均与预期的标准结果相同时,则表示当前第一级时钟信号正常,释放该第一级时钟信号。继续测试第二级时钟信号,当测试第二级时钟时,通过时钟选择信号对第一级时钟做强制输出,例如将第一级时钟信号CLK1强制设置为1状态,经过合理时间后获取第二级时钟信号CLK2-1的状态,例如当前读取第二级时钟信号CLK2-1的状态,如果当前第二级时钟信号CLK2-1实际获取的结果与标准结果不一致,则输出第二级时钟信号CLK2-1错误的提示指令。同理测试第二级时钟信号CLK2-2、第三级时钟信号CLK3-1等等,直到所有的时钟信号测试完毕。
作为进一步优选实施方案,上述的一种基于芯片的控制信号校准方法,其中:步骤S110、于目标信息数据形成的状态下,读取当前被测逻辑信号的逻辑类型之前还包括;
步骤S109、读取被测逻辑信号中逻辑信号的关联关系,根据所述关联关系形成所述目标信息数据。其中,所述关联关系可为上文提及的时钟信号树和复位信号树,可理解为上级时钟、当前时钟、下级时间之间的逻辑关系,或者上级复位信号、当前复位信号、下级复位信号之间的逻辑关系,该关联关系由研发人员设计确定,此处不做具体限制。
如图4所示, 作为进一步优选实施方案,上述的一种基于芯片的控制信号校准方法,其中:步骤S110、根据所述被测逻辑信号的逻辑类型形成一测试控制信号具体包括:
步骤S1101、判断所述被测逻辑信号的类型是否为门控时钟,并形成一门控信息,于所述被测逻辑信号为门控时钟的状态下,所述门控信息为与所述门控时钟匹配的门控信号;于所述被测逻辑信号为非门控时钟的状态下,所述门控信息为空;
步骤S1102、判断所述被测逻辑信号的类型是否为分频时钟;并形成一分频控制信息;于所述被测逻辑信号的时钟类型为非分频时钟的状态下,所述分频控制信息为空;于所述被测逻辑信号的时钟类型为分频时钟的状态下,读取当前被测逻辑信号的分频寄存器,并对所述分频寄存器做置位处理以形成所述分频时钟匹配的分频控制信号,根据所述分频控制信号为所述分频控制信息;
步骤S1103、根据所述门控信息、所述分频控制信息、所述时钟选择信号形成所述测试控制信号,进一步地,所述时钟选择信号由外部输入信号形成,具体地:
预制时钟选择信号与控制数据之间的映射关系;映射关系也可以成为匹配关系,具体如上所述。
于接收到外部输入信号的状态下,根据所述映射关系读取与所述时钟选择信号对应的控制数据;
根据所述控制数据形成所述控制基础信号。
示意性地,当所述被测逻辑信号为门控时钟信号的状态下,首先通过门控信息控制门控处于开启状态,进而继续根据是否为分频信号形成具体的控制信号。需要说明的是:门控信息、分频控制信息、时钟选择信号均为相互独立信号。例如当前被测时钟为门控时钟、且需要做分频处理,那么测试控制信号则包含门控信息、分频控制信息和片信号;当前被测时钟不需要门控和分频,那么测试控制信息仅包含时钟选择信号。
实施例二
本申请再提供一种基于芯片的控制信号校准系统,其中,包括:
逻辑类型形成单元,于目标信息数据形成的状态下,读取当前被测逻辑信号的逻辑类型;
测试控制信号形成单元,根据所述被测逻辑信号的逻辑类型形成一测试控制信号;
测试数据形成单元,于所述测试控制信号作用下读取当前被测逻辑信号的测试数据;
测试结果形成单元,根据所述测试数据结合所述目标信息数据形成一测试结果。
优选地,上述的一种基于芯片的控制信号校准系统,其中,还包括;
目标信息数据形成单元,读取被测逻辑信号中逻辑信号的关联关系,根据所述关联关系形成所述目标信息数据。
优选地,上述的一种基于芯片的控制信号校准系统,其中,所述测试数据形成单元具体包括:
读取装置,于所述测试控制信号作用下读取当前被测逻辑信号、下级时钟的输出信号;根据所述当前被测逻辑信号、下级时钟的输出信号形成所述被测逻辑信号的测试数据。
本实施例的一种基于芯片的控制信号校准系统的工作原理与实施例一提供的一种基于芯片的控制信号校准方法工作原理相同,此处不做赘述。
实施例三
本申请实施例提供了一种电子设备,图5是本申请实施例提供的一种电子设备的结构示意图。如图5所示,本实施例提供了一种电子设备400,其包括:一个或多个处理器420;存储装置410,用于存储一个或多个程序,当所述一个或多个程序被所述一个或多个处理器420运行,使得所述一个或多个处理器420实现:
于目标信息数据形成的状态下,读取当前被测逻辑信号的逻辑类型;
根据所述被测逻辑信号的逻辑类型形成一测试控制信号;
于所述测试控制信号作用下读取当前被测逻辑信号的测试数据;
根据所述测试数据结合所述目标信息数据形成一测试结果。
如图5所示,该电子设备400包括处理器420、存储装置410、输入装置430和输出装置440;电子设备中处理器420的数量可以是一个或多个,图5中以一个处理器420为例;电子设备中的处理器420、存储装置410、输入装置430和输出装置440可以通过总线或其他方式连接,图5中以通过总线450连接为例。
存储装置410作为一种计算机可读存储介质,可用于存储软件程序、计算机可运行程序以及模块单元。
存储装置410可主要包括存储程序区和存储数据区,其中,存储程序区可存储操作系统、至少一个功能所需的应用程序;存储数据区可存储根据终端的使用所创建的数据等。此外,存储装置410可以包括高速随机存取存储器,还可以包括非易失性存储器,例如至少一个磁盘存储器件、闪存器件、或其他非易失性固态存储器件。在一些实例中,存储装置410可进一步包括相对于处理器420远程设置的存储器,这些远程存储器可以通过网络连接。上述网络的实例包括但不限于互联网、企业内部网、局域网、移动通信网及其组合。
输入装置430可用于接收输入的数字、字符信息或语音信息,以及产生与电子设备的用户设置以及功能控制有关的键信号输入。输出装置440可包括显示屏、扬声器等设备。
实施例四
在一些实施例中,以上所描述的方法可以被实现为计算机程序产品。计算机程序产品可以包括计算机可读存储介质,其上载有用于执行本公开的各个方面的计算机可读程序指令。具体地:
于目标信息数据形成的状态下,读取当前被测逻辑信号的逻辑类型;
根据所述被测逻辑信号的逻辑类型形成一测试控制信号;
于所述测试控制信号作用下读取当前被测逻辑信号的测试数据;
根据所述测试数据结合所述目标信息数据形成一测试结果。
上述的计算机可读存储介质可以是可以保持和存储由指令执行设备使用的指令的有形设备。计算机可读存储介质例如可以是――但不限于――电存储设备、磁存储设备、光存储设备、电磁存储设备、半导体存储设备或者上述的任意合适的组合。计算机可读存储介质的更具体的例子(非穷举的列表)包括:便携式计算机盘、硬盘、随机存取存储器(RAM)、只读存储器(ROM)、可擦式可编程只读存储器(EPROM或闪存)、静态随机存取存储器(SRAM)、便携式压缩盘只读存储器(CD-ROM)、数字多功能盘(DVD)、记忆棒、软盘、机械编码设备、例如其上存储有指令的打孔卡或凹槽内凸起结构、以及上述的任意合适的组合。这里所使用的计算机可读存储介质不被解释为瞬时信号本身,诸如无线电波或者其他自由传播的电磁波、通过波导或其他传输媒介传播的电磁波(例如,通过光纤电缆的光脉冲)、或者通过电线传输的电信号。
本文所描述的计算机可读程序指令可以从计算机可读存储介质下载到各个计算/处理设备,或者通过网络、例如因特网、局域网、广域网和/或无线网下载到外部计算机或外部存储设备。网络可以包括铜传输电缆、光纤传输、无线传输、路由器、防火墙、交换机、网关计算机和/或边缘服务器。每个计算/处理设备中的网络适配卡或者网络接口从网络接收计算机可读程序指令,并转发该计算机可读程序指令,以供存储在各个计算/处理设备中的计算机可读存储介质中。
用于执行本公开操作的计算机程序指令可以是汇编指令、指令集架构(ISA)指令、机器指令、机器相关指令、微代码、固件指令、状态设置数据、或者以一种或多种编程语言的任意组合编写的源代码或目标代码,所述编程语言包括面向对象的编程语言,以及常规的过程式编程语言。计算机可读程序指令可以完全地在用户计算机上执行、部分地在用户计算机上执行、作为一个独立的软件包执行、部分在用户计算机上部分在远程计算机上执行、或者完全在远程计算机或服务器上执行。在涉及远程计算机的情形中,远程计算机可以通过任意种类的网络—包括局域网(LAN)或广域网(WAN)—连接到用户计算机,或者,可以连接到外部计算机(例如利用因特网服务提供商来通过因特网连接)。在一些实施例中,通过利用计算机可读程序指令的状态信息来个性化定制电子电路,例如可编程逻辑电路、现场可编程门阵列(FPGA)或可编程逻辑阵列(PLA),该电子电路可以执行计算机可读程序指令,从而实现本公开的各个方面。
这些计算机可读程序指令可以提供给通用计算机、专用计算机或其它可编程数据处理装置的处理单元,从而生产出一种机器,使得这些指令在通过计算机或其它可编程数据处理装置的处理单元执行时,产生了实现流程图和/或框图中的一个或多个方框中规定的功能/动作的装置。也可以把这些计算机可读程序指令存储在计算机可读存储介质中,这些指令使得计算机、可编程数据处理装置和/或其他设备以特定方式工作,从而,存储有指令的计算机可读介质则包括一个制造品,其包括实现流程图和/或框图中的一个或多个方框中规定的功能/动作的各个方面的指令。
也可以把计算机可读程序指令加载到计算机、其它可编程数据处理装置、或其它设备上,使得在计算机、其它可编程数据处理装置或其它设备上执行一系列操作步骤,以产生计算机实现的过程,从而使得在计算机、其它可编程数据处理装置、或其它设备上执行的指令实现流程图和/或框图中的一个或多个方框中规定的功能/动作。
附图中的流程图和框图显示了根据本公开的多个实施例的设备、方法和计算机程序产品的可能实现的体系架构、功能和操作。在这点上,流程图或框图中的每个方框可以代表一个模块、程序段或指令的一部分,所述模块、程序段或指令的一部分包含一个或多个用于实现规定的逻辑功能的可执行指令。在有些作为替换的实现中,方框中所标注的功能也可以以不同于附图中所标注的顺序发生。例如,两个连续的方框实际上可以基本并行地执行,它们有时也可以按相反的顺序执行,这依所涉及的功能而定。也要注意的是,框图和/或流程图中的每个方框、以及框图和/或流程图中的方框的组合,可以用执行规定的功能或动作的专用的基于硬件的系统来实现,或者可以用专用硬件与计算机指令的组合来实现。
以上已经描述了本公开的各实施例,上述说明是示例性的,并非穷尽性的,并且也不限于所披露的各实施例。在不偏离所说明的各实施例的范围和精神的情况下,对于本技术领域的普通技术人员来说许多修改和变更都是显而易见的。本文中所用术语的选择,旨在最好地解释各实施例的原理、实际应用或对市场中技术的技术改进,或者使本技术领域的其它普通技术人员能理解本文披露的各实施例。

Claims (7)

1.一种基于芯片的控制信号校准方法,其特征在于,包括:
于目标信息数据形成的状态下,读取当前被测逻辑信号的逻辑类型;所述目标信息数据基于时钟信号树和/或复位信号树映射形成;
判断所述被测逻辑信号的类型是否为门控时钟,并形成一门控信息,于所述被测逻辑信号为门控时钟的状态下,所述门控信息为与所述门控时钟匹配的门控信号;于所述被测逻辑信号为非门控时钟的状态下,所述门控信息为空;判断所述被测逻辑信号的类型是否为分频时钟;并形成一分频控制信息;于所述被测逻辑信号的时钟类型为非分频时钟的状态下,所述分频控制信息为空;于所述被测逻辑信号的时钟类型为分频时钟的状态下,读取当前被测逻辑信号的分频寄存器,并对所述分频寄存器做置位处理以形成所述分频时钟匹配的分频控制信号;根据所述门控信息、所述分频控制信息、一时钟选择信号形成测试控制信号;
于所述测试控制信号作用下读取被测时钟的当前被测逻辑信号、上级时钟的上级时钟信号;根据所述当前被测逻辑信号、上级时钟信号形成所述被测逻辑信号的测试数据;
所述目标信息数据中包含有当前被测时钟的标准值,将所述测试数据与所述标准值做比对,在所述测试数据匹配标准值的状态下判定当前被测时钟的连线正常,反之,在所述测试数据不匹配标准值的状态下判定当前被测时钟的连线异常。
2.根据权利要求1所述的一种基于芯片的控制信号校准方法,其特征在于,于目标信息数据形成的状态下,读取当前被测逻辑信号的逻辑类型之前还包括;
读取被测逻辑信号中逻辑信号的关联关系,根据所述关联关系形成所述目标信息数据,其中所述关联关系为时钟信号树和复位信号树。
3.根据权利要求1所述的一种基于芯片的控制信号校准方法,其特征在于,所述时钟选择信号由外部输入信号形成,具体地,
预制外部输入信号与控制数据之间的映射关系;
于接收到外部输入信号的状态下,根据所述映射关系读取与所述输入信号对应的控制数据;
根据所述控制数据形成所述时钟选择信号。
4.一种基于芯片的控制信号校准系统,其特征在于,包括:
逻辑类型形成单元,于目标信息数据形成的状态下,读取当前被测逻辑信号的逻辑类型;所述目标信息数据基于时钟信号树和/或复位信号树映射形成;
测试控制信号形成单元,判断所述被测逻辑信号的类型是否为门控时钟,并形成一门控信息,于所述被测逻辑信号为门控时钟的状态下,所述门控信息为与所述门控时钟匹配的门控信号;于所述被测逻辑信号为非门控时钟的状态下,所述门控信息为空;判断所述被测逻辑信号的类型是否为分频时钟;并形成一分频控制信息;于所述被测逻辑信号的时钟类型为非分频时钟的状态下,所述分频控制信息为空;于所述被测逻辑信号的时钟类型为分频时钟的状态下,读取当前被测逻辑信号的分频寄存器,并对所述分频寄存器做置位处理以形成所述分频时钟匹配的分频控制信号;根据所述门控信息、所述分频控制信息、一时钟选择信号形成测试控制信号;
测试数据形成单元具体包括读取装置,于所述测试控制信号作用下读取被测时钟的当前被测逻辑信号、上级时钟的上级时钟信号;根据所述当前被测逻辑信号、上级时钟信号形成所述被测逻辑信号的测试数据;
测试结果形成单元,所述目标信息数据中包含有当前被测时钟的标准值,将所述测试数据与所述标准值做比对,在所述测试数据匹配标准值的状态下判定当前被测时钟的连线正常,反之,在所述测试数据不匹配标准值的状态下判定当前被测时钟的连线异常。
5.根据权利要求4所述的一种基于芯片的控制信号校准系统,其特征在于,还包括;
目标信息数据形成单元,读取被测逻辑信号中逻辑信号的关联关系,根据所述关联关系形成所述目标信息数据,其中所述关联关系为时钟信号树和复位信号树。
6.一种电子设备,包括存储器、处理器及存储在存储器上并可在处理器运行的计算机程序,其特征在于,所述处理器执行所述计算机程序时实现如权利要求1-3任一项所述的一种基于芯片的控制信号校准方法。
7.一种计算机程序产品,其特征在于,包括计算机可读代码,或者承载有计算机可读代码的可读存储介质,当计算机可读代码在电子设备的处理器中运行时,所述电子设备中的处理器执行用于实现权利要求1-3任一项所述的一种基于芯片的控制信号校准方法。
CN202211552724.8A 2022-12-06 2022-12-06 一种基于芯片的控制信号校准方法、系统 Active CN115616387B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202211552724.8A CN115616387B (zh) 2022-12-06 2022-12-06 一种基于芯片的控制信号校准方法、系统

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202211552724.8A CN115616387B (zh) 2022-12-06 2022-12-06 一种基于芯片的控制信号校准方法、系统

Publications (2)

Publication Number Publication Date
CN115616387A CN115616387A (zh) 2023-01-17
CN115616387B true CN115616387B (zh) 2023-03-21

Family

ID=84880436

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202211552724.8A Active CN115616387B (zh) 2022-12-06 2022-12-06 一种基于芯片的控制信号校准方法、系统

Country Status (1)

Country Link
CN (1) CN115616387B (zh)

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN201867469U (zh) * 2010-11-16 2011-06-15 无锡中星微电子有限公司 一种扫描链测试电路
CN102540050A (zh) * 2010-12-20 2012-07-04 安凯(广州)微电子技术有限公司 一种测试芯片的方法及装置
US8832510B2 (en) * 2011-10-08 2014-09-09 Freescale Semiconductor, Inc. Circuit to reduce peak power during transition fault testing of integrated circuit
US9244124B2 (en) * 2014-03-28 2016-01-26 International Business Machines Corporation Initializing and testing integrated circuits with selectable scan chains with exclusive-or outputs
CN104617928B (zh) * 2015-01-13 2017-10-10 复旦大学 基于fpga硬件结构的时钟网络遍历测试方法
US11085965B2 (en) * 2018-11-01 2021-08-10 Siemens Industry Software Inc. Clock gating and scan clock generation for circuit test
CN111624478B (zh) * 2020-06-12 2022-12-23 山东云海国创云计算装备产业创新中心有限公司 一种时钟信号控制电路及设备
CN113238143B (zh) * 2021-07-09 2021-11-12 成都爱旗科技有限公司 一种dft测试装置、测试系统及dft测试方法
CN114609510A (zh) * 2022-03-21 2022-06-10 上海壁仞智能科技有限公司 用于处理器的测试控制电路以及测试控制方法
CN114779913B (zh) * 2022-06-16 2022-08-30 苏州云途半导体有限公司 一种自适应调整工作频率的复位方法和芯片

Also Published As

Publication number Publication date
CN115616387A (zh) 2023-01-17

Similar Documents

Publication Publication Date Title
CN112100954A (zh) 验证芯片的方法、装置和计算机存储介质
US8990746B1 (en) Method for mutation coverage during formal verification
WO2016026328A1 (zh) 一种信息处理方法、装置及计算机存储介质
JP2017084082A (ja) シミュレーション装置、試験シナリオファイル作成方法、及び試験シナリオファイルを用いた試験方法
CN112286750A (zh) 一种gpio验证方法、装置、电子设备和介质
CN115470748A (zh) 一种芯片仿真加速方法、装置、电子设备及存储介质
CN114548027A (zh) 在验证系统中追踪信号的方法、电子设备及存储介质
CN117094269B (zh) 一种验证方法、装置、电子设备及可读存储介质
CN107784185B (zh) 一种门级网表中伪路径的提取方法、装置及终端设备
CN115470125B (zh) 基于日志文件的调试方法、设备以及存储介质
US10380301B1 (en) Method for waveform based debugging for cover failures from formal verification
CN115616387B (zh) 一种基于芯片的控制信号校准方法、系统
CN117454811A (zh) 待测设计的验证方法及装置
CN114546823B (zh) 用于重现逻辑系统设计的调试场景的方法及相关设备
US9280627B1 (en) GUI based verification at multiple abstraction levels
CN108802600A (zh) 一种基于fpga的集成电路验证系统及方法
CN115906730A (zh) 验证逻辑系统设计的方法、设备及存储介质
RU2729210C1 (ru) Комплекс тестирования программного обеспечения электронных устройств
CN108334313A (zh) 用于大型soc研发的持续集成方法、装置及代码管理系统
CN110795338A (zh) 一种基于前后端交互的自动化测试方法、装置及电子设备
JP4194959B2 (ja) シミュレーション解析システム、アクセラレータ装置及びエミュレータ装置
CN115510782B (zh) 定位验证错误的方法、电子设备和存储介质
US11429770B1 (en) System, method, and computer program product for analyzing X-propagation simulations
CN117113908B (zh) 一种验证方法、装置、电子设备及可读存储介质
US9183331B1 (en) Formalizing IP driver interface

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant