CN115241123A - 半导体器件及其形成方法 - Google Patents

半导体器件及其形成方法 Download PDF

Info

Publication number
CN115241123A
CN115241123A CN202210409342.3A CN202210409342A CN115241123A CN 115241123 A CN115241123 A CN 115241123A CN 202210409342 A CN202210409342 A CN 202210409342A CN 115241123 A CN115241123 A CN 115241123A
Authority
CN
China
Prior art keywords
layer
conductive feature
sacrificial
dielectric layer
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210409342.3A
Other languages
English (en)
Inventor
周家政
柯忠祁
李资良
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN115241123A publication Critical patent/CN115241123A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Abstract

本公开涉及半导体器件及其形成方法。一种结构包括:第一导电特征、在第一导电特征之上的第一蚀刻停止层、在第一蚀刻停止层之上的电介质层以及在电介质层和第一蚀刻停止层中的第二导电特征。第二导电特征在第一导电特征之上并且与第一导电特征接触。空气间隔件环绕第二导电特征,并且第二导电特征的侧壁暴露于空气间隔件。保护环进一步环绕第二导电特征,并且保护环将第二导电特征与空气间隔件完全分离。

Description

半导体器件及其形成方法
技术领域
本公开总体涉及半导体器件及其形成方法。
背景技术
在半导体晶圆上形成诸如晶体管之类的集成电路器件。这些器件通过 金属线和过孔进行互连以形成功能电路,其中,金属线和过孔在后段制程 (back-end-of-line)工艺中形成。为了降低金属线和过孔的寄生电容,金 属线和过孔被形成在低k电介质层中,其k值通常低于3.8、低于3.0或低 于2.5。
在低k电介质层中形成金属线和过孔时,蚀刻低k电介质层以形成沟 槽和过孔开口。对低k电介质层的蚀刻可以包括在低k电介质材料之上形 成经图案化的硬掩模,并使用经图案化的硬掩模作为蚀刻掩模来形成沟槽。 过孔开口也形成在沟槽下方。然后用金属材料来填充沟槽和过孔开口,金 属材料可以包括铜。然后执行化学机械抛光(CMP)工艺以去除金属材料 在低k电介质层之上的多余部分。
已知空气间隔件具有低k值,其等于1.0。在金属线之间形成空气间隔 件的常规工艺中,首先去除两条金属线之间的电介质材料,然后在两条金 属线之间重新沉积另一种电介质材料。控制沉积工艺,以便在重新填充的 电介质材料中形成空气间隔件。然后执行CMP工艺以去除填充的电介质材 料的多余部分,这些多余部分在金属线之上。
发明内容
根据本公开的一个实施例,提供了一种形成半导体器件方法,包括: 对电介质层进行蚀刻以形成开口,其中,所述电介质层下方的第一导电特 征暴露于所述开口;沉积延伸到所述开口中的牺牲间隔件层;执行第一蚀 刻工艺以对所述牺牲间隔件层进行蚀刻,其中,所述牺牲间隔件层在所述 开口的底部处的第一底部部分被去除以暴露所述第一导电特征,并且所述 牺牲间隔件层在所述开口中和所述电介质层的侧壁上的第一垂直部分被保 留以形成牺牲环;沉积延伸到所述开口中以及所述牺牲环上的保护层;执 行第二蚀刻工艺以对所述保护层进行蚀刻,其中,所述保护层的第二底部 部分被去除以暴露所述第一导电特征,并且所述保护层在所述开口中的第 二垂直部分被保留以形成保护环;在所述开口中形成第二导电特征,其中, 所述第二导电特征被所述牺牲环环绕,并且在所述第一导电特征之上并且 电耦合到所述第一导电特征;以及去除所述牺牲环以形成空气间隔件。
根据本公开的另一实施例,提供了一种半导体器件,包括:第一导电 特征;第一蚀刻停止层,在所述第一导电特征之上;电介质层,在所述第 一蚀刻停止层之上;第二导电特征,在所述电介质层和所述第一蚀刻停止 层中,其中,所述第二导电特征在所述第一导电特征之上并且与所述第一 导电特征接触;空气间隔件,环绕所述第二导电特征,其中,所述第二导 电特征的侧壁暴露于所述空气间隔件;以及保护环,环绕所述第二导电特 征,其中,所述保护环将所述第二导电特征与所述空气间隔件完全分离。
根据本公开的又一实施例,提供了一种半导体器件,包括:第一电介 质层;第一导电特征,在所述第一电介质层中;第二导电特征,在所述第 一导电特征之上并且电耦合到所述第一导电特征,其中,所述第二导电特 征包括:扩散阻挡层;以及金属材料,被所述扩散阻挡层环绕;保护层, 环绕所述第二导电特征并且与所述第二导电特征接触,其中,所述保护层 与所述第一电介质层和所述第一导电特征中的至少一者的顶表面接触;空 气间隔件,环绕所述保护层;以及电介质层,环绕所述空气间隔件。
附图说明
在结合附图阅读时,可以通过下面的具体实施方式来最佳地理解本公 开的各方面。应当注意的是,根据行业的标准实践,各种特征没有按比例 绘制。事实上,为了讨论的清楚起见,各种特征的尺寸可能被任意地增大 或减小了。
图1-图10、图11A、图11B、图12-图16和图17A示出了根据一些实 施例的形成导电特征和空气间隔件的中间阶段的截面图。
图17B示出了根据一些实施例的没有被空气间隔件围绕的双镶嵌结构 的截面图。
图17C示出了根据一些实施例的空气间隔件的俯视图。
图18示出了根据一些实施例的围绕接触插塞形成的空气间隔件。
图19示出了根据一些实施例的用于形成导电特征和空气间隔件的工艺 流程。
具体实施方式
下面的公开内容提供了用于实现本发明的不同特征的许多不同的实 施例或示例。下文描述了组件和布置的具体示例以简化本公开。当然,这 些仅是示例而不旨在进行限制。例如,下面的描述中,在第二特征之上或 在第二特征上形成第一特征可以包括以直接接触的方式形成第一特征和第 二特征的实施例,并且还可以包括可在第一特征和第二特征之间形成附加 特征使得第一特征和第二特征可不直接接触的实施例。此外,本公开在各 个示例中可以重复附图标记和/或字母。这种重复是为了简单和清楚的目的, 并且其本身并不表示所讨论的各个实施例和/或配置之间的关系。
此外,本文可能使用了空间相关术语(例如,“之下”、“下方”、 “下”、“上方”、“上”等),以易于描述如图中所示的一个要素或特 征与另外(一个或多个)要素或(一个或多个)特征的关系。这些空间相 关术语旨在涵盖器件在使用或操作中处于除了图中所示朝向之外的不同朝 向。装置可能以其他方式定向(旋转90度或处于其他朝向),并且本文使 用的空间相关描述符可以类似地进行相应解释。
提供了一种空气间隔件及其形成方法。根据本公开的一些实施例,沉 积牺牲间隔件,随后进行第一各向异性蚀刻工艺以去除牺牲层的水平部分 并形成牺牲环。然后沉积保护层,随后进行第二各向异性蚀刻工艺以去除 保护层的水平部分以形成被牺牲环环绕的保护环。通过在沉积保护层之前 执行第一蚀刻工艺,保护环可以实体接触下面的特征。因此,在去除牺牲 环之后,保护环可以防止随后形成的阻挡层的底部被化学物质氧化和损坏。 本文讨论的实施例将提供示例以使得能够制造或使用本公开的主题,并且 本领域普通技术人员将容易地理解在保持在不同实施例的预期范围内的同 时可以进行的修改。在各种视图和说明性实施例中,相同的附图标记用于 表示相同的元件。尽管方法实施例可以被讨论为以特定顺序执行,但是其 他方法实施例可以以任何逻辑顺序执行。
图1-图10、图11A、图11B、图12-图16和图17A示出了根据本公开 的一些实施例的导电特征和空气间隔件的形成中的中间阶段的截面图。相 应的工艺也示意性地反映在如图19所示的工艺流程200中。
图1示出了封装组件20的截面图。根据本公开的一些实施例,封装组 件20是包括有源器件和可能的无源器件的器件晶圆,它们由所示的集成电 路器件26表示。器件晶圆20可以在其中包括多个管芯22,其中示出了管 芯22中的一个。根据本公开的替代实施例,封装组件20是中介层晶圆, 其可以包括或可以不包括有源器件和/或无源器件。在随后的讨论中,器件 晶圆作为封装组件20的示例被讨论。本公开的实施例还可以应用于其他类 型的封装组件,例如,中介层晶圆、封装衬底、封装件等。
根据一些实施例,晶圆20包括半导体衬底24和在半导体衬底24的顶 表面处形成的特征。半导体衬底24可以由晶体硅、晶体锗、硅锗或III-V 族化合物半导体形成,例如GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、 GaInAsP等。半导体衬底24也可以是体半导体衬底或绝缘体上半导体 (SOI)衬底。可以在半导体衬底24中形成浅沟槽隔离(STI)区域(未示出)以隔离半导体衬底24中的有源区域。虽然未示出,但是可以(或可以 不)形成贯穿过孔以延伸到半导体衬底24中,其中贯穿过孔用于将半导体 衬底24的相对侧上的特征相互电耦合。根据替代实施例,晶圆20用于形 成中介层,并且衬底24可以是半导体衬底或电介质衬底。
根据本公开的一些实施例,集成电路器件26形成在半导体衬底24的 顶表面处。根据一些实施例,集成电路器件26可以包括互补金属氧化物半 导体(CMOS)晶体管、电阻器、电容器、二极管等。集成电路器件26的 细节未在图1中示出。图18示出了根据一些实施例的示例晶体管的示意图, 其包括形成在半导体衬底24的顶表面处的栅极堆叠92和源极/漏极区域94。
返回参考图1,层间电介质(ILD)28形成在半导体衬底24之上,并 填充集成电路器件26中的晶体管(未示出)的栅极堆叠之间的空间。根据 一些实施例,ILD 28由以下项形成或包括以下项:磷硅酸盐玻璃(PSG)、 硼硅酸盐玻璃(BSG)、硼掺杂的磷硅酸盐玻璃(BPSG)、氟掺杂的硅 酸盐玻璃(FSG)、氧化硅等。ILD 28可以使用旋涂、流动化学气相沉积 (FCVD)等形成。根据本公开的一些实施例,使用诸如等离子体增强化 学气相沉积(PECVD)、化学气相沉积(CVD)、低压化学气相沉积 (LPCVD)等之类的沉积工艺来形成ILD 28。
接触插塞30形成在ILD 28中,并且用于将集成电路器件26电连接到 上覆的金属线和过孔。根据本公开的一些实施例,接触插塞30由选自以下 项的导电材料形成:钨、铝、铜、钛、钽、氮化钛、氮化钽、其合金和/或 其多层。接触插塞30的形成可以包括:在ILD 28中形成接触开口、将(一 种或多种)导电材料填充到接触开口中、以及执行诸如化学机械抛光(CMP)工艺或机械研磨工艺之类的平坦化工艺以使接触插塞30的顶表面 与ILD 28的顶表面齐平。
在空气间隔件的后续讨论中,作为示例,被空气间隔件环绕的导电特 征位于电介质层中,该电介质层位于接触插塞30之上并与接触插塞30接 触。应当理解,空气间隔件和被空气间隔件环绕的导电特征可以在具有导 电特征的任何层中,例如,在具有如图18所示的接触插塞的层中。
参考图2,在ILD 28和接触插塞30之上形成蚀刻停止层32。相应的 工艺在如图19所示的工艺流程200中被图示为工艺202。根据一些实施例, 蚀刻停止层32与ILD 28和接触插塞30的顶表面接触。根据替代实施例, 存在位于ILD 28和蚀刻停止层32之间的一个或多个电介质层和对应的导 电特征(例如,接触插塞、金属线、过孔等)。例如,在ILD 28和蚀刻停 止层32之间可以存在(一个或多个)附加蚀刻停止层、附加ILD、低k电 介质层等。相应地,在电介质层中可以存在接触插塞、过孔、金属线等。
蚀刻停止层32可以包括氮化硅(SiN)、碳化硅(SiC)、氮氧化硅 (SiON)、碳氧化硅(SiOC)、碳氮化硅(SiCN)等。蚀刻停止层32还 可以包括金属氧化物、金属氮化物等。蚀刻停止层32可以是由均质材料形 成的单层,或者是包括由不同材料形成的多个电介质子层的复合层。根据 本公开的一些实施例,蚀刻停止层32包括氮化铝(AlN)层、在氮化铝层 之上的碳氧化硅层和在碳氧化硅层之上的氧化铝层。
进一步参考图2,电介质层34沉积在蚀刻停止层32之上。相应的工艺 在如图19所示的工艺流程200中被图示为工艺204。电介质层34可以是 ILD层,在该电介质层中形成接触插塞。或者,电介质层34可以是用于形 成金属线的金属间电介质(IMD)层。根据本公开的一些实施例,电介质 层34由以下项形成或者包括以下项:介电常数(k值)低于3.8的低k电介质材料,并且介电常数也可以低于约3.0,例如在约2.5到约3.0之间。 电介质层34可以包括含碳的低k电介质材料、氢倍半硅氧烷(HSQ)、甲 基倍半硅氧烷(MSQ)等。根据本公开的一些实施例,电介质层34的形 成包括沉积含致孔剂的电介质材料,并且然后执行固化工艺以驱除致孔剂, 并且因此剩余的电介质层34是多孔的。
衬垫层36和硬掩模38形成在半导体衬底IMD上。相应的工艺在如图 19所示的工艺流程200中被图示为工艺206。衬垫层36可以是由氧化硅形 成的薄膜或包括氧化硅的薄膜。根据本公开的一些实施例,使用原硅酸四 乙酯(TEOS)作为前体来形成衬垫层36,并且沉积工艺可以包括PECVD、 CVD、低压化学气相沉积(LPCVD)等。衬垫层36充当电介质层34和硬掩模38之间的粘附层。衬垫层36还可以充当用于蚀刻硬掩模38的蚀刻停 止层。根据本公开的一些实施例,硬掩模38由以下项形成:钨掺杂的碳化 物(WDC)、氮化硅、氮化钛、氮化硼等。沉积方法可以包括CVD、 LPCVD、PECVD等。硬掩模38在随后的光刻工艺期间被用作硬掩模。
进一步参考图2,光致抗蚀剂40形成在硬掩模38上,并且然后被图案 化,从而在光致抗蚀剂40中形成开口42。在随后的工艺中,使用光致抗 蚀剂40来蚀刻硬掩模层38。相应的工艺在如图19所示的工艺流程200中 也被图示为工艺206。衬垫层36可以充当用于蚀刻工艺的蚀刻停止层。因 此,衬垫层36被暴露。在蚀刻工艺之后,例如在灰化工艺中去除光致抗蚀 剂40。
接下来,参考图3,使用硬掩模38作为蚀刻掩模来蚀刻衬垫层36和电 介质层34,并且开口42延伸到电介质层34中。相应的工艺在如图19所示 的工艺流程200中被图示为工艺208。衬垫层36可以通过使用NF3和NH3气体的混合物、HF和NH3气体的混合物等而通过干法蚀刻工艺进行蚀刻。 或者,衬垫层36可以通过使用例如HF溶液而通过湿法蚀刻工艺进行蚀刻。 根据本公开的一些实施例,使用包含氟和碳的工艺气体来执行电介质层34 的蚀刻,其中氟用于蚀刻,并且碳具有保护电介质层34的侧壁的作用,其 中侧壁面向过孔开口和沟槽。例如,用于蚀刻的工艺气体可以包括(一种 或多种)含氟和碳的气体,例如C4F8、CH2F2、CH4、CH3F和/或CF4,以 及载气,例如Ar、N2等。蚀刻是各向异性的。
电介质层34的蚀刻在蚀刻停止层32上停止。接下来,蚀刻停止层32 被蚀刻穿过,并且开口42进一步穿透蚀刻停止层32。相应的工艺在如图 19所示的工艺流程200中也被图示为工艺208。根据蚀刻停止层32的材料 和层来选择蚀刻化学物质。例如,当蚀刻停止层32包括氧化铝、碳氧化硅、 氮化铝等时,可以使用诸如BCl3、Cl2、CF4、CHF3等之类的蚀刻气体,并 且可以添加氧气(O2)。在蚀刻电介质层34之后,下面的导电特征(例如, 当蚀刻停止层32位于接触插塞30正上方时的接触插塞30)被暴露。
在如图3所示的示例实施例中,导电特征30和电介质层28两者的顶 表面都暴露于开口42。根据替代实施例,开口42比相应导电特征30窄, 并且因此,导电特征30的顶表面被暴露,而电介质层28的顶表面未被暴 露。相应的实施例也作为示例在图18中示出,其中栅极堆叠92和源极/漏 极接触插塞98对应于导电特征30。
图4示出了牺牲层44的沉积。相应的工艺在如图19所示的工艺流程 200中被图示为工艺210。根据一些实施例,牺牲层44由以下材料形成或 包括以下材料:在后续工艺中可以被选择性地去除并且不与周围材料发生 反应的材料。根据一些实施例,牺牲层44可以由诸如Si之类的半导体或 诸如氧化钛(TiOx)、氧化铝(AlOx)、氮化硅等之类的电介质材料形成。 沉积可以通过诸如CVD、ALD、物理气相沉积(PVD)、PECVD等之类 的共形沉积工艺来执行。因此,牺牲层44的水平部分和垂直部分彼此相等 或基本上相等,例如,厚度变化小于约20%或10%。
牺牲层44的期望厚度T1与电介质层34的位置有关。例如,当电介质 层34是较低的IMD层(例如,包括金属化层M0、M1等的层)时,厚度 T1可以更小,并且当电介质层34是较高的IMD层(例如,用于金属化层 M8、M9或更高的层)时,厚度T1可以更大。类似地,相邻开口42的宽 度和间距在形成在下层中时也具有较小的值,而在形成在上层中时具有较 大的值。根据一些实施例,厚度T1在约
Figure BDA0003603494490000081
到约
Figure BDA0003603494490000082
之间的范围内。沉 积时间可以在约10秒到约200秒之间的范围内。沉积温度可以在约25℃ 到约50℃之间的范围内。
图4还示出了用于对牺牲层44进行图案化的第一各向异性蚀刻工艺 46。在沉积牺牲层44之后执行蚀刻工艺46。相应的工艺在如图19所示的 工艺流程200中被图示为工艺212。所得结构在图5中示出。根据一些实施 例,通过干法蚀刻工艺利用从蚀刻气体生成的定向等离子体来执行蚀刻。 根据牺牲层44的材料,蚀刻气体可以包括Cl2、CF4、CHF3、CH4、HBr、 CO2、O2等或其组合。也可以添加其他气体,例如H2和He。根据一些实 施例,蚀刻时间可以在约10秒到约50秒之间的范围内。蚀刻期间的晶圆 温度可以在约25℃到约60℃之间的范围内。作为各向异性蚀刻工艺的结果, 牺牲层44的水平部分被去除。此外,在开口42的底部,导电特征30被暴 露。牺牲层44的垂直部分留在开口42中以形成围绕开口42的剩余部分的 牺牲环44’。牺牲环44’与蚀刻停止层32、电介质层34、衬垫层36和硬掩 模38的侧壁接触。
图6示出了保护层48的沉积。相应的工艺在如图19所示的工艺流程 200中被图示为工艺214。根据一些实施例,保护层48由以下材料形成或 包括以下材料:与牺牲环44’的材料不同的材料。根据一些实施例,保护 层48可以由导电材料或电介质材料形成。导电材料可以包括TaN、TiN等。 电介质材料可以包括诸如(低温)氧化硅、氮化硅、碳化硅、碳氧化硅等 之类的氧化物。保护层48的厚度T2可以在约
Figure BDA0003603494490000083
到约
Figure BDA0003603494490000084
之间的范围 内。可以通过诸如CVD、ALD、PVD、PECVD等之类的共形沉积工艺来 执行沉积。因此,保护层48的水平部分和垂直部分彼此相等或基本上相等, 例如,厚度变化小于约20%或10%。根据一些实施例,保护层48的沉积 时间可以在约10秒到约200秒之间的范围内。沉积温度可以高于约100℃, 并且可以在约120℃和约200℃之间的范围内。
图6还示出了在沉积保护层48之后执行的用于对保护层48进行图案 化的第二各向异性蚀刻工艺50。相应的工艺在如图19所示的工艺流程200 中被图示为工艺216。所得结构在图7中示出。根据一些实施例,通过干 法蚀刻工艺利用从蚀刻气体生成的定向等离子体来执行蚀刻。根据保护层 48的材料,蚀刻气体可以包括Cl2、CF4、CHF3、CH4、HBr、CO2、H2、O2等或其组合。也可以添加其他气体,例如H2和He。作为各向异性蚀刻 工艺的结果,保护层48的水平部分被去除。此外,在开口42的底部,导 电特征30被暴露。保护层48的垂直部分留在开口42中以形成保护环48’。 由于牺牲层44已经被蚀刻以暴露下面的特征(例如,接触插塞30和电介 质层28)的顶表面,保护环48’能够延伸到下面的特征(例如,导电特征 30或电介质层28)的顶表面并与其接触。根据一些实施例,蚀刻时间可以 在约10秒到约50秒之间的范围内。蚀刻工艺期间的晶圆的温度可以在约 25℃到约60℃之间的范围内。
图8至图10示出了导电特征56(图10)的形成。参考图8,沉积阻挡 层52。相应的工艺在如图19所示的工艺流程200中被图示为工艺218。根 据一些实施例,阻挡层52由以下项形成或包括以下项:钛、氮化钛、钽、 氮化钽等。阻挡层52可以形成为共形层,其可以使用CVD、ALD、PVD 等沉积。在形成阻挡层52之后,形成金属种子层(未示出)。金属种子层 可以由铜形成或包括铜,并且可以例如使用PVD形成。
图9示出了导电材料54的沉积。相应的工艺在如图19所示的工艺流 程200中被图示为工艺220。根据一些实施例,导电材料54包括铜或铜合 金、钴、钨、铝等,或其组合。沉积工艺可以包括电化学镀敷(ECP)、 化学镀、CVD等。导电材料54完全填充开口42。
根据替代实施例,代替沉积阻挡层52和导电材料54两者,沉积单一 均质材料以填充开口42,使得所得导电特征56是无阻挡的。
接下来,执行诸如化学机械抛光(CMP)工艺或机械研磨工艺之类的 平坦化工艺以去除导电材料54和阻挡层52的多余部分。相应的工艺在如 图19所示的工艺流程200中被图示为工艺222。平坦化工艺可以停止在电 介质层34的顶表面上,或衬垫层36的顶表面上。也可以执行平坦化工艺 直到电介质层34的顶部被去除为止。所得结构在图10中示出。在整个描 述中,导电材料54和阻挡层52的剩余部分统称为导电特征56,其可以是 金属线、金属过孔、接触插塞等。保护环48’环绕相应的导电特征56,并 且牺牲环44’环绕相应的保护环48’。
图11A示出了金属帽58的选择性形成。相应的工艺在如图19所示的 工艺流程200中被图示为工艺224。根据一些实施例,金属帽58通过选择 性沉积工艺形成,使得金属帽58选择性地沉积在导电特征56的暴露表面 上,而不是在牺牲环44’和电介质层34的暴露表面上。当保护环48’由导电 材料形成时,金属帽58可以在保护环48’上延伸,其延伸部分由虚线58” 表示。否则,当保护环48’由电介质材料形成时,金属帽58可以或可以不 在保护环48’上延伸。根据这些实施例,金属帽58的整体高于电介质层34 的顶表面。例如通过控制金属帽58的厚度来控制沉积工艺,使得金属帽58 的横向延伸不在牺牲环44’的顶部上延伸,或部分地在牺牲环44’的顶部上 延伸。在形成金属帽58之后,牺牲层44的顶表面有足够部分保持暴露。 根据一些实施例,选择性沉积工艺可以通过ALD或CVD来执行。根据一 些实施例,金属帽58由以下项形成或包括以下项:钴(Co)、钨(W)、 CoWP、CoB、钽(Ta)、镍(Ni)、钼(Mo)、钛(Ti)、铁(Fe)或 其组合。当沉积金属帽58时,前体可以包括金属卤化物(例如,WCl5) 或金属有机材料和还原剂(例如,H2)。
图12示出了去除牺牲环44’以形成空气间隔件60。相应的工艺在如图 19所示的工艺流程200中被示为工艺226。由于牺牲层44的一致性,因此 空气间隔件60具有基本均匀的厚度(横向尺寸),例如,其中空气间隔件 的大多数部分的厚度的变化小于约20%。根据一些实施例,使用各向同性 蚀刻工艺来蚀刻牺牲层44,该各向同性蚀刻工艺可以包括干法蚀刻工艺和 /或湿法蚀刻工艺。例如,在执行干法蚀刻工艺时,根据牺牲环44’的材料, 蚀刻气体可以包括HF、NF3、O2、CO2、H2、NH3、Cl2、CxFy(例如,CF4)、CHF3、CH4、HBr、He等或其组合。根据一些实施例,干法蚀刻 工艺的蚀刻时间可以在约10秒到约60秒之间的范围内。蚀刻工艺期间的 晶圆的温度可以低于约60℃,并且可以在约25℃到约60℃之间的范围内。
当执行湿法蚀刻工艺时,蚀刻化学物质可以包括HF溶液、氨水 (NH4OH)、磷酸等。根据一些实施例,湿法蚀刻工艺的蚀刻时间可以在 约10秒到约60秒之间的范围内。蚀刻工艺中的晶圆的温度可以低于约 50℃,并且可以在约20℃到约50℃之间的范围内。
图11A和图12组合公开了其中首先形成金属帽58、随后形成空气间 隔件60的实施例。根据替代实施例,首先执行牺牲环44’的去除以形成空 气间隔件60,随后形成金属帽58。该实施例在图11B和图12中组合示出。 参考图11B,去除牺牲环44’以形成空气间隔件60。然后选择性地沉积金 属帽58。所得结构也在图12中示出。
根据一些实施例,当采用图11A中所示的实施例时,金属帽58被限制 在位于导电特征56正上方的区域中。当采用图11B中所示的实施例时,金 属帽58可以包括或可以不包括稍微侧向延伸以形成突出部分(overhang) 的部分。突出部分可以与导电特征56的侧壁的顶部接触,该侧壁面向空气 间隔件60。例如,图12示意性地示出了虚线58’,其代表金属帽58的延 伸部分。金属帽58的延伸部分58’可以延伸到空气间隔件60的顶部中。此 外,延伸部分58’可以与电介质层34间隔开一部分,或者可以延伸足够远 以与电介质层34的最近部分接触。因此,金属帽58可以保持空气间隔件 60打开,或者可以部分或完全地密封空气间隔件60。
空气间隔件60具有等于1.0的k值,其小于其他电介质材料,甚至是 低k电介质材料。随着空气间隔件的形成,相邻导电特征56之间的寄生电 容减小。
如图12所示,空气间隔件60可以延伸到下面的特征(例如,电介质 层(例如,ILD28)和导电特征(例如,接触插塞30))的顶表面。由于 牺牲层44的水平部分在沉积保护层48(在图6中)之前被去除(在图5 中),因此保护层48不会在牺牲层44的水平部分上延伸。因此,保护环 48’可以一直延伸到下面的特征(例如,接触插塞30(当接触插塞30足够 宽时)或电介质层28)的顶表面。因此,在图12所示的结构中,保护环 48’完全保护阻挡层52的侧壁,并且阻挡层52的任何部分都没有暴露于空 气间隔件60。因此,氧气不能进入阻挡层52的底部以使其氧化。
作为比较,如果在沉积保护层48之后去除牺牲层44和保护层48两者 的水平部分,则保护层48的在虚线区域62中的底部将被牺牲层44的一些 水平部分代替。因此,在去除牺牲层44之后,牺牲层44的在虚线区域62 中的部分也会被去除。阻挡层52的底部的侧壁会暴露于氧气和用于去除牺 牲环44’的化学物质,从而导致氧化和损坏,因此导电特征的电阻增加并 且所得电路的性能降低。
根据一些实施例,在去除牺牲环44’之后,使用工艺气体来执行清洗 工艺。根据一些实施例,工艺气体可以包括氩气、N2等。清洗工艺可以执 行在约1分钟到约10分钟之间的范围内的一段时间。此外,可以执行热退 火工艺,其可以与清洗工艺同时执行,或者在清洗工艺之前或之后执行。 在热退火中,晶圆温度可以在约300℃到约400℃之间的范围内。清洗工艺 可以去除水分并防止金属(例如,阻挡层52的底部)的氧化(请注意,这 是实施例2)。
图13示出了蚀刻停止层64的形成。相应的工艺在如图19所示的工艺 流程200中被图示为工艺228。蚀刻停止层64与金属帽58接触,并且密封 空气间隔件60(如果不是已经密封)。根据一些实施例,蚀刻停止层64可 以由选自以下项的材料形成:SiN、SiC、SiON、SiOC、SiCN或其组合。 蚀刻停止层64还可以包括金属氧化物、金属氮化物等。蚀刻停止层64可 以是由均质材料形成的单层,或者包括多个电介质子层的复合层。根据本 公开的一些实施例,蚀刻停止层64是复合层,其可以包括AlN层、AlN层 之上的SiOC层和SiOC层之上的AlOx层。诸如AlN层之类的底层可以防 止铜挤压,并且上层被用于停止蚀刻。
图14至图16示出了根据一些实施例的双镶嵌结构的形成。参考图14, 沉积电介质层66。相应的工艺在如图19所示的工艺流程200中也被图示为 工艺228。电介质层66可以由低k电介质材料形成,该低k电介质材料可 以选自用于形成电介质层34的相同(或不同)组的候选材料。在电介质层66中形成沟槽70和过孔开口68。相应的工艺在如图19所示的工艺流程 200中被图示为工艺230。根据本公开的一些实施例,金属硬掩模(未示出) 被形成并图案化以限定沟槽70的图案。执行光刻工艺以蚀刻电介质层66 以便形成过孔开口。过孔开口从电介质层66的顶表面延伸到在电介质层66 的顶表面和底表面之间的中间水平。然后使用金属硬掩模作为蚀刻掩模来 执行各向异性蚀刻工艺以蚀刻电介质层66并形成沟槽70。在形成沟槽70 的同时,过孔开口向下延伸到金属帽58,从而形成过孔开口68。用于形成沟槽70的蚀刻可以使用时间模式来执行。根据替代实施例,过孔开口68 和沟槽70在单独的光刻工艺中形成。例如,在第一光刻工艺中,形成向下 延伸到金属帽58的过孔开口68。在第二光刻工艺中,形成沟槽70。
参考图15,形成经图案化的牺牲层72,其包括牺牲环72A和72B。相 应的工艺在如图19所示的工艺流程200中被图示为工艺232。牺牲层72的 形成工艺包括沉积共形牺牲层,并且然后执行各向异性蚀刻工艺以去除共 形牺牲层的水平部分。候选材料和工艺细节与参考图4和图5所讨论的基 本相同,在此不再赘述。牺牲层72包括在沟槽70中的第一垂直部分以形 成第一环72A,以及在过孔开口68中的第二垂直部分以形成第二环72B。 第一环72A大于第二环72B,并且与第二环72B断开连接。
在形成经图案化的牺牲层72之后,形成经图案化的保护层74。相应 的工艺在如图19所示的工艺流程200中被图示为工艺234。保护层74的形 成工艺还包括沉积共形保护层,并且然后执行各向异性蚀刻工艺以去除共 形保护层的水平部分。候选材料和工艺细节与参考图6和图7所讨论的基 本相同,在此不再赘述。保护层74包括在沟槽70中的第一垂直部分以形 成第一环74A,以及在过孔开口68中的第二垂直部分以形成第二环74B。 第一环74A大于第二环74B,并且与第二环74B断开连接。
接下来,参考图16,沉积扩散阻挡层76和金属材料78。材料和形成 工艺与参考图9和图10所讨论的类似,在此不再赘述。在沉积扩散阻挡层 76和金属材料78之后,执行平坦化工艺,从而形成过孔80和金属线82, 其包括扩散阻挡层76和金属材料78。相应的工艺在如图19所示的工艺流 程200中被图示为工艺236。过孔80和金属线82中的每一个都被保护环和 牺牲环环绕。
图17A示出了牺牲环72A的去除,从而形成空气间隔件84,当从晶圆 20的顶部观察时,该空气间隔件84是一个环。相应的工艺在如图19所示 的工艺流程200中被示为工艺238。也可以通过各向同性蚀刻工艺来执行 去除。所得空气间隔件84可以延伸到并且可以暴露于电介质层66的下面 的部分的顶表面。保护环74A延伸到电介质层66的下部的顶表面,并且因 此防止金属线82中的阻挡层76的底部被氧化。
由于不能去除牺牲环72B,所以牺牲环72B会留在最终结构中。应当 理解,与空气间隔件和低k电介质材料相比,牺牲环72B将导致寄生电容 增加。然而,过孔80在横向上较短,并且最有可能与相邻过孔具有更长的 距离。因此,与由于空气间隔件84的形成而导致的寄生电容的减少相比, 寄生电容的不利增加更小。或者说,寄生电容的减少远远抵消了寄生电容 的增加。
图17A进一步示出了金属帽86的形成,其可以由分别选自用于形成金 属帽58的候选材料和候选方法的相同组的材料和方法形成。可以在形成空 气间隔件84之前或之后来形成金属帽86,这类似于如图11A和图11B中 所示的实施例。此外,当在形成空气间隔件84之后形成金属帽86时, (金属帽86的)延伸部分86’可以形成并延伸到电介质层66的顶表面以 下。或者,当在形成空气间隔件84之前形成金属帽86时,整个牺牲层72, 包括在空气间隔件84正上方的延伸部分86’,将不会延伸到电介质层66的 顶表面以下。然后可以沉积蚀刻停止层88。
图17B示出了根据替代实施例的无气隙过孔80’和金属线82’的形成。 这些实施例类似于如图17A所示的实施例,不同之处在于没有为过孔80’ 和金属线82’形成牺牲层和保护层,并且因此没有形成空气间隔件。因此, 过孔80’和金属线82’与周围电介质层66的侧壁实体接触。应当理解,虽然 图17B示出了无气隙过孔80’和金属线82’位于导电特征56正上方,但是 根据替代实施例,如图17A所示的空气间隔件84可以形成在位于电介质层 34正上方的电介质层中,而无气隙过孔80’和金属线82’形成在其中形成了 空气间隔件84的层之上的电介质层中。这是因为上金属层的寄生电容问题 不如下金属层严重,并且因此在下金属层中形成了空气间隔件,而在上金 属层中没有形成空气间隔件。
图17C示出了根据一些实施例的空气间隔件60或84、保护层48’或 74A以及相应导电特征56或82的俯视图。如图17C所示,空气间隔件60 或84可以形成环绕相应导电特征56/82的完整环。空气间隔件60或84可 以具有基本均匀的宽度W1’(例如,变化小于约10%)。宽度W1’可以在 相应空气间隔件60和84的中间高度处测量。空气间隔件60的宽度W1’可 以与空气间隔件84的宽度W1’相同或不同。此外,当从在横截面视图观察 时,空气间隔件60从顶部到底部可以具有基本均匀的宽度(例如,变化小 于约20%或小于约10%),并且空气间隔件84从顶部到底部可以具有基本 均匀的宽度(例如,变化小于约20%或小于约10%)。
图18示出了围绕接触插塞形成的空气间隔件,该空气间隔件在源极/ 漏极接触插塞98和栅极堆叠92之上并与之接触。根据一些实施例,晶体 管90形成在半导体衬底24的顶表面处。晶体管90包括栅极堆叠92和源 极/漏极区域94。源极/漏极硅化物区域96形成在源极/漏极区域94之上并 与之接触。源极/漏极接触插塞98形成在源极/漏极硅化物区域96之上并且 连接到源极/漏极硅化物区域96。根据一些实施例,导电特征56形成在栅 极堆叠92和源极/漏极接触插塞98之上并且连接到栅极堆叠92和源极/漏 极接触插塞98,其中空气间隔件60围绕导电特征56。
本公开的实施例具有一些有利特征。通过形成空气间隔件,可以减小 相邻导电特征之间的寄生电容。通过在对保护层进行沉积和各向异性蚀刻 之前对牺牲层执行各向异性蚀刻工艺,保护层可以延伸到下面的特征的顶 表面,而不会在其间留下任何间隙。这防止了诸如导电特征的阻挡层之类 的导电特征的底部的氧化和损坏。
根据本公开的一些实施例,一种方法包括:对电介质层进行蚀刻以形 成开口,其中,电介质层下方的第一导电特征暴露于开口;沉积延伸到开 口中的牺牲间隔件层;执行第一蚀刻工艺以对牺牲间隔件层进行蚀刻,其 中,牺牲间隔件层在开口的底部处的第一底部部分被去除以暴露第一导电 特征,并且牺牲间隔件层在开口中和电介质层的侧壁上的第一垂直部分被 保留以形成牺牲环;沉积延伸到开口中以及牺牲环上的保护层;执行第二蚀刻工艺以对保护层进行蚀刻,其中,保护层的第二底部部分被去除以暴 露第一导电特征,并且保护层在开口中的第二垂直部分被保留以形成保护 环;在开口中形成第二导电特征,其中,第二导电特征被牺牲环环绕,并 且在第一导电特征之上并且电耦合到第一导电特征;以及去除牺牲环以形 成空气间隔件。在一个实施例中,沉积牺牲间隔件层包括共形沉积工艺。 在一个实施例中,该方法还包括:在第二导电特征之上形成金属帽盖层, 其中,金属帽盖层包括延伸到空气间隔件中的延伸部分。在一个实施例中, 第一蚀刻工艺和第二蚀刻工艺包括各向异性蚀刻工艺。在一个实施例中, 沉积牺牲间隔件层包括沉积硅层。在一个实施例中,在形成开口之后,下 面的特征的顶表面被暴露,并且其中,保护环包括与顶表面实体接触的底 表面。在一个实施例中,保护环包括电介质材料。在一个实施例中,保护环包括导电材料。在一个实施例中,形成第二导电特征包括:沉积延伸到 开口中并与保护环接触的导电阻挡层;以及在导电阻挡层上沉积导电区域。 在一个实施例中,第二导电特征通过保护环与空气间隔件完全分离。在一 个实施例中,开口包括沟槽和位于沟槽下方的过孔开口,牺牲环位于沟槽 中,并且第一蚀刻工艺进一步在过孔开口中形成附加牺牲环。在一个实施 例中,保护环包括面向空气间隔件的侧壁。
根据本公开的一些实施例,一种结构包括:第一导电特征;第一蚀刻 停止层,在第一导电特征之上;电介质层,在第一蚀刻停止层之上;第二 导电特征,在电介质层和第一蚀刻停止层中,其中,第二导电特征在第一 导电特征之上并且与第一导电特征接触;空气间隔件,环绕第二导电特征, 其中,第二导电特征的侧壁暴露于空气间隔件;以及保护环,环绕第二导 电特征,其中,保护环将第二导电特征与空气间隔件完全分离。在一个实 施例中,该结构还包括:附加电介质层,其中,第一导电特征在附加电介 质层中,并且其中,保护环具有与第一导电特征和附加电介质层中的至少 一者接触的底表面。在一个实施例中,该结构还包括:第二蚀刻停止层, 在电介质层和第二导电特征之上并且与电介质层和第二导电特征接触。在 一个实施例中,保护环将第二导电特征与空气间隔件完全分离,其中,第二导电特征的任何部分都没有暴露于空气间隔件的任何部分。在一个实施 例中,保护环包括导电材料。
根据本公开的一些实施例,一种结构包括:第一电介质层;第一导电 特征,在第一电介质层中;第二导电特征,在第一导电特征之上并且电耦 合到第一导电特征,其中,第二导电特征包括扩散阻挡层;以及金属材料, 被扩散阻挡层环绕;保护层,环绕第二导电特征并且与第二导电特征接触, 其中,保护层与第一电介质层和第一导电特征中的至少一者的顶表面接触; 空气间隔件,环绕保护层;以及电介质层,环绕空气间隔件。在一个实施 例中,保护层包括电介质材料。在一个实施例中,第二导电特征通过保护 层与空气间隔件完全分离。
上文概述了若干实施例的特征,以使本领域技术人员可以更好地理解 本公开的各方面。本领域技术人员应当理解,他们可以容易地使用本公开 作为基础,用于设计或者修改其他工艺和结构,以实现与本文引入的实施 例相同的目的和/或达到与本文引入的实施例相同的优点。本领域技术人员 还应当认识到,这些等同构造并不脱离本公开的精神和范围,并且他们可 以在不脱离本公开的精神和范围的情况下进行各种改变、替换和变更。
示例1是一种形成半导体器件的方法,包括:对电介质层进行蚀刻以 形成开口,其中,所述电介质层下方的第一导电特征暴露于所述开口;沉 积延伸到所述开口中的牺牲间隔件层;执行第一蚀刻工艺以对所述牺牲间 隔件层进行蚀刻,其中,所述牺牲间隔件层在所述开口的底部处的第一底 部部分被去除以暴露所述第一导电特征,并且所述牺牲间隔件层在所述开 口中和所述电介质层的侧壁上的第一垂直部分被保留以形成牺牲环;沉积延伸到所述开口中以及所述牺牲环上的保护层;执行第二蚀刻工艺以对所 述保护层进行蚀刻,其中,所述保护层的第二底部部分被去除以暴露所述 第一导电特征,并且所述保护层在所述开口中的第二垂直部分被保留以形 成保护环;在所述开口中形成第二导电特征,其中,所述第二导电特征被 所述牺牲环环绕,并且在所述第一导电特征之上并且电耦合到所述第一导 电特征;以及去除所述牺牲环以形成空气间隔件。
示例2是示例1所述的方法,其中,沉积所述牺牲间隔件层包括共形 沉积工艺。
示例3是示例1所述的方法,还包括:在所述第二导电特征之上形成 金属帽盖层,其中,所述金属帽盖层包括延伸到所述空气间隔件中的延伸 部分。
示例4是示例1所述的方法,其中,所述第一蚀刻工艺和所述第二蚀 刻工艺包括各向异性蚀刻工艺。
示例5是示例1所述的方法,其中,沉积所述牺牲间隔件层包括沉积 硅层。
示例6是示例1所述的方法,其中,在形成所述开口之后,下面的特 征的顶表面被暴露,并且其中,所述保护环包括与所述顶表面实体接触的 底表面。
示例7是示例1所述的方法,其中,所述保护环包括电介质材料。
示例8是示例1所述的方法,其中,所述保护环包括导电材料。
示例9是示例1所述的方法,其中,形成所述第二导电特征包括:
沉积延伸到所述开口中并与所述保护环接触的导电阻挡层;以及
在所述导电阻挡层上沉积导电区域。
示例10是示例1所述的方法,其中,所述第二导电特征通过所述保护 环与所述空气间隔件完全分离。
示例11是示例1所述的方法,其中,所述开口包括沟槽和位于所述沟 槽下方的过孔开口,所述牺牲环位于所述沟槽中,并且所述第一蚀刻工艺 进一步在所述过孔开口中形成附加牺牲环。
示例12是示例11所述的方法,其中,所述保护环包括面向所述空气 间隔件的侧壁。
示例13是一种半导体器件,包括:第一导电特征;第一蚀刻停止层, 在所述第一导电特征之上;电介质层,在所述第一蚀刻停止层之上;
第二导电特征,在所述电介质层和所述第一蚀刻停止层中,其中,所 述第二导电特征在所述第一导电特征之上并且与所述第一导电特征接触; 空气间隔件,环绕所述第二导电特征,其中,所述第二导电特征的侧壁暴 露于所述空气间隔件;以及保护环,环绕所述第二导电特征,其中,所述 保护环将所述第二导电特征与所述空气间隔件完全分离。
示例14是13所述的半导体器件,还包括:附加电介质层,其中,所 述第一导电特征在所述附加电介质层中,并且其中,所述保护环具有与所 述第一导电特征和所述附加电介质层中的至少一者接触的底表面。
示例15是13所述的半导体器件,还包括:第二蚀刻停止层,在所述 电介质层和所述第二导电特征之上并且与所述电介质层和所述第二导电特 征接触。
示例16是13所述的半导体器件,其中,所述保护环将所述第二导电 特征与所述空气间隔件完全分离,其中,所述第二导电特征的任何部分都 没有暴露于所述空气间隔件的任何部分。
示例17是13所述的半导体器件,其中,所述保护环包括导电材料。
示例18是一种半导体器件,包括:第一电介质层;第一导电特征,在 所述第一电介质层中;第二导电特征,在所述第一导电特征之上并且电耦 合到所述第一导电特征,其中,所述第二导电特征包括:扩散阻挡层;以 及金属材料,被所述扩散阻挡层环绕;保护层,环绕所述第二导电特征并 且与所述第二导电特征接触,其中,所述保护层与所述第一电介质层和所 述第一导电特征中的至少一者的顶表面接触;空气间隔件,环绕所述保护 层;以及电介质层,环绕所述空气间隔件。
示例19是18所述的半导体器件,其中,所述保护层包括电介质材料。
示例20是18所述的半导体器件,其中,所述第二导电特征通过所述 保护层与所述空气间隔件完全分离。

Claims (10)

1.一种形成半导体器件的方法,包括:
对电介质层进行蚀刻以形成开口,其中,所述电介质层下方的第一导电特征暴露于所述开口;
沉积延伸到所述开口中的牺牲间隔件层;
执行第一蚀刻工艺以对所述牺牲间隔件层进行蚀刻,其中,所述牺牲间隔件层在所述开口的底部处的第一底部部分被去除以暴露所述第一导电特征,并且所述牺牲间隔件层在所述开口中和所述电介质层的侧壁上的第一垂直部分被保留以形成牺牲环;
沉积延伸到所述开口中以及所述牺牲环上的保护层;
执行第二蚀刻工艺以对所述保护层进行蚀刻,其中,所述保护层的第二底部部分被去除以暴露所述第一导电特征,并且所述保护层在所述开口中的第二垂直部分被保留以形成保护环;
在所述开口中形成第二导电特征,其中,所述第二导电特征被所述牺牲环环绕,并且在所述第一导电特征之上并且电耦合到所述第一导电特征;以及
去除所述牺牲环以形成空气间隔件。
2.根据权利要求1所述的方法,其中,沉积所述牺牲间隔件层包括共形沉积工艺。
3.根据权利要求1所述的方法,还包括:在所述第二导电特征之上形成金属帽盖层,其中,所述金属帽盖层包括延伸到所述空气间隔件中的延伸部分。
4.根据权利要求1所述的方法,其中,所述第一蚀刻工艺和所述第二蚀刻工艺包括各向异性蚀刻工艺。
5.根据权利要求1所述的方法,其中,沉积所述牺牲间隔件层包括沉积硅层。
6.根据权利要求1所述的方法,其中,在形成所述开口之后,下面的特征的顶表面被暴露,并且其中,所述保护环包括与所述顶表面实体接触的底表面。
7.根据权利要求1所述的方法,其中,所述保护环包括电介质材料。
8.根据权利要求1所述的方法,其中,所述保护环包括导电材料。
9.一种半导体器件,包括:
第一导电特征;
第一蚀刻停止层,在所述第一导电特征之上;
电介质层,在所述第一蚀刻停止层之上;
第二导电特征,在所述电介质层和所述第一蚀刻停止层中,其中,所述第二导电特征在所述第一导电特征之上并且与所述第一导电特征接触;
空气间隔件,环绕所述第二导电特征,其中,所述第二导电特征的侧壁暴露于所述空气间隔件;以及
保护环,环绕所述第二导电特征,其中,所述保护环将所述第二导电特征与所述空气间隔件完全分离。
10.一种半导体器件,包括:
第一电介质层;
第一导电特征,在所述第一电介质层中;
第二导电特征,在所述第一导电特征之上并且电耦合到所述第一导电特征,其中,所述第二导电特征包括:
扩散阻挡层;以及
金属材料,被所述扩散阻挡层环绕;
保护层,环绕所述第二导电特征并且与所述第二导电特征接触,其中,所述保护层与所述第一电介质层和所述第一导电特征中的至少一者的顶表面接触;
空气间隔件,环绕所述保护层;以及
电介质层,环绕所述空气间隔件。
CN202210409342.3A 2021-06-17 2022-04-19 半导体器件及其形成方法 Pending CN115241123A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163211772P 2021-06-17 2021-06-17
US63/211,772 2021-06-17
US17/480,201 US11929281B2 (en) 2021-06-17 2021-09-21 Reducing oxidation by etching sacrificial and protection layer separately
US17/480,201 2021-09-21

Publications (1)

Publication Number Publication Date
CN115241123A true CN115241123A (zh) 2022-10-25

Family

ID=83667805

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210409342.3A Pending CN115241123A (zh) 2021-06-17 2022-04-19 半导体器件及其形成方法

Country Status (5)

Country Link
US (1) US11929281B2 (zh)
KR (1) KR20220168965A (zh)
CN (1) CN115241123A (zh)
DE (1) DE102022100822A1 (zh)
TW (1) TWI812206B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230056408A1 (en) * 2021-08-20 2023-02-23 Wuhan Xinxin Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6492732B2 (en) * 1997-07-28 2002-12-10 United Microelectronics Corp. Interconnect structure with air gap compatible with unlanded vias
KR20130092884A (ko) * 2012-02-13 2013-08-21 에스케이하이닉스 주식회사 반도체 소자의 배선 구조체 및 제조 방법
KR102055299B1 (ko) 2013-04-12 2019-12-16 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
KR102146705B1 (ko) 2013-12-23 2020-08-21 삼성전자주식회사 반도체 소자의 배선 구조물 및 그 형성 방법
US9412648B1 (en) * 2016-01-11 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Via patterning using multiple photo multiple etch
US20180012791A1 (en) 2016-07-06 2018-01-11 Globalfoundries Inc. Interconnects with inner sacrificial spacers
KR102557400B1 (ko) 2018-01-17 2023-07-20 삼성전자주식회사 반도체 장치
KR102635828B1 (ko) 2018-09-20 2024-02-15 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11107896B2 (en) 2018-11-29 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical interconnect features and methods of forming
TW202103260A (zh) 2019-06-28 2021-01-16 台灣積體電路製造股份有限公司 半導體裝置

Also Published As

Publication number Publication date
TWI812206B (zh) 2023-08-11
KR20220168965A (ko) 2022-12-26
US11929281B2 (en) 2024-03-12
TW202301450A (zh) 2023-01-01
DE102022100822A1 (de) 2022-12-22
US20220406647A1 (en) 2022-12-22

Similar Documents

Publication Publication Date Title
US10340178B2 (en) Via patterning using multiple photo multiple etch
EP1560264B1 (en) Method of forming an interconnection line structure
JP4190164B2 (ja) ダミー絶縁層を用いた集積回路素子の導電性コンタクト体の形成方法
TW201810591A (zh) 半導體裝置與其形成方法
US9570341B2 (en) Semiconductor device having air gap structures and method of fabricating thereof
CN106941092B (zh) 集成电路结构及其形成方法
US6987322B2 (en) Contact etching utilizing multi-layer hard mask
CN115241123A (zh) 半导体器件及其形成方法
JP5047504B2 (ja) ビアキャッピング保護膜を使用する半導体素子のデュアルダマシン配線の製造方法
KR100783868B1 (ko) 반도체장치의 제조방법 및 반도체장치
US9673200B2 (en) Semiconductor device structure and method of manufacturing the same
TWI782674B (zh) 半導體結構及其製造方法
US20220102143A1 (en) Metal Hard Masks for Reducing Line Bending
TW202316490A (zh) 封裝組件及其形成方法
US20230154852A1 (en) Forming Dielectric Film With High Resistance to Tilting
US20220367266A1 (en) Interconnect Structure Including Graphite and Method Forming Same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination