CN115206760A - 用于填充间隙的方法以及相关系统和器件 - Google Patents

用于填充间隙的方法以及相关系统和器件 Download PDF

Info

Publication number
CN115206760A
CN115206760A CN202210328597.7A CN202210328597A CN115206760A CN 115206760 A CN115206760 A CN 115206760A CN 202210328597 A CN202210328597 A CN 202210328597A CN 115206760 A CN115206760 A CN 115206760A
Authority
CN
China
Prior art keywords
substrate
metal
plasma
distal surface
reaction chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210328597.7A
Other languages
English (en)
Inventor
T.布兰夸特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN115206760A publication Critical patent/CN115206760A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Composite Materials (AREA)
  • Ceramic Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

公开了用于填充包含在衬底中的间隙特征的方法和相关系统。该方法包括将包括一个或多个间隙特征的衬底提供到反应室中的步骤。一个或多个间隙特征包括包含近侧表面的近侧部分和包含远侧表面的远侧部分。该方法还包括对衬底进行等离子体处理的步骤。因此,近侧表面被抑制,而远侧表面基本不受影响。然后,该方法包括在远侧表面上选择性地沉积含金属和含氮材料的步骤。

Description

用于填充间隙的方法以及相关系统和器件
技术领域
本公开总体涉及适于形成电子器件的方法和系统。更具体地,本公开涉及可用于在间隙、沟槽等中沉积材料的方法和系统。
背景技术
半导体器件的尺寸缩小已经导致集成电路的速度和密度显著提高。然而,随着大规模集成器件的布线间距的小型化,由于现有沉积过程的限制,高纵横比间隙或沟槽(例如纵横比为3或更高的沟槽)的无空隙填充变得越来越困难。因此,需要有效填充高纵横比特征的过程,例如在逻辑和/或存储器件的情况下,在填充半导体衬底上的间隙比如沟槽。特别需要用导电材料比如过渡金属氮化物有效填充高纵横比特征的过程,所述导电材料足以承受后续化学机械抛光(CMP)步骤和蚀刻过程。
本部分中阐述的任何讨论(包括对问题和解决方案的讨论)已被包括在本公开中,仅仅是为了提供本公开的背景。这种讨论不应被视为承认任何或所有信息在本发明被做出时是已知的或者以其他方式构成现有技术。
发明内容
本公开的各种实施例涉及间隙填充方法、使用这种方法形成的结构和器件以及用于执行该方法和/或用于形成该结构和/或器件的设备。下面更详细地讨论本公开的各种实施例解决现有方法和系统的缺点的方式。
本文描述了一种用于填充间隙特征的方法。该方法以给定顺序包括:将衬底定位在反应室中的衬底支撑件上的步骤;对衬底进行等离子体处理的步骤;以及在远侧表面上选择性地沉积金属氮化物的步骤。衬底包括衬底表面。衬底表面包括一个或多个间隙特征。一个或多个间隙特征包括包含近侧表面的近侧部分和包含远侧表面的远侧部分。等离子体处理导致相对于远侧表面选择性地抑制近侧表面。
在一些实施例中,执行多个超循环。超级循环包括对衬底进行等离子体处理的步骤和在远侧表面上选择性地沉积金属氮化物的步骤。
在一些实施例中,等离子体预处理包括将衬底暴露于氮等离子体。
在一些实施例中,在远侧表面上选择性地沉积金属氮化物的步骤包括循环过程。循环过程包括多个子循环。子循环以给定顺序包括:将衬底暴露于金属前体的步骤;以及将衬底暴露于氮反应物的步骤。将衬底暴露于金属前体的步骤导致在远侧表面上形成化学吸附的金属前体。将衬底暴露于氮反应物的步骤导致氮反应物与化学吸附的金属前体反应。因此,金属氮化物形成在远侧表面上。
在一些实施例中,将衬底暴露于金属前体的步骤和将衬底暴露于氮反应物的步骤由子循环内吹扫分开。
在一些实施例中,后续子循环由子循环间吹扫分开。
在一些实施例中,金属包括过渡金属。
在一些实施例中,过渡金属包括钛。
在一些实施例中,金属前体包括一种或多种烷基胺配体。
在一些实施例中,金属前体具有通式M(NR2)n,其中,M是金属,R是直链或支链C1至C4烷基,并且n是至少2到至多5的整数。
在一些实施例中,R是甲基。
在一些实施例中,n是4。
在一些实施例中,金属前体包括金属卤化物。
在一些实施例中,金属卤化物包括氯化物。
在一些实施例中,金属卤化物包括TiCl4
在一些实施例中,氮反应物包括NH3
在一些实施例中,衬底保持在至少50℃到至多300℃的温度。
在一些实施例中,在远侧表面上选择性地沉积材料的步骤以至少
Figure BDA0003572342830000021
/子循环到至多
Figure BDA0003572342830000022
/子循环的生长速率进行。
在一些实施例中,对衬底进行等离子体处理的步骤和在远侧表面上选择性地沉积金属氮化物的步骤由后等离子体吹扫分开。
本文还描述了一种半导体处理设备。该半导体处理设备包括反应室、加热器、等离子体气体源、等离子体模块、金属前体源、氮反应物源和控制器。反应室包括用于支撑衬底的衬底支撑件。衬底包括一个或多个间隙特征。加热器构造和布置成加热反应室中的衬底。等离子体气体源经由等离子体阀与反应室流体连通。等离子体模块包括射频功率源,其构造和布置成在反应室中产生等离子体。金属前体源经由一个或多个金属前体阀与反应室流体连接。氮反应物源经由一个或多个氮反应物阀与反应室流体连通。控制器配置用于使该设备执行这里描述的方法。
通过参考附图对某些实施例的以下详细描述,这些和其他实施例对于本领域技术人员来说将变得显而易见。本发明不限于所公开的任何特定实施例。
附图说明
当结合以下说明性附图考虑时,通过参考详细描述和权利要求,可以获得对本公开的实施例的更完整的理解。
图1是半导体处理设备的示意图,其布置用于执行根据本公开的至少一个实施例的方法。
图2示出了包括间隙特征210的衬底200的示意图。
图3示出了这里描述的方法的实施例的示意图。
图4示出了用于在包含在衬底中的间隙特征的远侧表面上选择性地沉积金属氮化物的方法的一部分的实施例的示意图。
图5示出了根据本公开示例的示例性结构500。
图6示出了示例性DRAM电容器600。
图7示出了VNAND单元的一部分,即接触和电荷俘获组件700。
图8示出了根据本公开示例的另一结构800。
应当理解,附图中的元件是为了简单和清楚而示出的,并不一定是按比例绘制的。例如,图中的一些元件的尺寸可能相对于其他元件被夸大,以有助于提高对本公开的所示实施例的理解。
具体实施方式
下面提供的方法、结构、器件和系统的示例性实施例的描述仅仅是示例性的,并且仅是为了说明的目的;以下描述不旨在限制本公开或权利要求的范围。此外,对具有所述特征的多个实施例的叙述并不旨在排除具有附加特征的其他实施例或者结合了所述特征的不同组合的其他实施例。例如,各种实施例被阐述为示例性实施例,并且可以在从属权利要求中叙述。除非另有说明,示例性实施例或其部件可以组合或可以彼此分开应用。
在本公开中,“气体”可以包括在常温常压(NTP)下为气体的材料、蒸发的固体和/或蒸发的液体,并且可以根据情况由单一气体或气体混合物构成。除了处理气体之外的气体,即不经过气体分配组件、多端口注入系统、其它气体分配装置等引入的气体,可以用于例如密封反应空间,并且可以包括密封气体,比如稀有气体。这里使用的术语“稀有气体”和“惰性气体”可以互换使用。在一些情况下,术语“前体”可以指参与产生另一种化合物的化学反应的化合物,特别是指构成膜基质或膜的主骨架的化合物,或作为其构成部分结合到膜中的化合物;术语“反应物”可以与术语前体互换使用。
如本文所用,术语“衬底”可以指可用于形成或可在其上形成器件、电路或膜的任何一种或多种底层材料。衬底可以包括块体材料,比如硅(例如单晶硅)、其他IV族材料,比如锗,或者其他半导体材料,比如II-VI族或III-V族半导体,并且可以包括覆盖或位于块体材料下面的一个或多个层。
在一些实施例中,“金属”是指作为元素固体形成金属材料的元素。在一些实施例中,“金属”包括作为元素固体形成半导体材料的元素。
此外,在本公开中,变量的任何两个数字可以构成该变量的可行范围,并且所指示的任何范围可以包括或不包括端点。此外,所指出的任何变量值(不管它们是否用“大约”表示)可以指精确值或近似值,并且包括等同物,并且可以指平均值、中值、代表值、多数值等。此外,在本公开中,在一些实施例中,术语“包括”、“由...构成”和“具有”独立地指“通常或广义地包括”、“包含”、“基本由...构成”或“由...构成”。在本公开中,在一些实施例中,任何定义的含义不一定排除普通和习惯的含义。
如本文所用,术语“包括”表示包括某些特征,但它不排除其他特征的存在,只要它们不会使权利要求或实施例不可行。在一些实施例中,术语“包括”包括“由…构成”。如本文所用,术语“由…构成”表示除了所述措辞之后的特征之外在设备/方法/产品中不存在其他特征。当术语“由…构成”用于指化合物时,它表示该化合物仅包含所列出的成分。
如本文所用,术语“吹扫”是指从反应室中去除前体和/或活性物质的处理步骤。在吹扫期间,可以向反应室提供惰性或基本惰性气体。另外或可替代地,反应室可以在吹扫期间被抽空。
本文描述了一种用于填充间隙特征的方法。间隙特征包含在衬底中,并且可以位于衬底表面处或附近。可选地,衬底可以包括多间隙特征,例如多个间隙特征。间隙特征包括具有近侧表面的近侧部分和具有远侧表面的远侧部分。合适的衬底包括半导体晶片,例如硅晶片。本方法可以在各种半导体器件的制造过程中使用,并且特别用于填充具有高纵横比和特别小的宽度的间隙特征,例如具有小于10nm的宽度和高于2或5或10或20的纵横比。通过本文所述的方法,可以有利地填充这种间隙,而不形成任何接缝或空隙。
该方法包括将衬底定位在衬底支撑件上的步骤。衬底支撑件位于反应室中。衬底包括一个或多个间隙特征。间隙特征包括具有近侧表面的近侧部分和具有远侧表面的远侧部分。应当理解,间隙特征的近侧部分是指间隙特征的最靠近衬底表面的部分,间隙特征的远侧部分是指间隙特征的离衬底表面最远的部分。该方法还包括对衬底进行等离子体处理的步骤和在远侧表面上选择性地沉积金属氮化物的步骤。可选地,在对衬底进行等离子体处理的步骤和在远侧表面上选择性地沉积金属氮化物的步骤之间进行吹扫。应当理解,在吹扫期间,在反应室中不产生等离子体。
应当理解,上述步骤按以下顺序进行:将衬底定位在衬底支撑件上,对衬底进行等离子体处理,以及在远侧表面上选择性地沉积金属氮化物。
对衬底进行等离子体处理的步骤导致相对于远侧表面选择性地抑制近侧表面。换句话说,相对于远侧表面,等离子体处理导致近侧表面上的活性表面位点减少。换句话说,与远侧表面相比,等离子体处理使得前体在近侧表面上更不容易吸收。因此,当随后沉积金属氮化物时,金属氮化物相对于近侧表面选择性地沉积在远侧表面上。换句话说,金属氮化物在远侧表面上的生长速率高于金属氮化物在近侧表面上的生长速率。例如,等离子体处理可以优先使近侧表面上的反应性表面位点失活,同时使远侧表面上的类似表面位点相对不受影响。因此,当在远侧表面上选择性地生长金属氮化物包括将衬底暴露于金属前体时,金属前体优先化学吸附在远侧表面上,而非近侧表面。当衬底然后暴露于氮反应物时,金属氮化物优先在远侧表面上形成。
在一些实施例中,方法包括用金属氮化物完全填充间隙特征。这可以通过例如重复本文所述的循环过程来完成,直到整个间隙特征填充有可转化层。
在一些实施例中,等离子体预处理包括将衬底暴露于氮等离子体。在一些实施例中,当将衬底暴露于氮等离子体时,N2被用作等离子体气体。在一些实施例中,含氮等离子体是NH3等离子体。应当理解,N2等离子体是指其中等离子体气体包括N2的等离子体。应当理解,NH3等离子体是指其中等离子体气体包括NH3的等离子体。应当理解,类似地定义了其他等离子体。
在一些实施例中,等离子体预处理包括使衬底经受惰性气体等离子体。在一些实施例中,惰性气体等离子体是氩等离子体。在一些实施例中,可以使用诸如Ar等离子体的惰性气体等离子体来激活或抑制某些表面。在一些实施例中,诸如Ar等离子体的惰性气体等离子体可以用于去除表面终端,比如–OH和–NH表面终端中的至少一个。应当理解,氮等离子体是指其中含氮气体用作等离子体气体的等离子体。类似地,惰性气体等离子体是指其中包含惰性气体的气体用作等离子体气体的等离子体。类似地,氩等离子体是指其中包含氩的气被用作等离子体气体的等离子体。应当理解,类似地定义了其他等离子体。
应当理解,等离子体预处理的应用导致近侧表面的抑制,而远侧表面基本不受影响,或者至少比近侧表面受影响小。换句话说,可以适当地使近侧表面对随后可以提供给反应室的金属前体的反应性降低。换句话说,对衬底进行等离子体预处理可以导致间隙特征中的抑制梯度。特别是,在间隙的近侧部分比在间隙的远侧部分的抑制更强。换句话说,抑制从间隙的近侧部分到间隙的远侧部分逐渐降低。本发明不受任何特定理论或操作模式的约束,据信在近侧表面的抑制是由衬底表面附近的反应性表面基团的耗尽引起的,而在间隙中较深的靠近远侧表面即靠近沟槽底部的反应性表面基团被认为较少或不受等离子体预处理的影响。
在一些实施例中,等离子体预处理导致钝化梯度,即钝化强度从近侧表面向远侧表面逐渐变化。在这种情况下,将衬底暴露于前体的步骤可以导致从远侧表面到上表面的每单位面积的化学吸附前体的密度的逐渐变化。随后将衬底暴露于氮反应物则允许含氮物质与化学吸附的前体反应以形成金属氮化物。因为与上表面相比,更多的前体化学吸附在远侧表面上,所以与上表面相比,更多的金属氮化物形成在远侧表面上。换句话说,金属氮化物以自下而上的方式生长。
在一些实施例中,该方法包括执行多个超循环。超级循环包括对衬底进行等离子体处理的步骤,以及在远侧表面上选择性地沉积金属氮化物的步骤。可以适当选择超循环的数量,使得在如本文所述的方法结束时,期望厚度的金属氮化物已经沉积在远侧表面上。在一些实施例中,该方法包括执行超级循环至少5次到至多10000次,或至少10次到至多5000次,或至少20次到至多2000次,或至少50次到至多1000次,或至少100次到至多500次。在一些实施例中,该方法包括执行超级循环至少5次到至多50次,或至少10次到至多20次。在一些实施例中,连续的超级循环通过吹扫彼此分开。应当理解,在吹扫期间,在反应室中不产生等离子体。在一些实施例中,本文所述的循环过程包括至少2个超级循环到至多20000个超级循环。例如,循环沉积过程可包括2个超级循环、3个超级循环、5个超级循环、10个超级循环、20个超级循环、30个超级循环、60个超级循环、100个超级循环、200个超级循环、500个超级循环、1000个超级循环、2000个超级循环、5000个超级循环或10000个超级循环。
在一些实施例中,在远侧表面上选择性地沉积金属氮化物通过热进行。换句话说,在一些实施例中,当金属氮化物选择性地沉积在远侧表面上时,在反应室中不产生等离子体。这可以改善这里描述的方法的间隙填充特性。
在一些实施例中,在远侧表面上选择性地沉积金属氮化物的步骤包括循环过程。循环过程包括多个子循环。子循环按以下顺序包括:将衬底暴露于金属前体,并将衬底暴露于氮反应物。将衬底暴露于金属前体导致在远侧表面上形成化学吸附的金属前体。随后将衬底暴露于氮反应物则允许氮反应物与化学吸附的金属前体反应。因此,金属氮化物形成在远侧表面上。
在一些实施例中,循环过程是热的。换句话说,在一些实施例中,在子循环期间,在反应室中不产生等离子体。换句话说,在一些实施例中,当将衬底暴露于金属前体并且将衬底暴露于氮反应物时,在反应室中不产生等离子体。
在一些实施例中,在远侧表面上选择性地沉积金属氮化物的步骤以至少
Figure BDA0003572342830000071
/子循环到至多
Figure BDA0003572342830000072
/子循环的生长速率进行,例如至少
Figure BDA0003572342830000073
/子循环到至多
Figure BDA0003572342830000074
/子循环或至少
Figure BDA0003572342830000075
/子循环到至多
Figure BDA0003572342830000076
/子循环的生长速率。例如,金属氮化物以
Figure BDA0003572342830000077
/子循环的生长速率沉积在远侧表面上。在一些实施例中,近侧表面上的生长速率比上表面慢至少2到至多20倍。在一些实施例中,近侧表面上的生长速率比上表面慢至少2倍到至多5倍。在一些实施例中,近侧表面上的生长速率比上表面慢至少5倍到至多10倍。在一些实施例中,近侧表面上的生长速率比上表面慢至少10倍到至多20倍。在一些实施例中,近侧表面上的生长速率小于
Figure BDA0003572342830000078
/子循环。在一些实施例中,近侧表面上的生长速率为
Figure BDA0003572342830000079
/子循环。
在一些实施例中,本文所述的循环过程包括每个超循环至少2个子循环到至多20000个子循环。例如,对于每个超级循环,循环沉积过程可以包括2个子循环、3个子循环、5个子循环、10个子循环、20个子循环、30个子循环、60个子循环、100个子循环、200个子循环、500个子循环、1000个子循环、2000个子循环、5000个子循环、10000个子循环或更多个。
可选地,将衬底暴露于金属前体的步骤之后是吹扫。可选地,后续子循环由吹扫分开。应当理解,在吹扫期间,在反应室中不产生等离子体。
应当理解,将衬底暴露于金属前体的步骤导致金属前体在远侧表面上的优先化学吸附。换句话说,通过将衬底暴露于金属前体,与等离子体钝化的近侧表面相比,更多的金属前体被化学吸附在未钝化的远侧表面上。
在此描述的方法的任何两个后续处理步骤可以由吹扫步骤分开。
因此,在一些实施例中,对衬底进行等离子体处理的步骤和在远侧表面上选择性地沉积金属氮化物的步骤由后等离子体吹扫分开。
另外或可替代地,在一些实施例中,将衬底暴露于金属前体的步骤和将衬底暴露于氮反应物的步骤由子循环内吹扫分开。
另外或可替代地,在一些实施例中,后续子循环由子循环间吹扫分开。
在一些实施例中,使衬底经受等离子体处理的步骤持续至少1秒到至多100秒,或至少1秒到至多2秒,或至少2秒到至多5秒,或至少5秒到至多10秒,或至少10秒到至多20秒,或至少20秒到至多50秒,或至少50秒到至多100秒。
在一些实施例中,将衬底暴露于金属前体的步骤持续至少0.01秒到至多100秒,或至少0.01秒到至多0.02秒,或至少0.02秒到至多0.05秒,或至少0.05秒到至多0.1秒,或至少0.1秒到至多0.2秒,或至少0.2秒到至多0.5秒,或至少0.5秒到至多1秒,或至少1秒到至多2秒,或至少2秒到至多5秒,或至少5秒到至多10秒,或至少10秒到至多20秒,或至少20秒到至多50秒,或至少50秒到至多100秒。
在一些实施例中,将衬底暴露于氮反应物的步骤持续至少0.01秒到至多100秒,或至少0.01秒到至多0.02秒,或至少0.02秒到至多0.05秒,或至少0.05秒到至多0.1秒,或至少0.1秒到至多0.2秒,或至少0.2秒到至多0.5秒,或至少0.5秒到至多1秒,或至少1秒到至多2秒,或至少2秒到至多5秒,或至少5秒到至多10秒,或至少10秒到至多20秒,或至少20秒到至多50秒,或至少50秒到至多100秒。
在一些实施例中,子循环间吹扫持续至少0.01秒到至多100秒,或至少0.01秒到至多0.02秒,或至少0.02秒到至多0.05秒,或至少0.05秒到至多0.1秒,或至少0.1秒到至多0.2秒,或至少0.2秒到至多0.5秒,或至少0.5秒到至多1秒,或至少1秒到至多2秒,或至少2秒到至多5秒,或至少5秒到至多10秒,或至少10秒到至多20秒,或至少20秒到至多50秒,或至少50秒到至多100秒。
在一些实施例中,子循环内吹扫持续至少0.01秒到至多100秒,或至少0.01秒到至多0.02秒,或至少0.02秒到至多0.05秒,或至少0.05秒到至多0.1秒,或至少0.1秒到至多0.2秒,或至少0.2秒到至多0.5秒,或至少0.5秒到至多1秒,或至少1秒到至多2秒,或至少2秒到至多5秒,或至少5秒到至多10秒,或至少10秒到至多20秒,或至少20秒到至多50秒,或至少50秒到至多100秒。
在一些实施例中,氮反应物包括NH3。在一些实施例中,氮反应物包括N2H2
在一些实施例中,包含在金属前体中的金属包括过渡金属。在一些实施例中,包含在金属前体中的金属由过渡金属构成。合适的过渡金属包括Sc,Ti,V,Cr,Mn,Fe,Co,Ni,Cu,Zn,Y,Zr,Nb,Mo,Tc,Ru,Rh,Cd,Hf,Ta,W和Re。在一些实施例中,过渡金属包括钛。在一些实施例中,过渡金属由钛构成。
在一些实施例中,包含在金属前体中的金属包括稀土元素。在一些实施例中,包含在金属前体中的金属由稀土元素构成。合适的稀土元素包括镧系元素,比如La,Ce,Pr,Nd,Pm,Sm,Eu,Gd,Tb,Dy,Ho,Er,Tm,Yb和Lu。
在一些实施例中,金属前体可以包括一种或多种烷基胺配体。在一些实施例中,金属前体包括选自NH2,NHRi和NRiRii的一个或多个配体,其中Ri和Rii中的至少一个是C1至C4烷基。
在一些实施例中,金属前体具有通式M(NR2)n,其中,M是金属,R是直链或支链C1至C4烷基,并且n是至少2到至多5的整数。在一些实施例中,R是甲基。在一些实施例中,n是4。在一些实施例中,金属前体包括四(二甲基氨基)钛(IV)。
在一些实施例中,金属前体包括金属卤化物。
示例性金属卤化物包括氟化物、氯化物、溴化物和碘化物。在一些实施例中,金属前体包括氯化物。
在一些实施例中,金属前体包括过渡金属卤化物。在一些实施例中,金属前体包括过渡金属氟化物。在一些实施例中,金属前体包括过渡金属氯化物。在一些实施例中,金属前体包括过渡金属溴化物。在一些实施例中,金属前体包括过渡金属碘化物。
在一些实施例中,金属前体选自卤化锆、卤化铪、卤化铌、卤化钽、卤化钒、卤化钼、卤化钛和卤化钨。
在一些实施例中,金属前体选自氟化锆、氟化铪、氟化铌、氟化钽、氟化钒、氟化钼、氟化钛和氟化钨。
在一些实施例中,金属前体选自氯化锆、氯化铪、氯化铌、氯化钽、氯化钒、氯化钼、氯化钛和氯化钨。
在一些实施例中,金属前体选自溴化锆、溴化铪、溴化铌、溴化钽、溴化钒、溴化钼、溴化钛和溴化钨。
在一些实施例中,金属前体选自碘化锆、碘化铪、碘化铌、碘化钽、碘化钒、碘化钼、碘化钛和碘化钨。
在一些实施例中,金属前体选自由ZrCl4,HfCl4,NbCl4,TaCl5,VCl5,Mo2Cl10,TiI4和WCl6构成的列表。在一些实施例中,金属前体包括TiCl4
在一些实施例中,金属前体包括IVA族元素的卤化物。在一些实施例中,金属前体包括卤化硅。在一些实施例中,金属前体包括卤化锗。在一些实施例中,金属前体包括氯化硅。在一些实施例中,金属前体包括氯化锗。在一些实施例中,金属前体包括溴化硅。在一些实施例中,金属前体包括溴化锗。在一些实施例中,金属前体包括碘化硅。在一些实施例中,金属前体包括碘化锗。在一些实施例中,金属前体选自SiCl4,SiIH2,Si2Cl6和GeCl4
在一些实施例中,衬底保持在至少50℃到至多600℃的温度,或至少50℃到至多400℃的温度,或至少100℃到至多350℃的温度,或至少150℃到至多200℃的温度,或至少200℃到至多250℃的温度,或至少250℃到至多300℃的温度。
在一些实施例中,反应室保持在以下压力下:至少0.1托到至多200托,或至少0.2托到至多100托,或至少0.5托到至多50托,或至少1托到至多20托,或至少2托到至多10托,例如反应室可保持在5托的压力下。在一些实施例中,反应室保持在至少1托到至多20托的压力下。
在一些实施例中,在远侧表面上选择性地沉积金属氮化物的步骤是以以下生长速率进行的:至少
Figure BDA0003572342830000111
/子循环到至多
Figure BDA0003572342830000112
/子循环,或至少
Figure BDA0003572342830000113
/子循环到至多
Figure BDA0003572342830000114
/子循环,或至少
Figure BDA0003572342830000115
/子循环到至多
Figure BDA0003572342830000116
/子循环。应当理解,这些生长速率指的是远侧表面上金属氮化物的生长速率。
在一些实施例中,在远侧表面上选择性地沉积金属氮化物的步骤期间,近侧表面上金属氮化物的生长速率是远侧表面上金属氮化物生长速率的至少0%到至多99%,或者近侧表面上金属氮化物的生长速率是远侧表面上金属氮化物生长速率的至少0%到至多1%,或者近侧表面上金属氮化物的生长速率是远侧表面上金属氮化物生长速率的至少1%到至多2%,或者近侧表面上金属氮化物的生长速率是远侧表面上金属氮化物生长速率的至少2%到至多5%,或者近侧表面上金属氮化物的生长速率是远侧表面上金属氮化物生长速率的至少5%到至多10%,或者近侧表面上金属氮化物的生长速率是远侧表面上金属氮化物生长速率的至少10%到至多20%,或者近侧表面上金属氮化物的生长速率是远侧表面上金属氮化物生长速率的至少20%到至多50%,或者近侧表面上金属氮化物的生长速率是远侧表面上金属氮化物生长速率的至少50%到至多90%,或者近侧表面上金属氮化物的生长速率是远侧表面上金属氮化物生长速率的至少90%到至多95%,或者近侧表面上金属氮化物的生长速率是远侧表面上金属氮化物生长速率的至少95%到至多99%。
本文还描述了一种半导体处理设备。该半导体处理设备包括反应室、衬底加热器、等离子体气体源、等离子体模块、金属前体源、氮反应物源和控制器。反应室包括用于支撑衬底的衬底支撑件。衬底包括一个或多个例如多个间隙特征。加热器构造和布置成加热反应室中的衬底。等离子体气体源经由等离子体阀与反应室流体连通。等离子体模块包括射频功率源,其构造和布置成在反应室中产生等离子体。金属前体源经由一个或多个金属前体阀与反应室流体连接。氮反应物源经由一个或多个氮反应物阀与反应室流体连通。该控制器配置用于使该设备执行这里描述的方法。
可选地,该设备配置用于通过载气向反应室提供至少一种前体。合适的载气包括稀有气体。换句话说,在一些实施例中,半导体处理系统包括气体注入系统,其包括前体输送系统,该前体输送系统采用载气将金属前体运送到一个或多个反应室。
目前提供的方法可以在任何合适的设备中执行,包括在如图1所示的半导体处理系统的实施例中。图1是可用于本发明一些实施例的等离子体增强原子层沉积(PEALD)设备的示意图。在该图中,通过在反应室3的内部11(反应区)中提供一对平行且彼此面对的导电平板电极2、4,从电源25向一侧施加RF功率(例如13.56MHz和/或27MHz),并将另一侧12电接地,可以在电极之间产生等离子体。半导体处理设备不需要在将金属前驱体提供给反应室的步骤期间、将氮反应物提供给反应室的步骤期间、或后续处理步骤之间的吹扫期间产生等离子体,因此在这些步骤或吹扫期间不需要将RF功率施加到任何一个电极。可以在下平台2即下电极中提供温度调节器。衬底1放置在其上,并且其温度保持恒定在给定温度。上电极4也可以用作喷淋板,并且诸如等离子气体、反应物气体和/或稀释气体(如果有的话)以及前体气体的各种气体可以分别通过气体管线21和气体管线22并通过喷淋板4引入到反应室3中。此外,在反应室3中,提供了具有排气管线17的圆形导管13,反应室3的内部11中的气体通过该导管排出。此外,转移室5设置在反应室3的下方,并设置有气体密封管线24,以将密封气体经由转移室5的内部16引入反应室3的内部11,其中设置有用于分隔反应区和转移区的分隔板14。注意,该图中省略了闸阀,晶片可以通过该闸阀转移到转移室5中或从其中转移出。转移室还设置有排气管线6。在一些实施例中,氧化硅的沉积和表面处理在同一反应空间中进行,使得所有步骤可以连续进行,而不需要抽空反应室、排空反应室或将衬底暴露于大气的中间步骤。
图2示出了包括间隙特征210的衬底200的示意图。间隙特征210包括近侧部分211和远侧部分212。近侧部分21包括上表面,远侧部分22包括远侧表面。通过对衬底200进行等离子体处理,可以基本抑制近侧表面。换句话说,与远侧表面相比,第一和第二等离子体处理可以适当地使近侧表面相对不与前体反应。
图3示出了这里描述的方法的实施例的示意图。该方法包括将衬底定位在衬底支撑件上的步骤311。衬底包括间隙特征。然后,如本文所述,对衬底进行等离子体处理312。可选地,然后使用后等离子体吹扫来吹扫反应室315。例如,可以通过基本惰性气体比如稀有气体来进行吹扫。示例性稀有气体包括He,Ne,Ar,Xe和Kr。可替代地,可以通过含氮气体混合物进行吹扫,例如通过包含N2或由其构成的吹扫气体。该方法还包括通过本文所述的技术在间隙特征的远侧表面上沉积金属氮化物的步骤316。可选地,然后使用后沉积吹扫来吹扫反应室317。应当理解,在吹扫期间,在反应室中不产生等离子体。从对衬底进行等离子体处理的步骤312到在间隙特征的远侧表面上沉积金属氮化物的步骤316可以可选地重复319一次或多次,从而导致包括后续等离子体处理和随后的沉积步骤的多个超循环。可选地,后续超级循环由吹扫分开。因此,金属氮化物沉积在间隙特征中。当期望量的金属氮化物已经沉积在间隙特征中时,该方法结束318。
图4示出了用于在包含在衬底中的间隙特征的远侧表面上选择性地沉积金属氮化物的方法的一部分的实施例的示意图。图4中所示的方法的部分对应于图3中所示的在远侧表面上沉积金属氮化物的步骤316,并且在对衬底进行等离子体处理的步骤之后开始411,或者可选地在该步骤之后的吹扫之后开始。如本文所述,然后将衬底暴露于选择性化学吸附在远侧表面上的金属前体412。可选地,然后吹扫反应室413。该方法然后包括将衬底暴露于氮反应物的步骤414。可选地,然后吹扫反应室415。应当理解,在吹扫期间,在反应室中不产生等离子体。从将衬底暴露于金属前体412到将衬底暴露于氮反应物414的步骤可以可选地重复417一次或多次,从而产生多个子循环。因此,金属氮化物沉积在间隙特征中。当期望量的金属氮化物已经沉积在间隙中时,该方法结束416。
图5示出了根据本公开示例的示例性结构500。器件或结构500包括衬底502、电介质材料504和含金属氮化物层506,该层的至少一部分可以根据本文所述的方法沉积。当使用本文所述的方法形成层506的至少一部分时,通过例如控制前体和/或反应物的量和/或在一个或多个沉积循环期间的各个脉冲时间,其成分的浓度可以从层506的底部到层506的顶部变化。在一些情况下,层506可以具有化学计量成分。该层506的功函数和其他属性可以通过改变其成分来改变。根据这里描述的方法沉积的层506可以包括杂质,比如卤化物、氢等。在一些实施例中,杂质含量可以单独或组合小于1原子%、小于0.2原子%、或小于0.1原子%、或小于0.05原子%。
至少一部分是通过这里描述的方法沉积的层506的厚度可以根据应用而变化。举例来说,该层506的厚度可以从至少5nm到至多20nm。
至少一部分是根据本文所述的方法沉积的层506的功函数可以是>4.0eV,>4.1eV,>4.2eV,>4.3eV,>4.4eV,>4.5eV,>4.6eV,>4.7eV,>4.8eV,>4.9eV,>4.95eV或>5.0eV。可替代地,至少一部分是根据本文所述的方法沉积的层506的功函数可以是<4.0eV,<4.1eV,<4.2eV,<4.3eV,<4.4eV,<4.5eV,<4.6eV,<4.7eV,<4.8eV,<4.9eV,<4.95eV或<5.0eV。
电介质材料504包括界面层508和块体层510。界面层508可以例如包括氧化硅层、硅酸盐层或其混合物。块体层510可以包括高k电介质层。在一些情况下,界面层508可能不存在或者可能不存在到可感知的程度。高k材料510可以是或者可以包括例如介电常数大于约7的金属氧化物。在一些实施例中,高k材料的介电常数高于氧化硅的介电常数。示例性高k材料包括氧化铪(HfO2)、氧化钽(Ta2O5)、氧化钒(VO2)、氧化锆(ZrO2)、氧化铌(Nb2O5)、氧化钛(TiO2)、氧化铝(Al2O3)、氧化镧(La2O3)、其混合物以及其叠层中的一种或多种。其他示例性高k材料包括硅酸盐,例如硅酸铪(HfSiOx)、硅酸镧(LaSiOx)、硅酸钛(TiSiOx)和硅酸铥(TmSiOx)等。在所示的示例中,衬底502包括源区514、漏区516和沟道区518。尽管图示为水平结构,但根据本公开的示例的结构和器件可以包括竖直和/或三维结构和器件,比如FinFET器件和全栅MOSFET。
图6示出了示例性DRAM电容器600。在所示实施例中,它包括顶部电极610、670,该顶部电极包括两个部分,即内壳和外壳。尽管如此,顶部电极可以仅包括一个部分,或者可以包括多于两个部分,例如三个或更多个部分。应当理解,图6的实施例中的顶部电极610、670的两个部分彼此电连接(连接未示出),即应当理解,在正常操作期间,它们保持在相同或近似相同的电势。顶部电极610、670包括通过这里描述的方法沉积的层。顶部电极610、670可以例如具有以下厚度:至少0.5nm至5.0nm,或至少1.0nm到至多4.0nm,或至少2.0nm到至多3.0nm,或至少0.5nm到至多2.5nm,或至少0.6nm到至多2.0nm,或至少0.7nm到至多1.5nm。DRAM电容器600还包括底部电极640。底部电极640可以包括通过这里描述的方法沉积的层。在一些实施例中,底部电极640的成分等于顶部电极610、670的成分。可替代地,底部电极640的成分可以不同于顶部电极610、670的成分。底部电极640可以例如具有以下厚度:至少1.0nm到至多10.0nm、或至少3.0nm到至多7.0nm、或至少0.5nm至5.0nm、或至少1.0nm到至多4.0nm、或至少2.0nm到至多3.0nm、或至少0.5nm到至多2.5nm、或至少0.6nm到至多2.0nm、或至少0.7nm到至多1.5nm。底部电极640通过一个或多个电介质层620、630与顶部电极(610的外壳分离。所示实施例的特征在于两个电介质层620、630。一个或多个电介质层620、630可以包括高k电介质。例如,高k电介质可以选自包括以下的列表:氧化铪(HfO2)、氧化钽(Ta2O5)、氧化钒(VO2)、氧化铌(Nb2O5)、氧化锆(ZrO2)、氧化钛(TiO2)、氧化铝(Al2O3)、氧化镧(La2O3)、其混合物以及其叠层。其他示例性高k材料包括硅酸盐,例如硅酸铪(HfSiOx)、硅酸镧(LaSiOx)、硅酸钛(TiSiOx)和硅酸铥(TmSiOx)等。在一些实施例中,电介质层620具有与电介质层630相同的成分。在一些实施例中,电介质层620具有与电介质层630不同的成分。两个电介质层620、630的组合厚度可以是例如从至少0.5nm到至多10.0nm,或至少1.0nm到至多8.0nm,或至少2.0nm到至多6.0nm,或至少3.0nm到至多4.0nm。顶部电极670的内壳通过一个或多个电介质层650、660与底部电极640分离。所示实施例的特征在于两个这样的电介质层。一个或多个电介质层650、660可以包括高k电介质。例如,高k电介质可以选自包括以下的列表:氧化铪(HfO2)、氧化钽(Ta2O5)、氧化钒(VO2)、氧化铌(Nb2O5)、氧化锆(ZrO2)、氧化钛(TiO2)、氧化铝(Al2O3)、氧化镧(La2O3)及其混合物/叠层。其他示例性高k材料包括硅酸盐,例如硅酸铪(HfSiOx)、硅酸镧(LaSiOx)、硅酸钛(TiSiOx)和硅酸铥(TmSiOx)等。在一些实施例中,电介质层650具有与电介质层660相同的成分。在一些实施例中,电介质层650具有与电介质层660不同的成分。电介质层650、660的组合厚度可以是例如从至少0.5nm到至多10.0nm,或至少1.0nm到至多8.0nm,或至少2.0nm到至多6.0nm,或至少3.0nm到至多4.0nm。在一些实施例中,顶部电极610的外壳和底部电极640之间的一个或多个电介质层620、630的厚度等于顶部电极670的内壳和底部电极640之间的一个或多个电介质层650、660的厚度,例如在小于2.0nm、或小于1.5nm、或小于1.0nm、或小于0.5nm、或小于0.4nm、或小于0.3nm、或小于0.2nm、或小于0.1nm的误差范围内。间隙填充电介质680可以设置在DRAM电容器680的中央。示例性间隙填充电介质包括低k电介质,例如SiOC、SiOCN等。
图7示出了VNAND单元的一部分,即接触和电荷俘获组件700。接触和电荷俘获组件700包括金属层710。金属层710可以由诸如铜、钨等金属制成。可替代地,金属层710可以包括根据这里描述的方法沉积的层。如图7所示,金属层710可以衬有衬里720。衬里可以提高粘附性和/或可以防止或至少最小化金属(例如铜或钨)从金属层710向外扩散。有利地,衬里720包括通过这里描述的方法沉积的层。接触和电荷俘获组件700包括电荷俘获层740。电荷俘获层740位于两个介电层730、750之间。电荷俘获层可以包括导电层,例如氮化硅。另外或可替代地,电荷俘获层可以包括通过这里描述的方法沉积的层。一个介电层730与衬里720相邻。该介电层730可以例如包括高k材料。例如,高k电介质可以选自包括以下的列表:氧化铪(HfO2)、氧化钽(Ta2O5)、氧化钒(VO2)、氧化铌(Nb2O5)、氧化锆(ZrO2)、氧化钛(TiO2)、氧化铝(Al2O3)、氧化镧(La2O3)及其混合物/叠层。其他示例性高k电介质包括硅酸盐,例如硅酸铪(HfSiOx)、硅酸镧(LaSiOx)、硅酸钛(TiSiOx)和硅酸铥(TmSiOx)等。在VNAND存储器架构的适当配置中,另一介电层750可以用作隧道层,并且可以与例如掺杂多晶硅的沟道层(未示出)相邻。
图8示出了根据本公开示例的另一结构800。这种结构800适用于环绕栅极场效应晶体管(GAA FET)(也称为横向纳米线FET)器件等。
在所示示例中,结构800包括半导体材料802、介电材料804、通过这里描述的方法形成的层806和导电层808。结构800可以覆盖衬底形成。如图所示,通过这里描述的方法形成的层806可以位于导电层808和介电材料806之间。可替代地,通过这里描述的方法形成的层806可以位于导电层808内部(实施例未示出)。
半导体材料802可以包括任何合适的半导体材料。例如,半导体材料802可以包括IV族、III-V族或II-VI族半导体材料。举例来说,半导体材料802可以包括硅。
介电材料804可以与本文别处描述的电介质层(例如高k电介质)相同或相似。
在示出本文所述方法的可行性的说明性实验中,在使衬底经受氮等离子体30秒后,通过本文所述的循环沉积过程沉积金属氮化物。当使衬底经受氮等离子体时,等离子体气体由Ar、N2和He构成,衬底保持在300℃的温度,反应室保持在5托的压力。具体而言,将1.8slm的Ar、0.8slm的N2和0.2slm的He提供给反应室,同时使衬底经受氮等离子体。在两个不同的实验中,使用了150W和300W的等离子体功率。然后,使用由交替暴露钛前体和氮反应物的68个子循环构成的循环沉积过程,在衬底上沉积氮化钛。TiCl4用作钛前体,NH3用作氮反应物。
Figure BDA0003572342830000161
TiN生长在未暴露于氮等离子体的参考样品上。
Figure BDA0003572342830000162
TiN生长在暴露于150W氮等离子体的样品上,
Figure BDA0003572342830000163
TiN生长在暴露于300W氮等离子体的样品上。因此,通过含N2的等离子体可以有效地抑制TiN的生长。本发明不受任何特定理论或操作模式的限制,据信当前公开的方法允许以自下而上的方式填充间隙,因为当包括这种间隙特征的衬底经受含氮等离子体(比如其中等离子体气体包括N2的等离子体)时,间隙特征的近侧表面比间隙特征的远侧表面受到更多抑制。
这里描述的本公开的示例实施例不限制本发明的范围,因为这些实施例仅仅是本发明实施例的示例,本发明的范围由所附权利要求及其法律等同物来限定。任何等同的实施例都在本发明的范围内。实际上,除了在此示出和描述的那些之外,本公开的各种修改比如所描述的元件的可替换的有用组合对于本领域技术人员来说从描述中会变得显而易见。这种修改和实施例也旨在落入所附权利要求的范围内。
在本公开中,在没有指定条件和/或结构的情况下,鉴于本公开,本领域技术人员可以容易地提供这样的条件和/或结构,作为常规实验。

Claims (20)

1.一种用于填充间隙特征的方法,该方法以给定顺序包括:
-将衬底定位在反应室中的衬底支撑件上的步骤,该衬底包括包含一个或多个间隙特征的衬底表面,该一个或多个间隙特征包括包含近侧表面的近侧部分和包含远侧表面的远侧部分;
-对衬底进行等离子体处理的步骤,从而相对于远侧表面选择性地抑制近侧表面;以及
-在远侧表面上选择性地沉积金属氮化物的步骤。
2.根据权利要求1所述的方法,其中,执行多个超级循环,超级循环包括对衬底进行等离子体处理的步骤,以及在所述远侧表面上选择性地沉积金属氮化物的步骤。
3.根据权利要求1或2所述的方法,其中,等离子体预处理包括将衬底暴露于氮等离子体。
4.根据权利要求1至3中任一项所述的方法,其中,在所述远侧表面上选择性地沉积金属氮化物的步骤包括循环过程,该循环过程包括多个子循环,子循环以给定顺序包括:
-将衬底暴露于金属前体的步骤,从而在远侧表面上形成化学吸附的金属前体;以及
-将衬底暴露于氮反应物的步骤;
由此允许氮反应物与化学吸附的金属前体反应,从而在远侧表面上形成金属氮化物。
5.根据权利要求4所述的方法,其中,将衬底暴露于金属前体的步骤和将衬底暴露于氮反应物的步骤由子循环内吹扫分开。
6.根据权利要求4或5所述的方法,其中,后续子循环由子循环间吹扫分开。
7.根据权利要求4至6中任一项所述的方法,其中,所述金属包括过渡金属。
8.根据权利要求5所述的方法,其中,所述过渡金属包括钛。
9.根据权利要求4至8中任一项所述的方法,其中,所述金属前体包含一种或多种烷基胺配体。
10.根据权利要求4至9中任一项所述的方法,其中,所述金属前体具有通式M(NR2)n,其中,M是金属,R是直链或支链C1至C4烷基,并且n是至少2到至多5的整数。
11.根据权利要求10所述的方法,其中,R是甲基。
12.根据权利要求10或11所述的方法,其中,n是4。
13.根据权利要求4至8中任一项所述的方法,其中,所述金属前体包括金属卤化物。
14.根据权利要求13所述的方法,其中,所述金属卤化物包括氯化物。
15.根据权利要求14所述的方法,其中,所述金属卤化物包括TiCl4
16.根据权利要求4至15中任一项所述的方法,其中,所述氮反应物包括NH3
17.根据权利要求1至16中任一项所述的方法,其中,所述衬底保持在至少50℃到至多300℃的温度下。
18.根据权利要求1至17中任一项所述的方法,其中,在所述远侧表面上选择性地沉积材料的步骤以至少
Figure FDA0003572342820000021
子循环到至多
Figure FDA0003572342820000022
子循环的生长速率进行。
19.根据权利要求1至18中任一项所述的方法,其中,对所述衬底进行等离子体处理的步骤和在所述远侧表面上选择性地沉积金属氮化物的步骤由后等离子体吹扫分开。
20.一种半导体处理设备,包括:
-反应室,其包括用于支撑包含一个或多个间隙特征的衬底的衬底支撑件;
-加热器,其构造和布置成加热反应室中的衬底;
-等离子体气体源,其经由等离子体阀与反应室流体连通;
-等离子体模块,其包括构造和布置成在反应室中产生等离子体的射频功率源;
-金属前体源,其经由一个或多个金属前体阀与反应室流体连接;
-氮反应物源,其经由一个或多个氮反应物阀与反应室流体连接;以及
-控制器,其配置用于使该设备执行根据权利要求1至19中任一项所述的方法。
CN202210328597.7A 2021-04-02 2022-03-30 用于填充间隙的方法以及相关系统和器件 Pending CN115206760A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163170127P 2021-04-02 2021-04-02
US63/170,127 2021-04-02

Publications (1)

Publication Number Publication Date
CN115206760A true CN115206760A (zh) 2022-10-18

Family

ID=83448273

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210328597.7A Pending CN115206760A (zh) 2021-04-02 2022-03-30 用于填充间隙的方法以及相关系统和器件

Country Status (4)

Country Link
US (1) US20220319855A1 (zh)
KR (1) KR20220137547A (zh)
CN (1) CN115206760A (zh)
TW (1) TW202246575A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220344355A1 (en) * 2021-04-23 2022-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device gate structure and methods thereof
US20220389580A1 (en) * 2021-06-08 2022-12-08 Applied Materials, Inc. Non-conformal plasma induced ald gapfill

Also Published As

Publication number Publication date
US20220319855A1 (en) 2022-10-06
KR20220137547A (ko) 2022-10-12
TW202246575A (zh) 2022-12-01

Similar Documents

Publication Publication Date Title
US20210328036A1 (en) Method for forming a doped metal carbide film on a substrate and related semiconductor device structures
TWI730987B (zh) NbMC層
US7972977B2 (en) ALD of metal silicate films
US7795160B2 (en) ALD of metal silicate films
US7405453B2 (en) Incorporation of nitrogen into high k dielectric film
US8481395B2 (en) Methods of forming a dielectric containing dysprosium doped hafnium oxide
US7405482B2 (en) High-k dielectric film, method of forming the same and related semiconductor device
US20220319855A1 (en) Methods for filling a gap and related systems and devices
US9330901B2 (en) Nitrogen-containing oxide film and method of forming the same
US11887857B2 (en) Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US20220285146A1 (en) Methods and systems for forming a layer comprising vanadium and nitrogen
US20230015690A1 (en) Methods and systems for forming a layer comprising a transitional metal and a group 13 element
CN115044886A (zh) 用于形成包含铝、钛和碳的层的方法和系统
CN114628232A (zh) 形成用于阈值电压控制的结构的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination