CN115132616A - 基板处理装置、示教信息生成方法、示教套件和基板夹具 - Google Patents

基板处理装置、示教信息生成方法、示教套件和基板夹具 Download PDF

Info

Publication number
CN115132616A
CN115132616A CN202210303673.9A CN202210303673A CN115132616A CN 115132616 A CN115132616 A CN 115132616A CN 202210303673 A CN202210303673 A CN 202210303673A CN 115132616 A CN115132616 A CN 115132616A
Authority
CN
China
Prior art keywords
substrate
hand
substrate holding
teaching
teaching information
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210303673.9A
Other languages
English (en)
Inventor
北岸大一
堀口博司
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Screen Holdings Co Ltd
Original Assignee
Screen Holdings Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Screen Holdings Co Ltd filed Critical Screen Holdings Co Ltd
Publication of CN115132616A publication Critical patent/CN115132616A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Supply And Installment Of Electrical Components (AREA)
  • Manipulator (AREA)

Abstract

本发明提供一种基板处理装置、示教信息生成方法、示教套件和基板夹具。在基板处理装置中,以利用位于基板保持部上方的手来保持下表面设置有以非直线状配置的三个光电传感器的基板夹具的状态,通过基板旋转机构使基板保持部进行旋转,从而形成预先设置在基板保持部上的标记的圆周状的旋转轨迹。示教部基于由三个光电传感器各自得到的相对于旋转轨迹的相对位置,算出手相对于基板保持部的俯视下的相对位置,生成表示手和基板保持部的俯视下的相对位置关系的水平示教信息。由此,能够简化示教信息的生成处理。

Description

基板处理装置、示教信息生成方法、示教套件和基板夹具
本申请主张2021年3月24日提出的日本专利申请JP2021-049840的优先权,并将其全部公开内容引入本申请。
技术领域
本发明涉及一种在基板处理装置中生成示教信息的技术,该示教信息示教搬运机器人的基板搬运位置。
背景技术
以往,在对基板进行处理的基板处理装置中,将FOUP等所收纳的基板通过转位机器人搬出并传递至中央机器人,之后搬入到处理单元中实施各种处理。在这样的基板处理装置中,虽然预先设定了利用中央机器人等搬运机器人来搬运基板的搬运路径,但是必须实际地移动搬运机器人来示教基板相对于处理单元的搬入搬出等、具体的搬运位置。这种示教处理例如在基板处理装置启动时、或者分解维护后再次使用之前进行。
例如,关于日本特开2001-156153号公报(文献1)和日本特开2006-332543号公报(文献2)所述的示教处理,在处理单元的基板保持部上保持示教用的目标夹具,利用搬运机器人所保持的光传感器来检测从该目标夹具的中央向上方突出的突起部(即被检测部),从而检测基板保持部与搬运机器人的相对位置。并且,重复进行搬运机器人的移动,以使得该相对位置成为设定值,来调整搬运机器人相对于基板保持部的相对位置。
另外,关于文献1和文献2所述的示教处理,需要在对搬运机器人进行驱动之前,先高精度地调整目标夹具相对于基板保持部的相对位置,并使目标夹具保持于基板保持部。因此,进行目标夹具的位置调整需要耗费较多时间和人工。此外,还需要在基板处理装置的多个处理单元中,各自分别地进行目标夹具的位置调整,从而导致上述的示教处理非常地耗费时间和人工。
发明内容
本发明面向基板处理装置,目的在于简化示教信息的生成处理。
本发明的优选的一方案的基板处理装置具备:处理部,其对基板实施预定的处理;搬运机器人,其向所述处理部搬运所述基板;以及示教部,其向所述搬运机器人示教所述处理部中的所述搬运机器人的基板搬运位置。所述处理部具备:基板保持部,其将所述基板以水平状态保持;以及基板旋转机构,其使所述基板保持部以朝向上下方向的旋转轴为中心旋转。所述搬运机器人具备手,该手在与所述基板保持部之间进行所述基板的传递。在利用位于所述基板保持部的上方的所述手,对在下表面设置有以非直线状配置的三个光学传感器的基板夹具进行保持的状态下,通过所述基板旋转机构使所述基板保持部进行旋转,从而形成预先设置在所述基板保持部上的标记的圆周状的旋转轨迹。所述示教部基于由所述三个光学传感器分别得到的相对于所述旋转轨迹的相对位置,算出所述手相对于所述基板保持部的俯视下的相对位置,生成表示所述手和所述基板保持部的俯视下的适当的相对位置关系的水平示教信息。
根据本发明,能够简化示教信息的生成处理。
优选,所述基板保持部是利用以周状配置的多个卡销将所述基板的外周部以机械方式保持的机械卡盘。所述标记是所述多个卡销中的一个以上的卡销。
优选,所述基板保持部是在上表面设置有多个抽吸口来吸附保持所述基板的真空卡盘。所述标记是所述多个抽吸口中的一个以上的抽吸口。
优选,基于由所述示教部算出的所述手相对于所述基板保持部的俯视下的相对位置,以所述基板夹具的中心与所述旋转轴在俯视下重叠的方式使所述手移动。通过所述基板旋转机构使所述基板保持部旋转,从而再次形成所述标记的所述旋转轨迹。所述示教部在再次形成所述旋转轨迹之后,基于由所述三个光学传感器分别得到的相对于所述旋转轨迹的相对位置,再次算出所述手相对于所述基板保持部的俯视下的相对位置,生成所述水平示教信息。
优选,所述搬运机器人还具备另一手,该另一手位于所述手的下方并在与所述基板保持部之间进行所述基板的传递。所述示教部用与关于所述手的所述水平示教信息相同的方法生成关于所述另一手的另一水平示教信息。
优选,在所述基板夹具的所述下表面设置有接触传感器,该接触传感器检测与所述基板保持部的接触。所述搬运机器人使所述手相对于所述基板保持部在上下方向上接近。所述示教部基于来自所述接触传感器的输出,生成表示所述手和所述基板保持部的上下方向上的适当的相对位置关系的上下示教信息。
优选,所述基板保持部是利用以周状配置的多个卡销将所述基板的外周部以机械方式保持的机械卡盘。所述接触传感器具备多个传感器元件,该多个传感器元件以周状配置在所述基板夹具的所述下表面的外周部。
优选,所述处理部还具备外壳,该外壳将所述基板保持部收纳于内部并且具有用于搬入所述基板的开口。在将所述手插入所述外壳的所述开口时,取得表示所述手和所述开口的相对位置的拍摄图像。所述示教部基于所述拍摄图像,生成表示所述手和所述开口的适当的相对位置关系的搬入示教信息。
优选,所述拍摄图像是利用设置于所述手或所述基板夹具的摄像机拍摄的所述开口的图像。
本发明的优选的另一方案的基板处理装置具备:处理部,其对基板实施预定的处理;搬运机器人,其向所述处理部搬运所述基板;以及示教部,其向所述搬运机器人示教所述处理部中的所述搬运机器人的基板搬运位置。所述处理部具备:基板保持部,其将所述基板以水平状态保持;以及基板旋转机构,其使所述基板保持部以朝向上下方向的旋转轴为中心旋转。所述搬运机器人具备手,该手在与所述基板保持部之间进行所述基板的传递。在所述处理部中,所述基板保持部以外的旋转部也以所述旋转轴为中心旋转。在利用位于所述基板保持部的上方的所述手,对设置有以非直线状配置的三个光学传感器的基板夹具进行保持的状态下,使所述旋转部旋转,从而形成预先设置在所述旋转部上的标记的圆周状的旋转轨迹。所述示教部基于由所述三个光学传感器分别得到的相对于所述旋转轨迹的相对位置,算出所述手相对于所述旋转轴的俯视下的相对位置,生成表示所述手和所述基板保持部的俯视下的适当的相对位置关系的水平示教信息。
本发明也提供一种示教信息生成方法,在基板处理装置中生成示教处理部中的搬运机器人的基板搬运位置的示教信息,该基板处理装置具备对基板实施预定处理的所述处理部、以及向所述处理部搬运所述基板的所述搬运机器人。所述处理部具备:基板保持部,其将所述基板以水平状态保持;以及基板旋转机构,其使所述基板保持部以朝向上下方向的旋转轴为中心旋转。所述搬运机器人具备手,该手在与所述基板保持部之间进行所述基板的传递。本发明的优选的一方案的示教信息生成方法具备:a)利用所述手将在下表面设置有以非直线状配置的三个光学传感器的基板夹具保持的工序;b)通过所述基板旋转机构使所述基板保持部旋转,从而形成预先设置在所述基板保持部上的标记的圆周状的旋转轨迹的工序;以及c)基于由位于所述基板保持部的上方的所述基板夹具的所述三个光学传感器分别得到的相对于所述旋转轨迹的相对位置,算出所述手相对于所述基板保持部的俯视下的相对位置,生成表示所述手和所述基板保持部的俯视下的适当的相对位置关系的水平示教信息的工序。
本发明也提供一种示教套件,其在基板处理装置中向搬运机器人示教处理部中的所述搬运机器人的基板搬运位置时使用,该基板处理装置具备对基板实施预定处理的所述处理部、以及向所述处理部搬运所述基板的所述搬运机器人。所述处理部具备:基板保持部,其将所述基板以水平状态保持;以及基板旋转机构,其使所述基板保持部以朝向上下方向的旋转轴为中心旋转。所述搬运机器人具备手,该手在与所述基板保持部之间进行所述基板的传递。本发明的优选的一方案的示教套件具备:基板夹具,其在下表面设置有以非直线状配置的三个光学传感器;以及存储介质,其存储有生成水平示教信息的程序,该水平示教信息表示所述手和所述基板保持部的俯视下的适当的相对位置关系。在利用位于所述基板保持部的上方的所述手保持所述基板夹具的状态下,通过所述基板旋转机构使所述基板保持部旋转,从而形成预先设置在所述基板保持部上的标记的圆周状的旋转轨迹。通过计算机执行所述程序,从而基于由所述三个光学传感器分别得到的相对于所述旋转轨迹的相对位置,算出所述手相对于所述基板保持部的俯视下的相对位置,生成所述水平示教信息。
本发明也提供一种基板夹具,其在基板处理装置中生成示教处理部中的搬运机器人的基板搬运位置的示教信息时使用,该基板处理装置具备对基板实施预定处理的所述处理部、以及向所述处理部搬运所述基板的所述搬运机器人。本发明的优选的一方案的基板夹具具备:大致圆板状的夹具主体;以及三个光学传感器,其以非直线状配置在所述夹具主体的下表面。所述处理部具备:基板保持部,其将所述基板以水平状态保持;以及基板旋转机构,其使所述基板保持部以朝向上下方向的旋转轴为中心旋转。所述搬运机器人具备手,该手在与所述基板保持部之间进行所述基板的传递。所述示教信息的生成具备:a)利用所述手保持所述基板夹具的工序;b)通过所述基板旋转机构使所述基板保持部旋转,从而形成预先设置在所述基板保持部上的标记的圆周状的旋转轨迹的工序;以及c)基于由位于所述基板保持部的上方的所述基板夹具的所述三个光学传感器分别得到的相对于所述旋转轨迹的相对位置,算出所述手相对于所述基板保持部的俯视下的相对位置,生成表示所述手和所述基板保持部的俯视下的适当的相对位置关系的水平示教信息的工序。
优选,所述基板夹具还具备接触传感器,该接触传感器设置于所述夹具主体的所述下表面并检测与所述基板保持部的接触。所述示教信息的生成还具备:d)在所述c)工序之后,使所述手相对于所述基板保持部在上下方向上接近,并基于来自所述接触传感器的输出,生成表示所述手和所述基板保持部的上下方向上的适当的相对位置关系的上下示教信息的工序。所述基板保持部是利用以周状配置的多个卡销将所述基板夹具的外周部以机械方式保持的机械卡盘。所述接触传感器在所述夹具主体的所述下表面的外周部配置为避开与所述手接触的位置。
对于上述的目的和其它目的、特征、方式和优点,可以通过以下参照附图对本发明进行的具体说明来了解。
附图说明
图1是一实施方式的基板处理装置的俯视图。
图2是表示基板处理装置内部的正视图。
图3是将搬运臂的手附近放大表示的俯视图。
图4是表示处理单元的一例的图。
图5是基板保持部的俯视图。
图6是表示计算机的结构的图。
图7是表示通过计算机实现的功能的框图。
图8是表示示教信息的生成流程的图。
图9是基板夹具的仰视图。
图10是基板保持部的俯视图。
图11是用于说明水平示教信息的获取方式的一例的图。
图12是用于说明水平示教信息的获取方式的一例的图。
图13是另一基板夹具的仰视图。
图14是另一基板保持部的俯视图。
图15是另一基板保持部的俯视图。
图中:
1—基板处理装置;7—基板夹具;8—计算机;9—基板;21—处理单元;22—中央机器人;31—外壳;32、32a—基板保持部;33—基板旋转机构;34—杯部;63—示教部;70—(基板夹具的)中心;71—(基板夹具的)下表面;72—光电传感器;74—接触传感器;75—摄像机;80—存储介质;89—程序;226—手;311—开口;323—卡销;324—旋转轨迹;325—抽吸口;741—传感器元件;J1—旋转轴;S11~S15—步骤。
具体实施方式
图1是本发明一实施方式的基板处理装置1的俯视图。图2是从图1的II-II线观察基板处理装置1的图。此外,在以下参照的各图中适当附加了以Z轴方向为铅垂方向(即上下方向)并以XY平面为水平面的XYZ正交坐标系。另外,在图2中省略了基板处理装置1的(+X)侧的一部分的图示。
基板处理装置1对多个大致呈圆板状的半导体基板9(以下简称为“基板9”)连续进行处理的装置。在基板处理装置1中例如进行向基板9供给处理液的液体处理。
基板处理装置1具备:多个载具台11、转位区块10、处理区块20、载置单元40、以及计算机8。转位区块10和处理区块20也分别被称为转位单元和处理单元。另外,转位区块10也被称为Equipment Front End Module(EFEM;设备前端模块)单元等。在图1所示例中,从(-X)侧朝向(+X)侧,依次相邻配置有:多个(例如三个)载具台11、转位区块10和处理区块20。
多个载具台11沿着转位区块10的(-X)侧的侧壁配置排列于Y方向。多个载具台11分别为载置载具95的载置台。载具95能够收纳多个圆板状的基板9。在转位区块10的(-X)侧的侧壁上与各载具台11上的载具95对应的位置设置有开口部。在该开口部设置有载具用闸门,在进行基板9相对于载具95的搬入搬出时,开闭该载具用闸门。
通过OHT(Overhead Hoist Transport;上方悬挂搬运)等使收纳有多个未处理的基板9的载具95从基板处理装置1的外部搬入各载具台11并载置。另外,结束了在处理区块20中的处理的处理后的基板9被再次收纳于载具台11所载置的载具95。收纳有处理后的基板9的载具95通过OHT等被搬出至基板处理装置1的外部。即,载具台11作为对未处理的基板9和处理后的基板9进行积存的基板积存部发挥功能。
载具95例如是将基板9收纳于密闭空间的FOUP(Front Opening Unified Pod;前开式晶圆搬运盒)。载具95不限于FOUP,例如也可以是SMIF(Standard Mechanical InterFace;标准机械接口)吊舱、或者是将收纳的基板9暴露于外部大气的OC(敞开盒)。另外,载具台11的数量可以是一个,也可以为两个以上。
转位区块10从载具95接收未处理的基板9并向处理区块20传递。另外,转位区块10接收从处理区块20搬出的处理后的基板9并向载具95搬入。在转位区块10的内部空间100配置有转位机器人12,该转位机器人12进行基板9向载具95的搬入搬出。
转位机器人12具备:两个搬运臂121a、121b、臂载台122、以及可动台123。两个搬运臂121a、121b搭载于臂载台122。可动台123与滚珠丝杠124螺合,该滚珠丝杠124与多个载具台11的配置排列方向平行地(即沿着Y方向)延伸,且设置为相对于两个导轨125自由滑动。当滚珠丝杠124通过省略图示的旋转马达进行旋转时,则包含可动台123在内的转位机器人12整体沿着Y方向水平地移动。
臂载台122搭载于可动台123上。可动台123内置有:使臂载台122绕朝向上下方向(即Z方向)的旋转轴旋转的马达(省略图示)、以及使臂载台122沿着上下方向移动的马达(省略图示)。搬运臂121a、121b上下分离地配置在臂载台122上。
在搬运臂121a、121b的前端分别设置有俯视大致呈U字状的手126。手126例如具备:在宽度方向上扩展的基部、以及从该基部的宽度方向两端部起与垂直于宽度方向的长度方向大致平行地延伸的两个爪部。搬运臂121a、121b各自利用手126支撑一张基板9的下表面。在手126上设置有省略图示的移动限制机构,能够高精度地固定基板9相对于手126的相对位置。该移动限制机构例如可以是与基板9的侧缘接触而以机械方式限制基板9的位置的多个凸部等,也可以是对基板9的下表面进行吸附的多个抽吸口。
搬运臂121a、121b通过臂载台122所内置的驱动机构(省略图示)使多关节机构进行屈伸,从而沿着水平方向(即,以臂载台122的旋转轴为中心的径向)彼此独立地进行移动。换言之,手126以自由进退、自由升降并且自由旋转的方式设置于转位机器人12。此外,转位机器人12中的搬运臂的数量可以为一个,也可以为三个以上。
转位机器人12使利用手126保持基板9的搬运臂121a、121b各自分别到达载具台11所载置的载具95和载置单元40,从而在载具95和载置单元40之间搬运基板9。转位机器人12中的上述移动机构不限于上述例子,也可以是其它机构。例如,作为使搬运臂121a、121b移动于上下方向的机构,也可以采用使用带轮和正时皮带的带式进给机构等。
在处理区块20中设置有:用于搬运基板9的搬运路23、和在搬运路23的周围配置的多个处理单元21。在图1所示例中,搬运路23在处理区块20的Y方向的中央延伸于X方向。在搬运路23的内部空间230配置有中央机器人22,该中央机器人22进行基板9向各处理单元21的搬入搬出。
中央机器人22具备:两个搬运臂221a、221b、臂载台222、以及基座223。两个搬运臂221a、221b搭载于臂载台222。基座223在处理区块20的框架上固定。因此,中央机器人22的基座223在水平方向和上下方向上不移动。此外可以是,中央机器人22的基座223例如能够在水平方向上移动。
臂载台222搭载于基座223上。在基座223中内置有:使臂载台222绕朝向上下方向的旋转轴进行旋转的马达(省略图示)、和使臂载台222沿着上下方向进行移动的马达(省略图示)。搬运臂221a、221b上下分离地配置在臂载台222上。
在搬运臂221a、221b的前端分别设置有俯视大致呈U字状的手226。图3是将搬运臂221a的手226附近放大表示的俯视图。搬运臂221b的手226也具有与图3所示结构相同的结构。图3例示的手226具备:在宽度方向上扩展的基部227、以及从该基部227的宽度方向两端部起与垂直于宽度方向的长度方向大致平行地延伸的两个爪部228。在手226上设置有省略图示的移动限制机构,能够高精度地固定基板9相对于手226的相对位置。该移动限制机构例如可以是与基板9的侧缘接触而以机械方式限制基板9的位置的多个凸部等,也可以是对基板9的下表面进行吸附的多个抽吸口。
搬运臂221a、221b分别通过手226保持一张基板9。在图3中,×标记所示的位置是手226的中心位置即手中心位置220。手中心位置220是位于两个爪部228之间的虚拟点。就手中心位置220而言,在利用手226将基板9保持于设计位置(即,在图3中以双点划线表示的位置)的情况下,俯视观察时,是基板9的中心所在位置。
搬运臂221a、221b通过臂载台222所内置的驱动机构(省略图示)使多关节机构进行屈伸,从而沿着水平方向(即,以臂载台222的旋转轴为中心的径向)彼此独立地进行移动。换言之,手226以自由进退、自由升降并且自由旋转的方式设置于中央机器人22。此外,中央机器人22中的搬运臂的数量可以为一个,也可以为三个以上。
中央机器人22是使利用手226保持基板9的搬运臂221a、221b各自分别到达载置单元40和多个处理单元21,从而在载置单元40和处理单元21之间搬运基板9的搬运机器人。中央机器人22中的上述移动机构不限于上述例子,也可以是其它机构。例如,作为使搬运臂221a、221b移动于上下方向的机构,也可以采用使用带轮和正时皮带的带式进给机构等。
各处理单元21是对基板9实施预定处理的处理部。在图1和图2所示例中,在处理区块20中设置有十二个处理单元21。具体而言,俯视观察时,层叠于Z方向的三个处理单元21的组在中央机器人22的周围配置有四组。处理区块20中设置的处理单元21的数量可以在一个以上的范围内进行多种变更。
载置单元40设置于转位区块10和处理区块20的连接部。如上所述,转位机器人12和中央机器人22能够到达载置单元40。载置单元40经由配置中央机器人22的搬运路23与多个处理单元21连接。
转位机器人12将从载具95搬出的未处理的基板9载置于载置单元40。中央机器人22从载置单元40搬出未处理的基板9并向处理单元21搬入。另外,中央机器人22将从处理单元21搬出的处理后的基板9载置于载置单元40。转位机器人12从载置单元40搬出处理后的基板9并向载具95搬入。换言之,载置单元40保持:从转位机器人12向中央机器人22传递的未处理的基板9、和从中央机器人22向转位机器人12传递的处理后的基板9。
图4是表示处理单元21的一例的图。处理单元21具备:外壳31、基板保持部32、基板旋转机构33、杯部34、以及处理喷嘴35。基板保持部32、基板旋转机构33、杯部34和处理喷嘴35收纳在外壳31的内部。在外壳31的侧壁设置有开口311,该开口311用于利用中央机器人22(参照图1和图2)将基板9搬入内部。开口311能够开闭,且在进行基板9的搬入搬出时开放,并在进行基板9的处理时关闭。在处理单元21例如进行对基板9进行清洗处理等液体处理。
在图4所示例中,基板保持部32是将基板9以水平状态保持的机械卡盘。基板保持部32具备基座321、主轴322、以及多个卡销323。基座321是以朝向上下方向的旋转轴J1为中心的大致呈圆板状的部件。此外,虽然在以下的说明中,图4中的上下方向与上述的Z方向一致,但是并非必须一致。主轴322是以旋转轴J1为中心的大致呈圆筒状或者是大致呈圆柱状的部件。主轴322从基座321的下表面向下方延伸并与基板旋转机构33连接。
图5是表示基板保持部32的俯视图。如图4和图5所示,多个(例如六个)卡销323立设于基座321的上表面。多个卡销323在基座321的上表面的外周部呈周状配置。具体而言,多个卡销323配置在以旋转轴J1为中心的同一圆周上。多个卡销323例如在以旋转轴J1为中心的周向(以下也简称为“周向”)上大致以等角度间隔配置。多个卡销323与基板9的外周部直接地接触,将基板9的外周部以机械方式保持。在基板9被多个卡销323保持的状态下,基座321的上表面以从基板9的下表面向下方分离的状态与基板9的下表面在上下方向上对置。
基板旋转机构33以旋转轴J1为中心使基板保持部32旋转,从而使被基板保持部32保持的基板9旋转。基板旋转机构33例如是与基板保持部32的主轴322连接的电动马达。基板旋转机构33也可以是电动马达以外的旋转机构。基板旋转机构33收纳在配置于基板保持部32下方的罩壳331的内部。
处理喷嘴35从基板9的上方向基板9的上表面喷出处理液。在图4中省略了在基板9的上方支撑处理喷嘴35的结构的图示。杯部34是遍及整周围绕基板保持部32周围的大致呈圆筒状的部件。杯部34是接收从旋转中的基板9向周围飞散的处理液等的液杯。杯部34能够利用省略图示的杯升降机构在上下方向上移动。在对基板9进行处理时,杯部34如图4所示那样,在以旋转轴J1为中心的径向(以下也简称为“径向”)上配置于与基板9的侧缘对置的位置。另外,当在中央机器人22的手226与基板保持部32之间传递基板9时,杯部34从图4所示位置向下方移动。此外,虽然在图4所示例中,杯部34不进行旋转,但是也可以构成为,能够以旋转轴J1为中心进行旋转。
图6是表示计算机8的结构的图。计算机8是具备处理器81、存储器82、输入输出部83、总线84的普通计算机。总线84是将处理器81、存储器82和输入输出部83连接的信号电路。存储器82存储各种信息。存储器82例如将存储介质80中预先存储的用于生成示教信息的程序89读出并存储。对于示教信息的生成将在后面叙述。处理器81按照存储器82所存储的上述程序89等,来利用存储器82等并执行各种处理(例如数值计算)。输入输出部83具备:接受来自操作者的输入的键盘85和鼠标86、显示来自处理器81的输出等的显示器87、以及发送来自处理器81的输出等的发送部88。
图7是表示通过计算机8执行上述程序89而实现的功能的框图。作为通过计算机8实现的功能,基板处理装置1具备存储部61、驱动控制部62、以及示教部63。存储部61主要通过存储器82实现并存储来自后述的摄像机75的输出等各种信息。驱动控制部62主要通过处理器81和发送部88实现并向中央机器人22和处理单元21等各结构发送控制信号来控制该各结构。
关于利用中央机器人22进行的基板9向处理单元21的搬入搬出,示教部63将需通过中央机器人22搬运基板9的适当的位置(即基板搬运位置)向中央机器人22示教。示教部63生成表示处理单元21中的中央机器人22的基板搬运位置的示教信息。
该示教信息包含水平示教信息,该水平示教信息表示处理单元21中的中央机器人22的手226与基板保持部32的俯视下的适当的相对位置关系。另外,该示教信息包含上下示教信息,该上下示教信息表示处理单元21中的中央机器人22的手226与基板保持部32的上下方向上的适当的相对位置关系。此外,该示教信息包含搬入示教信息,该搬入示教信息表示中央机器人22的手226与处理单元21的外壳31的开口311的适当的相对位置关系。
水平示教信息在从中央机器人22的手226向基板保持部32传递基板9时、和手226从基板保持部32接收基板9时,用于使手226相对于基板保持部32位于俯视下的适当的相对位置。上下示教信息在从中央机器人22的手226相对于基板保持部32传递基板9时、和手226从基板保持部32接收基板9时,用于使手226相对于基板保持部32位于上下方向上的适当的相对位置。搬入示教信息在将中央机器人22的手226插入外壳31的开口311时、和从开口311离开时,用于使手226相对于开口311位于适当的相对位置(即,被手226保持的基板9不会与外壳31等发生碰撞的位置)。换言之,搬入示教信息是用于在通过中央机器人22的手226将基板9相对于外壳31搬入搬出时防止基板9的碰撞的信息。
接下来,参照图8对示教信息的生成流程进行说明。该示教信息的生成在不利用基板处理装置1对基板9进行处理时(例如夜间)自动地进行。该示教信息的生成相对于基板处理装置1的多个处理单元21依次进行。另外,该示教信息的生成相对于中央机器人22的多个手226依次进行。以下说明针对中央机器人22的上侧的搬运臂221a的手226的示教信息的生成。如后述那样,针对搬运臂221b的手226的示教信息也以同样的步骤生成。
关于该示教信息的生成,首先,图9所示的基板夹具7被图1和图2所示的中央机器人22的手226保持(步骤S11)。具体而言,通过驱动控制部62(参照图7)来控制中央机器人22,从而驱动搬运臂221a,将手226插入到在载置单元40附近配置的搁架700中。在搁架700内预先存储有一个以上的基板夹具7。手226将一个基板夹具7保持并从搁架700取出。此外,也可以是操作员等将基板夹具7载置到手226上。
图9是表示基板夹具7的下表面71(即,被手226保持的状态的下表面)的仰视图。基板夹具7例如是大致呈圆板状的部件。基板夹具7例如俯视呈与基板9大致为相同形状的大致圆形。基板夹具7的厚度优选为,比搬运臂221a的手226和搬运臂221b的手226之间的上下方向上的间隙(以下也称为“手间隙”)的高度薄。由此,在生成针对下侧的搬运臂221b的手226的示教信息时,能够利用该手226容易地保持基板夹具7。
基板夹具7具备:大致呈圆板状的夹具主体76、以及在夹具主体76的下表面71设置的三个光学传感器72。光学传感器72是光学透镜系统与光传感器组合而成的传感器。作为光学传感器72,例如能够利用光电传感器、或者是具有CMOS传感器、CCD传感器的摄像机等多种方式。在本实施方式中,采用光电传感器作为光学传感器72。在以下的说明中,也将附加符号72的结构称为“光电传感器72”。
三个光电传感器72不是在一直线上配置,而是配置于俯视下的三角形的三个顶点。换言之,三个光电传感器72呈非直线状配置。三个光电传感器72配置为使得由该三个光电传感器72构成的虚拟的三角形73的重心在俯视下与基板夹具7的中心70重叠。由三个光电传感器72构成的三角形73可以是正三角形、等腰三角形和直角三角形的任一种,也可以不是正三角形、等腰三角形和直角三角形的任一种。在本实施方式中,三角形73为正三角形。此外,在基板夹具7中,可以在下表面71设置四个以上的光电传感器72。在该四个以上的光电传感器72中,至少三个光电传感器72如上述那样呈非直线状配置。
在图9中以双点划线示出了与基板夹具7的下表面71接触并从下侧保持基板夹具7的手226。当基板夹具7被手226保持时,利用上述的移动限制机构,高精度地固定基板夹具7相对于手226的相对位置。在基板夹具7被手226保持的状态下,俯视观察时,基板夹具7的中心70与手226的手中心位置220重叠。另外,三个光电传感器72俯视观察时配置为,避开了手226的两个爪部228且不与手226重叠。各光电传感器72能够检测位于比手226靠下方的检测对象物。
各光电传感器72是反射型光电传感器(即光电反射器)。如后述那样,在本实施方式中,光电传感器72的检测对象物是从基板保持部32的基座321向上方突出的卡销323(参照图4和图5)。光电传感器72优选是能够仅检测预定的距离范围内的物体的限定反射型的光电传感器。此外,光电传感器72也可以是限定反射型以外的类型的光电传感器。
基板夹具7如后述那样,在向处理单元21搬入之后,从手226向基板保持部32传递,且被基板保持部32保持。在基板夹具7的下表面71设置有检测与基板保持部32的接触的接触传感器74。接触传感器74在基板9的下表面71的外周部呈周状设置。接触传感器74位于三个光电传感器72的径向外侧,且围绕在三个光电传感器72的周围。在图9所示例中,接触传感器74具备多个(例如十六个)传感器元件741。多个传感器元件741在基板夹具7的下表面71的外周部,以基板夹具7的中心70为中心呈周状配置。多个传感器元件741例如在周向上大致以等角度间隔配置。作为传感器元件741,例如可以采用压力传感器、压电传感器或者是电容式传感器等。
例如通过基板夹具7所内置的薄型电池来进行向上述的光电传感器72和接触传感器74的供电。或者,也可以从在基板夹具7外部配置的外部电源,以有线或无线的方式向光电传感器72和接触传感器74供电。来自光电传感器72和接触传感器74的输出向计算机8发送。
在保持基板夹具7的手226上设置有摄像机75。摄像机75例如可以利用卡箍件等在手226上的预定的位置安装为可自由装卸,也可以是在手226上的预定的位置固定为不能装卸。在图9所示例中,摄像机75设置于手226的基部227的上表面,并对手226的前方(即,爪部228从基部227延伸的方向)进行拍摄。此外,也可以利用摄像机75一并对手226上的基板夹具7进行拍摄。由摄像机75拍摄的拍摄图像被发送至计算机8并存储于存储部61。作为摄像机75,例如可以采用CMOS传感器或者是CCD传感器。摄像机75例如也可以设置于基板夹具7的上表面等。
在步骤S11中用手226保持基板夹具7后,则利用驱动控制部62(参照图7)控制中央机器人22,从而驱动搬运臂221a,手226朝向一个处理单元21的开口311(参照图4)移动。当手226接近开口311时,则利用摄像机75对开口311和外壳31的开口311周围的部位进行拍摄,并将获得的拍摄图像向计算机8发送。
在计算机8中,通过示教部63基于该拍摄图像(即开口311的图像)、和预先在存储部61中存储的手226上的摄像机75的固定位置,求出手226相对于开口311的相对位置。即,拍摄图像是表示手226和开口311的相对位置的图像。在示教部63中,基于手226相对于开口311的相对位置,判断被手226保持的预定的基板9是否不与外壳31碰撞而能够插入开口311。
并且,当手226插入开口311时,在判断为基板9不与外壳31碰撞的情况下,取得当前的手226的位置。另一方面,在判断为存在基板9与外壳31碰撞的可能性的情况下,以避免基板9与外壳31碰撞的方式来调整手226相对于开口311的相对位置,取得调整后的手226的位置。之后,在手226插入开口311之前重复进行:进一步使手226接近开口311,并根据需要来调整手226的位置,取得手226的位置。并且,基于获得的手226的多个位置,生成上述的搬入示教信息(步骤S12)。具体而言,将手226的通过该多个位置的手226的移动路径作为搬入示教信息。该搬入示教信息被存储于计算机8,并用于基板处理装置1对基板9进行处理时。由此,在基板9被搬入处理单元21时,能够防止基板9与外壳31碰撞。
在通过步骤S12生成的搬入示教信息中,除了手226通过开口311时的移动路径之外,也可以包含直至外壳31内最深位置(即,手226能够到达的距离开口311最远的位置)的移动路径。此时,在手226插入开口311之后,与上述大致同样地重复进行:手226的移动、表示手226与卡销323的相对位置的拍摄图像的取得、以及根据需要进行的手226位置的调整,在手226到达最深位置的时点生成搬入示教信息。由此,当基板9被搬入处理单元21时,也能够防止基板9与卡销323碰撞。
另外,通过步骤S12生成的搬入示教信息被用于将基板9从外壳31内搬出时,使基板9在搬入时的路径上反向移动,从而防止基板9与卡销323及外壳31等的碰撞。此外,在基板9的搬出时也可以与上述大致同样地重复进行:手226的移动、表示手226与卡销323或者外壳31的相对位置的拍摄图像的取得、以及根据需要进行的手226位置的调整,生成搬入示教信息。
当生成搬入示教信息时,利用驱动控制部62来控制中央机器人22,从而使被手226保持的基板夹具7水平地移动,到达基板保持部32的大致铅垂上方的位置。此时,基板夹具7的中心70如图10所示那样,俯视观察时,配置于基板保持部32的旋转轴J1附近(即,在设计上应当与旋转轴J1重叠的位置)。此外,在基板保持部32上没有保持基板9或其它物体(例如,示教用的目标夹具等)。
图10是表示基板保持部32的俯视图。在图10中为了使图容易理解,以使得基板夹具7的中心70与基板保持部32的旋转轴J1的偏移量比实际大的方式进行了描绘。另外,在图10中以双点划线一并示出了:位于基板保持部32上方的基板夹具7、三个光电传感器72、和由三个光电传感器72形成的虚拟的三角形73。三角形73的重心如上所述,在俯视观察时,基板夹具7的中心70和手中心位置220(参照图9)重叠。在图10所示例中,基板夹具7的中心70从旋转轴J1稍向左斜下方偏移。但是,基板夹具7的中心70从旋转轴J1偏移的量在当前时点并不明确。在以下的说明中,也将图10所示的基板夹具7的位置称为“初始位置”。
接下来,进行使基板夹具7的中心70与旋转轴J1在俯视下高精度地一致的处理。首先,按照驱动控制部62的控制来驱动基板旋转机构33,基板保持部32以旋转轴J1为中心按照预定的旋转速度持续地进行旋转。由此,利用在基板保持部32上预先设置的标记即多个卡销323,形成虚拟的圆周状的旋转轨迹324(步骤S13)。如上所述,多个卡销323配置在以旋转轴J1为中心的同一圆周上,旋转轨迹324是该多个卡销323进行旋转而形成的轨迹。此外,旋转轨迹324例如是卡销323的上端的销部(即,在对基板9进行处理时与基板9的外缘部直接地接触的部位)的旋转轨迹。当该销部能够在径向上移动时,旋转轨迹324例如是位于径向的最外侧的状态的销部的旋转轨迹。旋转轨迹324也可以是卡销323的销部以外的部位的旋转轨迹。另外,旋转轴J1与卡销323之间的距离(即旋转轨迹324的半径)在设计上已知,但是严格地讲视为不明确。
此外,形成旋转轨迹324的标记只要是在基板保持部32上预先设置的结构即可,并不限定于卡销323。例如,也可以将基板保持部32上存在的螺丝的头等兼用为标记。该标记可以是一个,也可以由在以旋转轴J1为中心的同一圆周上配置的多个构成。该标记优选为,不是用于生成示教信息的专用的标记,而是卡销323等在基板处理装置1中用于进行基板9的处理等的结构兼用为标记。由此,与设置专用的标记的情况相比,能够简化基板保持部32的结构。
接下来,在基板保持部32的旋转持续进行的状态下,在基板保持部32的上方使基板夹具7与手226一起水平地移动。该水平移动在通过三个光电传感器72中的一个光电传感器72检出旋转中的卡销323之前(即俯视观察,该光电传感器72与旋转轨迹324重叠之前)进行。并且,使手226的位置稍微逐渐地变更,取得俯视下该光电传感器72与旋转轨迹324重叠、并且相对于基板夹具7的初始位置而言的移动距离最小的位置(以下称为“最小移动位置”)。另外,也取得基板夹具7的初始位置的中心70与最小移动位置的中心70之间的距离(以下也称为“最小移动距离”)。之后,对于另外两个光电传感器72也以相同的步骤取得基板夹具7的最小移动位置和最小移动距离。
通过基板夹具7的初始位置的中心70、和关于各光电传感器72获取的基板夹具7的最小移动位置的中心70的直线通过旋转轨迹324的中心即旋转轴J1。因此,能够根据关于三个光电传感器72的最小移动距离、已知的三个光电传感器72的相对位置,算出旋转轨迹324的中心即旋转轴J1的俯视下的位置。
具体而言,首先如图11所示那样,根据初始位置的基板夹具7的一个光电传感器72的俯视下的坐标Se10(即X坐标和Y坐标,以下也简称为“坐标”)、以及关于该光电传感器72的最小移动距离d1,算出基板夹具7位于关于该光电传感器72的最小移动位置时的该光电传感器72的坐标Se11(即,旋转轨迹324上的一个点的坐标)。关于另外两个光电传感器72,也同样地,根据初始位置的基板夹具7的光电传感器72的坐标Se20、Se30和最小移动距离d2、d3,算出基板夹具7位于最小移动位置时的光电传感器72的坐标Se21、Se31(即,旋转轨迹324上的一个点的坐标)。
接下来,根据算出的旋转轨迹324上的三个点的坐标Se11、Se21、Se31,如图12所示那样求出旋转轨迹324的内切三角形T1。并且,将内切三角形T1的各边的垂直二等分线的交点的坐标作为旋转轨迹324的中心即旋转轴J1的坐标算出。另外,根据内切三角形T1的三个边的长度按照正弦定理算出旋转轨迹324的半径Rtp。
接下来,使半径Rtp稍微逐渐变更,基于上述的旋转轴J1的坐标、旋转轨迹324的半径Rtp、和上述的最小移动距离d1、d2、d3,反算出位于初始位置的基板夹具7的三个光电传感器72的坐标Se10a、Se20a、Se30a。接下来,基于反算出的坐标Se10a、Se20a、Se30a,算出由三个光电传感器72形成的三角形73的各边的长度。并且,将算出的三角形73的各边的长度最接近实际的基板夹具7的三角形73的各边的长度(已知)的半径Rtp作为旋转轨迹324的半径Rt。由此,能够高精度地求出旋转轨迹324的半径Rt。例如,以使得三角形73的各边的算出值与实际值的差的平方和为最小的方式来确定旋转轨迹324的半径Rt。之后,根据使用旋转轨迹324的半径Rt求出的三个光电传感器72的坐标Se10a、Se20a、Se30a,求出与三角形73的重心位置重叠的手中心位置220。
在示教部63中,将如上述那样求出的旋转轴J1的位置与手中心位置220比较,当旋转轴J1的位置与手中心位置220俯视观察重叠时,生成表示当前的手226的位置的信息,作为表示手226和基板保持部32的俯视下的适当的相对位置关系(即,水平方向上的适当的相对位置关系)的水平示教信息。另一方面,在俯视观察时,旋转轴J1的位置与手中心位置220不重叠而偏移时,以使得旋转轴J1与手中心位置220俯视下重叠的方式来调整手226的水平方向的位置,生成表示调整后的手226的位置的信息作为水平示教信息(步骤S14)。
此外,只要在步骤S14中,基于由三个光电传感器72分别得到的相对于旋转轨迹324的相对位置,算出手226的相对于基板保持部32的俯视下的相对位置,并生成水平示教信息,则该相对位置的算出方法等不限于上述例子,可以进行多种变更。
另外,也可以在步骤S14中重复进行:手226和基板保持部32的相对位置的算出、以及手226的上述位置调整,之后生成水平示教信息。换言之,也可以在生成水平示教信息之前重复进行:手226和基板保持部32的相对位置的算出、以及手226的上述位置调整。具体而言,在手226的水平方向上的上述的位置调整结束后,使基板保持部32旋转而再次形成旋转轨迹324,基于由三个光电传感器72分别得到的再形成后的相对于旋转轨迹324的相对位置,算出手226的相对于基板保持部32的俯视下的相对位置。并且,当手中心位置220与旋转轴J1的位置重叠时,生成表示当前的手226的位置的信息,作为水平示教信息。另外,当手中心位置220从旋转轴J1偏移时,以使得该偏移消除的方式来进行手226的位置调整。手226和基板保持部32的相对位置的算出、以及手226的位置调整例如重复进行预定次数。之后,生成表示调整后的手226的位置的信息,作为水平示教信息。由此,能够提高水平示教信息的精度。
当生成水平示教信息时,通过驱动控制部62来控制中央机器人22,从而使如图9所示的手226所保持的基板夹具7向下方移动,在上下方向上接近基板保持部32。此时,基板夹具7的中心70在俯视下与基板保持部32的旋转轴J1重叠。并且,当通过基板夹具7的接触传感器74检出基板保持部32与基板夹具7的下表面71接触时,仅手226以预定的距离进一步向下方移动之后,停止手226的移动。在示教部63中,生成表示停止的手226的上下方向的位置的信息,作为表示手226和基板保持部32的上下方向上的适当的相对位置关系的上下示教信息(步骤S15)。换言之,示教部63基于来自接触传感器74的输出,生成上下示教信息。
关于步骤S15中的基板夹具7与基板保持部32的接触检测,具体而言,是在接触传感器74的多个传感器元件741中,利用与手226的两个爪部228接触的传感器元件741以外的传感器元件741检测与卡销323的接触来进行。
此时,也可以不仅检测与卡销323的接触,在与多个卡销323的位置分别对应的全部多个传感器元件741中,确认是否检出与卡销323的接触,判断基板夹具7是否适当地保持于基板保持部32。另外也可以是,作为传感器元件741使用能够测定载荷的压力传感器等,在与多个卡销323分别接触的多个传感器元件741中,确认测定的载荷是否均等,判断基板夹具7是否适当地保持于基板保持部32。在各情况下都能够提高上下示教信息的精度。
另外,多个传感器元件741也可以如图13所示那样,在基板夹具7的下表面71(即,夹具主体76的下表面71)的外周部,避开与手226接触的位置,以基板夹具7的中心70为中心大致呈周状配置。在图13所示例中,多个传感器元件741避开与手226的两个爪部228接触的位置呈四个圆弧状配置。具体而言,多个传感器元件741在夹具主体76的下表面71的外周部,沿着与爪部228的四个接触部除外的大致整周设置。当该基板夹具7被手226保持时,以防止传感器元件741与爪部228接触的方式来确定基板夹具7的朝向。这样,接触传感器74具备在夹具主体76的下表面71的外周部以避开与手226接触的位置的方式配置的多个传感器元件741,从而接触传感器74不会检测与手226的接触,因此能够高精度地检测接触传感器74与卡销323的接触。其结果是,能够提高上下示教信息的精度。
在基板处理装置1中,将由示教部63生成的上述的搬入示教信息、水平示教信息和上下示教信息,作为与上述处理单元21和搬运臂221a有关的示教信息,存储于存储部61。该示教信息用于通过搬运臂221a的手226将基板9相对于该处理单元21搬入搬出时,将该手226的基板搬运位置通过示教部63向中央机器人22示教。由此,在基板9向外壳31搬入时、和基板9从外壳31搬出时,能够防止基板9与外壳31及卡销323的碰撞。另外,在从手226向基板保持部32传递基板9时,能够将基板9高精度配置于适当的位置。
在基板处理装置1中,利用搬运臂221a(参照图2)将基板夹具7向另一处理单元21搬运,以与上述同样的步骤(步骤S12~S15)生成与该另一处理单元21和搬运臂221a的手226有关的示教信息(即,搬入示教信息、水平示教信息和上下示教信息)。并且,对于处理区块20的各处理单元21,当生成与搬运臂221a的手226有关的示教信息时,将基板夹具7从搬运臂221a的手226向搬运臂221b(参照图2)的手226移动并保持。如上所述,基板夹具7的厚度比搬运臂221a、221b的手226之间的间隙(即手间隙)的高度薄,因此能够利用搬运臂221b的手226容易地保持基板夹具7,同时避免基板夹具7与搬运臂221a的手226等发生干涉。
之后,对于各处理单元21以与关于搬运臂221a的手226的示教信息的生成相同的方法,生成与搬运臂221b的手226有关的示教信息(即,搬入示教信息、水平示教信息和上下示教信息)。基板夹具7从搬运臂221a向搬运臂221b的传递经由上述的搁架700来进行。具体而言,是在将被搬运臂221a的手226保持的基板夹具7向搁架700搬入,搬运臂221a从搁架700退避之后,将搬运臂221b的手226插入搁架700并保持基板夹具7。此外,在中央机器人22中,设置有三个以上的搬运臂时也同样地,对于各处理单元21,生成与各搬运臂的手226有关的示教信息。
如上所述,基板处理装置1具备:处理部(即处理单元21)、搬运机器人(即中央机器人22)、以及示教部63。处理单元21对基板9实施预定的处理。中央机器人22向处理单元21搬运基板9。处理单元21具备基板保持部32、以及基板旋转机构33。基板保持部32将基板9以水平状态保持。基板旋转机构33以朝向上下方向的旋转轴J1为中心使基板保持部32进行旋转。中央机器人22具备在与基板保持部32之间传递基板9的手226。
在基板处理装置1中,以利用位于基板保持部32上方的手226,对在下表面71设置有呈非直线状配置的三个光学传感器(在上述例中为光电传感器72)的基板夹具7进行保持的状态,利用基板旋转机构33使基板保持部32旋转,从而形成预先设置在基板保持部32上的标记(在上述例中为卡销323)的呈圆周状的旋转轨迹324。示教部63基于由三个光电传感器72分别得到的相对于旋转轨迹324的相对位置,算出手226的相对于基板保持部32的俯视的相对位置,生成表示手226和基板保持部32的俯视下的适当的相对位置关系的水平示教信息。示教部63将处理单元21中的中央机器人22的基板搬运位置向中央机器人22示教。
由此,与将示教用的目标夹具设置于基板保持部32时等相比,能够简化示教信息的生成处理。其结果是,能够缩短该生成处理所需的时间,并且得到高精度的水平示教信息。因此,在从手226向基板保持部32传递基板9时,能够实现高精度的手226的移动控制。
如上所述,基板保持部32是利用呈周状配置的多个卡销323将基板9的外周部以机械方式保持的机械卡盘,上述标记优选为多个卡销323中的一个以上的卡销323。这样,能够将在基板保持部32中保持基板9所需的结构兼用为该标记,从而能够简化基板保持部32的结构,并且获得高精度的水平示教信息。
如上所述,优选基于由示教部63算出的手226的相对于基板保持部32的俯视下的相对位置,以使得俯视下基板夹具7的中心70与旋转轴J1重叠的方式来移动手226,并利用基板旋转机构33使基板保持部32旋转,从而再次形成上述标记的旋转轨迹324。并且优选,示教部63在旋转轨迹324的再形成后,基于由三个光电传感器72分别得到的相对于旋转轨迹324的相对位置,再次算出手226的相对于基板保持部32的俯视下的相对位置,生成水平示教信息。由此,能够提高水平示教信息所表示的手226和基板保持部32的相对位置关系的精度。
如上所述,中央机器人22还具备位于上述手226下方并在与基板保持部32之间传递基板9的另一手226,示教部63优选以与关于上述手226的水平示教信息相同的方法来生成与该另一手226有关的另一水平示教信息。由此,在具备多个手226的中央机器人22中,与将使最上位置的手226的水平示教信息在上下方向上偏移而成的水平示教信息用作另一手226的水平示教信息时相比,能够实现与多个手226各自的特性对应的高精度的手226的移动控制。
如上所述,优选在基板夹具7的下表面71设置由检测与基板保持部32的接触的接触传感器74。并且优选,中央机器人22使手226相对于基板保持部32在上下方向上接近,示教部63基于来自接触传感器74的输出,生成表示手226和基板保持部32的上下方向上的适当的相对位置关系的上下示教信息。由此,能够利用简单的结构容易地生成上下示教信息。其结果是,当从手226向基板保持部32传递基板9时,能够实现更高精度的手226的移动控制。
如上所述,更加优选基板保持部32是利用呈周状配置的多个卡销323将基板9的外周部以机械方式保持的机械卡盘,接触传感器74具备在基板夹具7的下表面71的外周部呈周状配置的多个传感器元件741。由此,能够高精度地检测多个卡销323对基板夹具7的保持状态,提高上下示教信息所表示的手226和基板保持部32的相对位置关系的精度。
如上所述,处理单元21还具备外壳31,该外壳31将基板保持部32收纳于内部并且具有用于搬入基板9的开口311。优选,当手226插入外壳31的开口311时,取得表示手226和开口311的相对位置的拍摄图像,示教部63基于该拍摄图像,生成表示手226和开口311的适当的相对位置关系的搬入示教信息。由此,能够容易地生成搬入示教信息。其结果是,在将基板9相对于外壳31进行搬入搬出时,能够实现高精度的手226的移动控制。
更优选,该拍摄图像是通过在手226或基板夹具7上设置的摄像机75拍摄的开口311的图像。由此,不必使用多个摄像机,即能够生成与多个处理单元21有关的搬入示教信息。即,能够简化生成搬入示教信息所需的结构。
上述的示教信息生成方法在基板处理装置1中生成示教处理单元21中的中央机器人22的基板搬运位置的示教信息,所述基板处理装置1具备:对基板9实施预定处理的处理部(即处理单元21)、以及向处理单元21搬运基板9的搬运机器人(即中央机器人22)。处理单元21具备:将基板9以水平状态保持的基板保持部32、以及以朝向上下方向的旋转轴J1为中心使基板保持部32旋转的基板旋转机构33,中央机器人22具备在与基板保持部32之间传递基板9的手226。
该示教信息生成方法具备:利用手226来保持在下表面71设置有呈非直线状配置的三个光学传感器(在上述例中为光电传感器72)的基板夹具7的工序(步骤S11);利用基板旋转机构33使基板保持部32旋转,从而形成预先设置在基板保持部32上的标记(在上述例中为卡销323)的呈圆周状的旋转轨迹324的工序(步骤S13);以及基于由位于基板保持部32上方的基板夹具7的三个光电传感器72分别得到的相对于旋转轨迹324的相对位置,算出手226的相对于基板保持部32的俯视下的相对位置,生成表示手226和基板保持部32的俯视下的适当的相对位置关系的水平示教信息的工序(步骤S14)。由此,如上所述,能够简化示教信息的生成处理。其结果是,能够缩短该生成处理所需的时间,并且获得高精度的水平示教信息。
上述的基板夹具7并非必须在从基板处理装置1的启动时起即备设于基板处理装置1内。例如,也可以将具备大致呈圆板状的夹具主体76、以及在夹具主体76的下表面71呈非直线状配置的三个光学传感器72的基板夹具7,导入已使用的基板处理装置1(即翻新设备)并用于示教信息的生成。此时也能够上述同样地,简化示教信息的生成处理。其结果是,能够缩短该生成处理所需的时间,获得高精度的水平示教信息。
另外,上述的程序89(即用于生成示教信息的程序)不是必须从基板处理装置1的启动时起即存储于计算机8。例如,也可以将示教套件导入已使用的基板处理装置1(即翻新设备),该示教套件具备:存储有生成表示手226和基板保持部32的俯视下的适当的相对位置关系的水平示教信息的程序89的存储介质80;以及在下表面71设置有呈非直线状配置的三个光学传感器(在上述例中为光电传感器72)的基板夹具7。此时,该程序89通过计算机8执行,从而基于由三个光电传感器72分别得到的相对于上述的旋转轨迹324的相对位置,算出手226的相对于基板保持部32的俯视下的相对位置,生成水平示教信息。由此,能够与上述同样地,简化示教信息的生成处理。其结果是,能够缩短该生成处理所需的时间,获得高精度的水平示教信息。
在基板处理装置1中,处理单元21的基板保持部32不是必须为机械卡盘,用于生成水平示教信息的标记也不是必须为卡销323。例如,也可以是如图14所示那样,将在上表面设置有多个抽吸口325并对基板9进行吸附保持的真空卡盘作为基板保持部32a设置于处理单元21(参照图4)。此时,将多个抽吸口325中的一个以上的抽吸口325作为上述标记。由此,能够与上述同样地简化基板保持部32a的结构,获得高精度的水平示教信息。此外,用于生成水平示教信息的标记例如是多个抽吸口325中的、在以旋转轴J1为中心的径向上位于最外侧的一个抽吸口325、或者是在该径向上位于最外侧的配置于同一圆周上的两个以上的抽吸口325。
在基板处理装置1中,也可以如图15所示那样,将在与手226之间传递基板9的多个支撑销326设置于基板保持部32。在图15所示例中,将三个支撑销326配置于以旋转轴J1为中心的同一圆周上。多个支撑销326插入到在基板保持部32的基座321上设置的孔327中,并能够从基座321的上表面向上方突出。多个支撑销326在比多个卡销323靠上方从手226接收基板9并向下方移动,从而将基板9向多个卡销323传递。另外,多个支撑销326从多个卡销323接收基板9并向上方移动,并在多个卡销323的上方将基板9向手226传递。
多个支撑销326可以与基座部321或多个卡销323一起旋转,也可以不旋转。当多个支撑销326旋转时,可以将多个支撑销326中的一个以上的支撑销326作为上述标记。另外,当多个支撑销326不旋转时,也可以将供多个支撑销326插入的基座321的多个孔327中的一个以上的孔327作为上述标记。在各情况下都能够与上述同样地,简化基板保持部32的结构,获得高精度的水平示教信息。此外,在多个支撑销326设置于基板保持部32的情况下,接触传感器74在基板夹具7的下表面71设置于与多个支撑销326对应的位置。
在基板处理装置1中,用于生成水平示教信息的标记不是必须设置于基板保持部32上。例如,当在处理单元21中,在基板保持部32以外设置以旋转轴J1为中心进行旋转的结构(以下也称为“旋转部”)时,上述标记也可以设置于基板保持部32以外的旋转部上。另外,设置于基板夹具7的光电传感器72也可以对应于该旋转部与基板夹具7的位置关系,配置于基板夹具7的下表面71以外的位置。基板夹具7的俯视下的形状也可以不是与基板9相同的形状。
此时,基板处理装置1具备:处理部(即处理单元21)、搬运机器人(即中央机器人22)、以及示教部63。处理单元21对基板9实施预定的处理。中央机器人22向处理单元21搬运基板9。示教部63将处理单元21中的中央机器人22的基板搬运位置向中央机器人22示教。处理单元21具备基板保持部32、以及基板旋转机构33。基板保持部32将基板9以水平状态保持。基板旋转机构33以朝向上下方向的旋转轴J1为中心使基板保持部32旋转。中央机器人22具备在与基板保持部32之间传递基板9的手226。在处理单元21中,基板保持部32以外的旋转部也以旋转轴J1为中心进行旋转。
在基板处理装置1中,以利用位于基板保持部32上方的手226,对设置有呈非直线状配置的三个光电传感器72的基板夹具7进行保持的状态,使上述旋转部旋转,从而形成预先设置在该旋转部上的标记的呈圆周状的旋转轨迹324。示教部63基于从三个光电传感器72分别得到的相对于旋转轨迹324的相对位置,算出手226相对于旋转轴J1的俯视下的相对位置,生成表示手226和基板保持部32的俯视下的适当的相对位置关系的水平示教信息。
由此,能够与上述同样地简化示教信息的生成处理。其结果是,能够缩短该生成处理所需的时间,获得高精度的水平示教信息。此外,作为上述旋转部,例如可举出构成为能够以旋转轴J1为中心进行旋转的杯部34。此时,上述标记例如可以是在可旋转的杯部34的上缘上设置的结构,也可以是该上缘整体。
在上述的基板处理装置1、示教信息生成方法和示教套件中能够进行多种变更。
例如,在搬入示教信息的生成中利用的摄像机75不是必须设置于手226或基板夹具7,也可以固定于处理区块20的适当位置。此时,如果需要对多个处理单元21的开口311进行拍摄,则也可以设置两台以上的摄像机75。
基板夹具7的俯视下的形状也可以是大致圆形以外的形状。基板夹具7的厚度也可以大于手间隙的高度。此时,只要能够利用下侧的搬运臂221b的手226来保持基板夹具7,则能够将使关于上侧的搬运臂221a的手226获取的示教信息(即,搬入示教信息、水平示教信息和上下示教信息)在上下方向上偏移而成的示教信息,用作与下侧的搬运臂221b的手226有关的示教信息。
如上所述,在基板夹具7中,也可以取代光电传感器72,而设置具有CMOS传感器或者是CCD传感器的摄像机等其它光学传感器。此时,利用该基板夹具7,也能够与上述同样地,简化示教信息的生成处理。在作为上述光学传感器而采用具有CMOS传感器的摄像机的情况下,卡销323等标记的检测也可以通过由该摄像机获取的图像、卡销323的基准图像的模式匹配等来进行。此外,作为上述光学传感器采用光电传感器72,从而能够简化基板夹具7的结构,并且简化示教信息的生成中的来自光学传感器的信息的处理。
在基板夹具7中,用于生成上下示教信息的接触传感器74不是必须具备多个传感器元件741,例如也可以在基板夹具7的下表面71的外周部避开了与手226的爪部228接触的位置的位置上设置一个接触传感器74,并利用该接触传感器74来检测基板夹具7与一个卡销323的接触。此时,不会利用接触传感器74检测与手226的接触,因此能够高精度地检测接触传感器74与卡销323的接触。其结果是,能够提高上下示教信息的精度。
只要由示教部63生成的示教信息至少包含水平示教信息,则也可以不包含搬入示教信息和/或上下示教信息。即,搬入示教信息也可以通过与使用摄像机75并利用示教部63的上述生成方法不同的方法来生成。另外,上下示教信息也可以采用与使用基板夹具7并利用示教部63的上述生成方法不同的方法来生成。此时,也可以从基板夹具7中省略接触传感器74。
上述的示教套件也可以包含多个基板夹具7。
在基板处理装置1中,中央机器人22的结构可以进行多种变更。另外,中央机器人22的手226的形状和结构也可以进行多种变更。例如,手226的俯视下的形状也可以是与基板9和基板夹具7的外周缘部大致整周地接触的大致圆周状。此外,转位机器人12的结构也可以进行多种变更。
也可以在基板处理装置1的处理区块20中设置处理单元21以外的各种结构的处理单元。另外,在该处理单元中可以进行对基板9的各种处理。
上述的基板处理装置1除了应用于半导体基板以外,也可以用于液晶显示装置或者是有机EL(Electro Luminescence;电致发光)显示装置等平面显示装置(Flat PanelDisplay;平板显示器)中使用的玻璃基板、或者在其它显示装置中使用的玻璃基板的处理。另外,上述的基板处理装置1也可以用于:光盘用基板、磁盘用基板、光磁盘用基板、光掩模用基板、陶瓷基板和太阳能电池用基板等的处理。
上述实施方式和各变形例中的结构在相互不矛盾的前提下可以适当组合。
以上对本发明进行了详细说明,但是上述说明仅为例示。因此,能够在不脱离本发明范围的前提下实施各种变形方式。

Claims (14)

1.一种基板处理装置,其特征在于,具备:
处理部,其对基板实施预定的处理;
搬运机器人,其向所述处理部搬运所述基板;以及
示教部,其向所述搬运机器人示教所述处理部中的所述搬运机器人的基板搬运位置,
所述处理部具备:
基板保持部,其将所述基板以水平状态保持;以及
基板旋转机构,其使所述基板保持部以朝向上下方向的旋转轴为中心旋转,
所述搬运机器人具备手,该手在与所述基板保持部之间进行所述基板的传递,
在利用位于所述基板保持部的上方的所述手,对在下表面设置有以非直线状配置的三个光学传感器的基板夹具进行保持的状态下,通过所述基板旋转机构使所述基板保持部进行旋转,从而形成预先设置在所述基板保持部上的标记的圆周状的旋转轨迹,
所述示教部基于由所述三个光学传感器分别得到的相对于所述旋转轨迹的相对位置,算出所述手相对于所述基板保持部的俯视下的相对位置,生成表示所述手和所述基板保持部的俯视下的适当的相对位置关系的水平示教信息。
2.根据权利要求1所述的基板处理装置,其特征在于,
所述基板保持部是利用以周状配置的多个卡销将所述基板的外周部以机械方式保持的机械卡盘,
所述标记是所述多个卡销中的一个以上的卡销。
3.根据权利要求1所述的基板处理装置,其特征在于,
所述基板保持部是在上表面设置有多个抽吸口来吸附保持所述基板的真空卡盘,
所述标记是所述多个抽吸口中的一个以上的抽吸口。
4.根据权利要求1所述的基板处理装置,其特征在于,
基于由所述示教部算出的所述手相对于所述基板保持部的俯视下的相对位置,以所述基板夹具的中心与所述旋转轴在俯视下重叠的方式使所述手移动,
通过所述基板旋转机构使所述基板保持部旋转,从而再次形成所述标记的所述旋转轨迹,
所述示教部在再次形成所述旋转轨迹之后,基于由所述三个光学传感器分别得到的相对于所述旋转轨迹的相对位置,再次算出所述手相对于所述基板保持部的俯视下的相对位置,生成所述水平示教信息。
5.根据权利要求1所述的基板处理装置,其特征在于,
所述搬运机器人还具备另一手,该另一手位于所述手的下方并在与所述基板保持部之间进行所述基板的传递,
所述示教部用与关于所述手的所述水平示教信息相同的方法生成关于所述另一手的另一水平示教信息。
6.根据权利要求1所述的基板处理装置,其特征在于,
在所述基板夹具的所述下表面设置有接触传感器,该接触传感器检测与所述基板保持部的接触,
所述搬运机器人使所述手相对于所述基板保持部在上下方向上接近,
所述示教部基于来自所述接触传感器的输出,生成表示所述手和所述基板保持部的上下方向上的适当的相对位置关系的上下示教信息。
7.根据权利要求6所述的基板处理装置,其特征在于,
所述基板保持部是利用以周状配置的多个卡销将所述基板的外周部以机械方式保持的机械卡盘,
所述接触传感器具备多个传感器元件,该多个传感器元件以周状配置在所述基板夹具的所述下表面的外周部。
8.根据权利要求1至7中任一所述的基板处理装置,其特征在于,
所述处理部还具备外壳,该外壳将所述基板保持部收纳于内部并且具有用于搬入所述基板的开口,
在将所述手插入所述外壳的所述开口时,取得表示所述手和所述开口的相对位置的拍摄图像,
所述示教部基于所述拍摄图像,生成表示所述手和所述开口的适当的相对位置关系的搬入示教信息。
9.根据权利要求8所述的基板处理装置,其特征在于,
所述拍摄图像是利用设置于所述手或所述基板夹具的摄像机拍摄的所述开口的图像。
10.一种基板处理装置,其特征在于,具备:
处理部,其对基板实施预定的处理;
搬运机器人,其向所述处理部搬运所述基板;以及
示教部,其向所述搬运机器人示教所述处理部中的所述搬运机器人的基板搬运位置,
所述处理部具备:
基板保持部,其将所述基板以水平状态保持;以及
基板旋转机构,其使所述基板保持部以朝向上下方向的旋转轴为中心旋转,
所述搬运机器人具备手,该手在与所述基板保持部之间进行所述基板的传递,
在所述处理部中,所述基板保持部以外的旋转部也以所述旋转轴为中心旋转,
在利用位于所述基板保持部的上方的所述手,对设置有以非直线状配置的三个光学传感器的基板夹具进行保持的状态下,使所述旋转部旋转,从而形成预先设置在所述旋转部上的标记的圆周状的旋转轨迹,
所述示教部基于由所述三个光学传感器分别得到的相对于所述旋转轨迹的相对位置,算出所述手相对于所述旋转轴的俯视下的相对位置,生成表示所述手和所述基板保持部的俯视下的适当的相对位置关系的水平示教信息。
11.一种示教信息生成方法,在基板处理装置中生成示教处理部中的搬运机器人的基板搬运位置的示教信息,该基板处理装置具备对基板实施预定处理的所述处理部、以及向所述处理部搬运所述基板的所述搬运机器人,
所述示教信息生成方法的特征在于,
所述处理部具备:
基板保持部,其将所述基板以水平状态保持;以及
基板旋转机构,其使所述基板保持部以朝向上下方向的旋转轴为中心旋转,
所述搬运机器人具备手,该手在与所述基板保持部之间进行所述基板的传递,
所述示教信息生成方法具备:
a)利用所述手将在下表面设置有以非直线状配置的三个光学传感器的基板夹具保持的工序;
b)通过所述基板旋转机构使所述基板保持部旋转,从而形成预先设置在所述基板保持部上的标记的圆周状的旋转轨迹的工序;以及
c)基于由位于所述基板保持部的上方的所述基板夹具的所述三个光学传感器分别得到的相对于所述旋转轨迹的相对位置,算出所述手相对于所述基板保持部的俯视下的相对位置,生成表示所述手和所述基板保持部的俯视下的适当的相对位置关系的水平示教信息的工序。
12.一种示教套件,其在基板处理装置中向搬运机器人示教处理部中的所述搬运机器人的基板搬运位置时使用,该基板处理装置具备对基板实施预定处理的所述处理部、以及向所述处理部搬运所述基板的所述搬运机器人,
所述示教套件的特征在于,
所述处理部具备:
基板保持部,其将所述基板以水平状态保持;以及
基板旋转机构,其使所述基板保持部以朝向上下方向的旋转轴为中心旋转,
所述搬运机器人具备手,该手在与所述基板保持部之间进行所述基板的传递,
所述示教套件具备:
基板夹具,其在下表面设置有以非直线状配置的三个光学传感器;以及
存储介质,其存储有生成水平示教信息的程序,该水平示教信息表示所述手和所述基板保持部的俯视下的适当的相对位置关系,
在利用位于所述基板保持部的上方的所述手保持所述基板夹具的状态下,通过所述基板旋转机构使所述基板保持部旋转,从而形成预先设置在所述基板保持部上的标记的圆周状的旋转轨迹,
通过计算机执行所述程序,从而基于由所述三个光学传感器分别得到的相对于所述旋转轨迹的相对位置,算出所述手相对于所述基板保持部的俯视下的相对位置,生成所述水平示教信息。
13.一种基板夹具,其在基板处理装置中生成示教处理部中的搬运机器人的基板搬运位置的示教信息时使用,该基板处理装置具备对基板实施预定处理的所述处理部、以及向所述处理部搬运所述基板的所述搬运机器人,
所述基板夹具的特征在于,具备:
大致圆板状的夹具主体;以及
三个光学传感器,其以非直线状配置在所述夹具主体的下表面,
所述处理部具备:
基板保持部,其将所述基板以水平状态保持;以及
基板旋转机构,其使所述基板保持部以朝向上下方向的旋转轴为中心旋转,
所述搬运机器人具备手,该手在与所述基板保持部之间进行所述基板的传递,
所述示教信息的生成具备:
a)利用所述手保持所述基板夹具的工序;
b)通过所述基板旋转机构使所述基板保持部旋转,从而形成预先设置在所述基板保持部上的标记的圆周状的旋转轨迹的工序;以及
c)基于由位于所述基板保持部的上方的所述基板夹具的所述三个光学传感器分别得到的相对于所述旋转轨迹的相对位置,算出所述手相对于所述基板保持部的俯视下的相对位置,生成表示所述手和所述基板保持部的俯视下的适当的相对位置关系的水平示教信息的工序。
14.根据权利要求13所述的基板夹具,其特征在于,
还具备接触传感器,该接触传感器设置于所述夹具主体的所述下表面并检测与所述基板保持部的接触,
所述基板保持部是利用以周状配置的多个卡销将所述基板夹具的外周部以机械方式保持的机械卡盘,
所述示教信息的生成还具备:d)在所述c)工序之后,使所述手相对于所述基板保持部在上下方向上接近,并基于来自所述接触传感器的输出,生成表示所述手和所述基板保持部的上下方向上的适当的相对位置关系的上下示教信息的工序,
所述接触传感器在所述夹具主体的所述下表面的外周部配置为避开与所述手接触的位置。
CN202210303673.9A 2021-03-24 2022-03-24 基板处理装置、示教信息生成方法、示教套件和基板夹具 Pending CN115132616A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2021-049840 2021-03-24
JP2021049840A JP2022148237A (ja) 2021-03-24 2021-03-24 基板処理装置、教示情報生成方法、教示セットおよび基板型治具

Publications (1)

Publication Number Publication Date
CN115132616A true CN115132616A (zh) 2022-09-30

Family

ID=83364929

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210303673.9A Pending CN115132616A (zh) 2021-03-24 2022-03-24 基板处理装置、示教信息生成方法、示教套件和基板夹具

Country Status (5)

Country Link
US (1) US20220310436A1 (zh)
JP (1) JP2022148237A (zh)
KR (1) KR20220133107A (zh)
CN (1) CN115132616A (zh)
TW (1) TWI818482B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11996308B2 (en) * 2021-03-03 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for mapping wafers in a wafer carrier

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8634633B2 (en) * 2003-11-10 2014-01-21 Brooks Automation, Inc. Wafer center finding with kalman filter
JP6785092B2 (ja) * 2016-08-19 2020-11-18 株式会社Screenホールディングス 変位検出装置、変位検出方法および基板処理装置

Also Published As

Publication number Publication date
TW202245124A (zh) 2022-11-16
KR20220133107A (ko) 2022-10-04
US20220310436A1 (en) 2022-09-29
JP2022148237A (ja) 2022-10-06
TWI818482B (zh) 2023-10-11

Similar Documents

Publication Publication Date Title
TWI487057B (zh) 基板運送裝置之位置調整方法及基板處理裝置
KR101015778B1 (ko) 기판 처리장치 및 기판 수수 위치의 조정 방법
CN108364898B (zh) 基板搬送装置、检测位置校正方法及基板处理装置
JP4376116B2 (ja) 基板受け渡し位置の調整方法
TWI544538B (zh) Liquid processing apparatus and liquid processing method and storage medium
CN107104073B (zh) 基板搬送装置、基板搬送方法和存储介质
TWI466208B (zh) Probe device
US9541920B2 (en) Method for positioning a transfer unit, method for calculating positional deviation amount of an object to be processed, and method for correcting teaching data of the transfer unit
TWI627693B (zh) 基板處理裝置及基板處理方法
US20130084393A1 (en) Substrate processing apparatus and substrate processing method
KR20150125593A (ko) 기판 처리 장치 및 기판 처리 방법
KR20060073491A (ko) 기판 처리장치 및 그 반송 위치 설정 방법
US20090016857A1 (en) Substrate-replacing apparatus, substrate-processing apparatus, and substrate-inspecting apparatus
CN115132616A (zh) 基板处理装置、示教信息生成方法、示教套件和基板夹具
KR20120033226A (ko) 기판 반송 장치, 기판 반송 방법 및 그 기판 반송 방법을 실행시키기 위한 프로그램을 기록한 기록 매체
WO2005004227A1 (ja) 薄板状物の変位量検出方法及び変位量修正方法
CN107680928B (zh) 示教夹具、基板处理装置以及示教方法
JP3845585B2 (ja) 処理装置
JP5584808B2 (ja) 基板搬送装置の位置調整方法
TWI763611B (zh) 基板處理裝置以及基板處理方法
US20060138367A1 (en) Substrate processing apparatus and transfer positioning method thereof
JP2013110444A (ja) 基板搬送装置の位置調整方法
TW202414564A (zh) 基板處理裝置及基板處理方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination