CN114944385A - 基于虚设穿硅过孔板的去耦电容器 - Google Patents

基于虚设穿硅过孔板的去耦电容器 Download PDF

Info

Publication number
CN114944385A
CN114944385A CN202210019010.4A CN202210019010A CN114944385A CN 114944385 A CN114944385 A CN 114944385A CN 202210019010 A CN202210019010 A CN 202210019010A CN 114944385 A CN114944385 A CN 114944385A
Authority
CN
China
Prior art keywords
opening
capacitor
capacitor plate
support structure
decoupling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210019010.4A
Other languages
English (en)
Inventor
C·朴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN114944385A publication Critical patent/CN114944385A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
    • H01L27/0288Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using passive elements as protective elements, e.g. resistors, capacitors, inductors, spark-gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES, LIGHT-SENSITIVE OR TEMPERATURE-SENSITIVE DEVICES OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/30Stacked capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES, LIGHT-SENSITIVE OR TEMPERATURE-SENSITIVE DEVICES OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/38Multiple capacitors, i.e. structural combinations of fixed capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES, LIGHT-SENSITIVE OR TEMPERATURE-SENSITIVE DEVICES OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/002Details
    • H01G4/005Electrodes
    • H01G4/012Form of non-self-supporting electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES, LIGHT-SENSITIVE OR TEMPERATURE-SENSITIVE DEVICES OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/33Thin- or thick-film capacitors 
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES, LIGHT-SENSITIVE OR TEMPERATURE-SENSITIVE DEVICES OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/38Multiple capacitors, i.e. structural combinations of fixed capacitors
    • H01G4/385Single unit multiple capacitors, e.g. dual capacitor in one coil

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本文公开了具有基于在支撑结构(例如,衬底、管芯、晶圆或芯片)中提供的虚设TSV板的去耦电容器的IC结构。示例去耦电容器包括第一电容器板和第二电容器板以及它们之间的电容器绝缘体。每个电容器板是支撑结构中的不同的盲板状开口,开口至少部分地填充有一种或多种导电材料。电容器板开口在本文中称为“虚设TSV板”,因为它们可以在支撑结构中提供常规TSV开口的同时被制造。这种去耦电容器可以比传统的片外去耦电容器更好地适合于高速微处理器应用,并且可以有利地允许在否则可能尚未使用的区域中在常规TSV处理的开始以有限的附加处理步骤或没有附加处理步骤地集成具有足够量的电容性去耦的片上去耦电容器。

Description

基于虚设穿硅过孔板的去耦电容器
技术领域
本公开内容总体上涉及集成电路(IC)结构和器件领域,并且更具体地,涉及单片集成在这种IC结构和器件中的去耦电容器。
背景技术
去耦电容器是用于将电网的一部分与另一部分去耦的电容器。由其他电路元件引起的噪声可以通过去耦电容器分流,减少了它对电路的其余部分的影响。
去耦电容器通常包括在半导体封装中,以便通过减小引线长度来降低通过封装的电感。靠近功率消耗电路放置的去耦电容器能够利用存储在它们上的电荷来平滑电压变化。在信号切换阶段期间,所存储的电荷耗散或用作设备输入的本地电源,从而允许去耦电容器抵消由寄生电感引入到系统中的电压噪声的影响。
传统的片外去耦电容器可能不适合于非常高速的微处理器应用。由于片外去耦电容器位于距开关电路相对长的距离处,所以由长电感路径引起的时间延迟使得这样的电容器不能用于千兆赫开关电路。
为了维持高频电路操作,必须在开关电路附近提供足够量的电容性去耦。尽管可以将芯片电容器集成在芯片的电路元件内,但是电容器争夺可以用于构建附加电路的宝贵的管芯面积。由于构建这些电容器的面积有限,它们提供的总电容性去耦也是有限的。
附图说明
根据结合附图的以下具体实施方式,将容易理解实施例。为了便于描述,类似的附图标记表示类似的结构元件。在附图的各图中,通过 示例而非限制的方式示出了各实施例。
图1是根据一些实施例的用于基于虚设穿硅过孔(TSV)板制造去耦电容器的示例方法的流程图。
图2A-图2J示出了根据一些实施例的根据图1的方法的示例IC结构的制造中的各个阶段。
图3A和图3B是根据本文公开的任何实施例的包括具有基于虚设TSV板的去耦电容器的一个或多个IC结构的晶圆和管芯的俯视图。
图4是根据本文公开的任何实施例的可以包括具有基于虚设TSV板的去耦电容器的一个或多个IC结构的IC器件的侧视截面图。
图5是根据本文公开的任何实施例的可以包括具有基于虚设TSV板的去耦电容器的一个或多个IC结构的IC器件组件的侧视截面图。
图6是根据本文公开的任何实施例的可以包括具有基于虚设TSV板的去耦电容器的一个或多个IC结构的示例计算设备的框图。
具体实施方式
概述
本公开内容的系统、方法和器件各自具有若干新颖方面,其中没有单个方面单独负责本文公开的所有期望属性。在以下描述和附图中阐述了本说明书中描述的主题的一个或多个实施方式的细节。
为了说明本文所述的基于虚设TSV板的去耦电容器,首先理解在IC制造期间可能起作用的现象可能是有用的。以下基本信息可以被视为可以适当地解释本公开内容的基础。提供这样的信息仅用于解释的目的,并且因此不应以任何方式解释为限制本公开内容及其潜在应用的广泛范围。
IC通常包括导电微电子结构,其在本领域中被称为过孔,用以将过孔上方的金属线或其他互连电连接到过孔下方的金属线或其他互连。在此上下文中,术语“金属化堆叠体”可以用于描述堆叠的一系列电绝缘金属互连线,所述金属互连线用于将IC的各种器件连接在一起,其中堆叠体的相邻层通过使用电触点和过孔而连接在一起。
通常通过光刻工艺形成过孔。代表性地,光致抗蚀剂层可以旋涂在电介质层之上,光致抗蚀剂层可以曝光于穿过图案化掩模或从图案化掩模反射(例如,在EUV的情况下)的图案化光化辐射,并且然后可以显影曝光的层以在光致抗蚀剂层中形成开口,其可以被称为过孔位置开口。接着,通过使用光致抗蚀剂层中的位置开口作为蚀刻掩模,可以在电介质层中蚀刻用于过孔的开口。电介质层中的该开口被称为过孔开口。最后,可以用一种或多种金属或其他导电材料填充过孔开口以形成过孔。
TSV是延伸穿过支撑结构(例如,穿过衬底、晶圆或芯片)的特定类型的过孔。这种常规TSV通常用于提供IC结构/器件的各种部件的功率和/或信号。
本文公开了具有一个或多个去耦电容器的IC结构(例如,IC器件),所述去耦电容器基于在IC结构的支撑结构(例如,衬底、管芯、晶圆或芯片)中提供的虚设TSV板。示例去耦电容器包括第一电容器板和第二电容器板以及它们之间的电容器绝缘体。每个电容器板是支撑结构中的不同盲(blind)开口,开口至少部分地填充有一种或多种导电材料。电容器板开口是板状开口,其中每个电容器板开口具有宽度、长度和深度,使得宽度比长度和深度中的每一个小至少约2倍。因此,如本文所用,如果开口的宽度(例如,沿本附图中所示的x-y-z坐标系的x轴测量的尺寸)小于开口的长度(例如,沿本附图中所示的x-y-z坐标系的y轴测量的尺寸)和开口的深度(例如,沿本附图中所示的x-y-z坐标系的z轴测量的尺寸)中的每一个,例如,小至少约2倍,例如,小至少约4倍或小至少约6倍,则将开口描述为“板状”。在各种实施例中,开口的长度可以与开口的深度相当,或者可以大于或小于开口的深度。因为这样的板状开口可以与在支撑结构中提供TSV开口基本上同时地被制造,但不是用于与TSV相同的目的,而是被用作用于形成单片集成在支撑结构中的一个或多个去耦电容器的电容器板的基础,所以它们在本文中被称为“虚设TSV板”。有利地,可以在与TSV和各种IC部件相同的支撑结构上提供这样的去耦电容器(例如,与TSV和各种IC部件单片集成),使得与传统的片外去耦电容器相比,这些去耦电容器更好地适合于高速微处理器应用。此外,在IC结构的支撑结构中提供具有基于虚设TSV板的电容器板的去耦电容器,有利地允许在否则可能尚未使用的区域中在常规TSV处理的开始以有限量的附加处理步骤或没有附加处理步骤地集成具有足够量的电容性去耦的片上去耦电容器。因为支撑结构/衬底的材料(例如,硅)可以具有较高的介电常数(例如,硅具有大约12的介电常数),所以一旦利用绝缘体确保了电隔离,则其可以有利地帮助更多的极化以存储更多的电荷(即,这种去耦电容器的更高的电容)。
如本文所述的IC结构,特别是如本文所述的具有TSV并且具有基于虚设TSV板的去耦电容器的IC结构,可以用于向与IC相关联的一个或多个部件或/和在各种此类部件之间提供电连接。在各种实施例中,与IC相关联的部件包括例如晶体管、二极管、电源、电阻器、电容器、电感器、传感器、收发器、接收器、天线等。与IC相关联的部件可以包括安装在IC上的部件或连接到IC的部件。IC可以是模拟的或数字的,并且可以用于许多应用中,例如微处理器、光电子学、逻辑块、音频放大器等,这取决于与IC相关联的部件。IC可以用作芯片组的一部分,以用于执行计算机中的一个或多个相关功能。
为了解释的目的,阐述了具体的数字、材料和配置,以便提供对说明性实施方式的透彻理解。然而,对于本领域技术人员将显而易见的是,可以在没有这些具体细节的情况下实践本公开内容,和/或可以仅利用所描述的方面中的一些方面来实践本公开内容。在其他实例中,省略或简化了公知的特征,以免使说明性实施方式难以理解。
此外,参考形成本文一部分的附图,并且在附图中通过说明的方式示出了可以实践的实施例。应当理解,在不脱离本公开内容的范围的情况下,可以利用其他实施例,并且可以进行结构或逻辑改变。因此,以下具体实施方式不应被理解为限制性的。为了方便起见,如果存在用不同字母指定的图集,例如图2A-图2J,则这种图集在本文中可以被无字母地提及,例如,如“图2”。
在附图中,本文所述的各种器件和组件的示例结构的一些示意图可以用精确的直角和直线示出,但是应当理解,这些示意图可能不反映实际工艺限制,当使用例如扫描电子显微镜(SEM)图像或透射电子显微镜(TEM)图像检查本文所述的任何结构时,实际工艺限制可能导致特征看起来不是那么“理想”。在真实结构的这种图像中,可能的处理缺陷也是可见的,例如,材料的不完全直的边缘、锥形过孔或其他开口、拐角的无意倒圆角或不同材料层的厚度变化、结晶区域内的偶然的螺旋、边缘或组合位错、和/或单个原子或原子簇的偶然位错缺陷。可能存在此处未列出的但在器件制造领域内常见的其他缺陷。
可以以最有助于理解所要求保护的主题的方式依次将各种操作描述为多个分立的动作或操作。然而,描述的顺序不应被解释为暗示这些操作必须是顺序相关的。特别地,可以不以所呈现的顺序执行这些操作。所描述的操作可以以与所描述的实施例不同的顺序来执行。在附加的实施例中,可以执行各种附加的操作,和/或可以省略所描述的操作。
出于本公开内容的目的,短语“A和/或B”是指(A)、(B)或(A和B)。出于本公开内容的目的,短语“A、B和/或C”是指(A)、(B)、(C)、(A和B)、(A和C)、(B和C)或(A、B和C)。当参考测量范围使用时,术语“之间”包括测量范围的端点。
本说明书使用短语“在实施例中”,其可以指代相同或不同实施例中的一个或多个实施例。如关于本公开内容的实施例所使用的术语“包括”、“包含”、“具有”等是同义的。本公开内容可以使用基于透视的描述,例如“上方”、“下方”、“顶部”、“底部”和“侧面”;这样的描述用于方便讨论,而不旨在限制所公开的实施例的应用。附图不一定是按比例绘制的。除非另有说明,否则使用序数形容词“第一”、“第二”和“第三”等来描述共同对象仅指示正在引用类似对象的不同实例,并且不旨在暗示如此描述的对象必须在时间上、空间上、在排序上或以任何其他方式处于给定序列中。
在以具体实施方式中,将使用本领域技术人员通常采用的术语来描述说明性实施方式的各个方面,以向本领域其他技术人员传达其工作的实质。例如,如本文所使用的,“高k电介质”是指具有比氧化硅更高的介电常数的材料,而“低k电介质”是指具有比氧化硅更低的介电常数的材料。术语“基本上”、“接近”、“近似”、“附近”和“大约”通常是指基于如本文所述或如本领域已知的特定值的上下文在目标值的+/-20%内。
制造具有基于虚设TSV板的去耦电容器的IC结构
图1是根据一些实施例的用于基于虚设TSV板制造去耦电容器的示例方法100的流程图。图2A-图2J示出了根据一些实施例的根据制造方法100的示例IC结构200(例如,图2A中所示的IC结构200A、图2B中所示的IC结构200B等,直到图2J中所示的IC结构200J)的制造中的各个阶段的俯视图和侧视截面图。特别地,图2A-图2E中的每一个在附图页面的顶部示出了IC结构200的俯视图(即,在x-y平面中的视图),并且在附图页面的底部示出了IC结构200的侧视截面图,其中截面是沿着图2A-图2E中所示的参考坐标系x-y-z的x-z平面AA'截取的。图2F仅示出了IC结构200的俯视图,图2G和图2I仅示出了IC结构200的侧视截面图,其中截面是沿着图2F的俯视图中示出的x-z平面AA'截取的,而图2H和图2J仅示出了IC结构200的侧视截面图,其中截面是沿着图2F的俯视图中示出的x-z平面BB'截取的。
在图2A-图2J的描述中用附图标记所指的许多元件在这些图中用不同的图案来示出,其中在包含图2A-图2J的每个图页的底部提供了示出附图标记与图案之间的对应关系的图例。例如,图例示出了图2A-图2J使用不同的图案来示出支撑结构202、电介质材料204、导电材料208等。此外,尽管在图2A-图2J中的一些图中可以示出某一数量的给定元件(例如,4个TSV和其间的5个虚设TSV板),但这仅是为了便于图示,并且根据本公开内容的各种实施例,可以在IC结构中包括比所述数量多或少的数量。此外,图2A-图2J中所示的各种IC结构视图旨在示出其中各种元件的相对布置,并且各种IC结构或其部分可以包括未示出的其他元件或部件(例如,晶体管部分、可以与TSV中的任何一个电接触的各种部件等)。
转到图1,方法100可以开始于工艺102,其包括在支撑结构中提供用于一个或多个TSV和一个或多个虚设TSV板的开口。图2A中所示的IC结构200A示出了工艺102的示例结果。如图2A中所示,IC结构200A可包括支撑结构202、用于TSV的开口220-1到220-4以及用于虚设TSV板的开口222-1到222-5。尽管图2A以及后续图2B-图2J示出了具有4个TSV开口220和5个虚设TSV板开口222的IC结构200的实施例,但这仅是为了便于图示,并且根据本公开内容的各种实施例,可以在IC结构200中包括比该数量多或少的数量,只要提供至少两个虚设TSV板开口222(例如,虚设TSV板开口222-1和222-2)以形成单个去耦电容器的第一电容器板和第二电容器板(TSV开口220的存在是任选的)即可。
通常,本公开内容的实施方式可以在衬底上形成或实行,例如由包括例如N型或P型材料系统的半导体材料系统构成的半导体衬底。在一个实施方式中,半导体衬底可以是使用块状硅或绝缘体上硅子结构形成的晶体衬底。在其他实施方式中,可以使用替代材料形成半导体衬底,替代材料可以与硅组合或可以不与硅组合,替代材料包括但不限于锗、锑化铟、碲化铅、砷化铟、磷化铟、砷化镓、砷化铟镓、锑化镓或III-V族、II-VI族或IV族材料的其他组合。尽管此处描述了可以形成衬底的材料的几个示例,但是可以用作可以在其上构建IC的基础的任何材料都落入本公开内容的精神和范围内。在各种实施例中,支撑结构202可以包括任何这样的衬底,其可能具有已经形成在其上的一些层和/或器件(在本图中未具体示出),提供用于基于虚设TSV板形成去耦电容器的合适表面,如本文中所述。
虽然在本公开内容的附图中没有具体示出,但是在工艺102中可以使用任何合适的工艺来形成TSV开口220和虚设TSV板开口222,例如,任何合适的光刻工艺与合适的蚀刻工艺的组合。在各种实施例中,合适的光刻工艺可以包括光刻、电子束光刻等,它们可以用于限定TSV开口220和虚设TSV板开口222的位置和尺寸。在各种实施例中,合适的蚀刻工艺可包括干法蚀刻、湿法蚀刻等,它们可以用于去除支撑结构202在由光刻工艺限定的区域中的部分,以形成TSV开口220和虚设TSV板开口222。例如,任何合适的各向异性蚀刻工艺(例如,干法蚀刻)可以在工艺102中用于穿过由光刻工艺限定的开口(例如,在光致抗蚀剂材料中限定的开口,图2A中未示出)蚀刻支撑结构202,以形成TSV开口220和虚设TSV板开口222。在一些实施例中,在工艺102中的支撑结构202的蚀刻期间,IC结构可以被加热到升高的温度,例如,加热到约室温与200摄氏度之间的温度(包括其中的所有值和范围),以促进使得蚀刻的副产物充分挥发以从表面去除。
在一些实施例中,TSV开口220的宽度(沿着图2所示的示例坐标系x-y-z的x轴测量的尺寸)可以在约100纳米与20微米之间(在各种实施例中,TSV开口尺寸可以为数百纳米至数十微米),包括其中的所有值和范围,例如在约500纳米与10微米之间。在一些实施例中,虚设TSV板开口222的宽度可以小于TSV开口220的宽度(例如,以使用微负载效应并且使附加工艺步骤最少),例如,虚设TSV板开口222的宽度可以在约250纳米与5000纳米之间,包括其中的所有值和范围,例如,在约100纳米与2500纳米之间。在一些实施例中,TSV开口220的宽度可以比虚设TSV板开口222的宽度大大约1.5倍与10倍之间,包括其中的所有值和范围,例如大大约2倍与5倍之间。因为TSV开口220的宽度大于虚设TSV板开口222的宽度,所以TSV开口220可以比虚设TSV板开口222更进一步/更深地蚀刻到支撑结构202中。在一些实施例中,TSV开口220的深度(沿着图2所示的示例坐标系的z轴测量的尺寸)可以比虚设TSV板开口222的深度大大约1.1倍与4倍之间,包括其中的所有值和范围,例如大大约1.5倍与2倍之间。在一些实施例中,TSV开口220的深度可以比支撑结构202的厚度(即,支撑结构202的第一侧224-1与第二侧224-2之间的距离,沿着图2中所示的示例坐标系的z轴测量的尺寸)小大约1.1倍与4倍之间。在一些实施例中,TSV开口220的深度可以在约500纳米与50微米之间(其可以为数百纳米至数十微米,对于常规TSV,其可以为数微米至数十微米,例如2微米至50微米),包括其中的所有值和范围,例如在约1微米与30微米之间,或在约1微米与25微米之间。在一些实施例中,虚设TSV板开口222的深度可以在约250纳米与25微米之间,包括其中的所有值和范围,例如在约500纳米与15微米之间。
虚设TSV板开口222是板状开口,因为对于每个虚设TSV板开口222,宽度可以比长度和深度中的每一个小至少2倍,包括其中的所有值和范围,例如小至少3倍或小至少5倍。虚设TSV板开口222的长度(沿着图2所示的示例坐标系的y轴测量的尺寸)可以与这些开口的深度相当,或者在一些实施例中,可以小于这些开口的深度。在一些实施例中,虚设TSV板开口222的间距(即,相邻开口222的中心到中心距离)可以在虚设TSV板开口222的宽度的约1.01倍与2倍之间,包括其中的所有值和范围,例如在虚设TSV板开口222的宽度的约1.1倍与1.5倍之间。在一些实施例中,TSV开口220中的一个与虚设TSV板开口222中的一个的最接近侧壁之间的距离可以在约100纳米与数十微米之间,包括其中的所有值和范围,例如在约500纳米与50微米之间。
方法100然后可以进行工艺104,其包括将绝缘体材料的层/衬层共形沉积到在工艺102中形成的开口中。此结果以IC结构200B示出,如图2B中所示,其示出沉积到在工艺102中形成的开口220和222中的绝缘体材料204的层。在工艺104的一些实施例中,可以使用用于将材料共形地沉积到选定表面上的任何合适的技术(例如,原子层沉积(ALD)、化学气相沉积(CVD)、等离子体增强CVD(PECVD)或/和物理气相沉积(PVD)工艺(例如,溅射))将绝缘体材料204的衬层沉积在TSV开口220的侧壁和底部之上以及虚设TSV板开口222的侧壁和底部之上。在一些实施例中,绝缘体材料204可以包括用于用作导电材料的绝缘阻挡的任何合适的材料,该导电材料稍后将填充TSV开口220和虚设TSV板开口222。这种材料的示例包括但不限于二氧化硅和氮化硅。在一些实施例中,绝缘体材料204可以包括用于用作未来去耦电容器的电容器绝缘体的任何合适的材料。这种材料的示例包括但不限于,已知可应用于IC的电介质材料,例如低k电介质材料。可以用作绝缘体材料204的电介质材料的示例可以包括但不限于二氧化硅(SiO2)、碳掺杂氧化物(CDO)、氮化硅、氟硅酸盐玻璃(FSG)、氮化硅和有机硅酸盐(例如,倍半硅氧烷、硅氧烷或有机硅酸盐玻璃)。在一些实施例中,绝缘体材料204可以包括有机聚合物,例如聚酰亚胺、聚降冰片烯、苯并环丁烯、全氟环丁烷或聚四氟乙烯(PTFE)。可以用作绝缘体材料204的低k电介质材料的又一些示例包括基于硅的聚合电介质,例如氢倍半硅氧烷(HSQ)和甲基硅倍半氧烷(MSQ)。在一些实施例中,TSV开口220的侧壁和底部上的绝缘体材料204的厚度可以在约1纳米与7纳米之间,包括其中的所有值和范围,例如在约2纳米与5纳米之间。
方法100然后可以进行工艺106,其包括将阻挡材料的层/衬层共形沉积到在工艺102中形成的开口中。此结果以IC结构200C示出,如图2C中所示,其示出沉积到在工艺102中形成的开口220和222中的阻挡材料206的层。特别地,图2C示出了阻挡材料206沉积到首先用绝缘材料204作衬层的开口220和222中的实施例。然而,在IC结构200的其他实施例中,可以不存在绝缘体材料204或阻挡材料206(即,在方法100的其他实施例中,可以不存在工艺104或工艺106)。在工艺106的一些实施例中,可以使用用于将材料共形沉积到选定表面上的任何合适的技术(例如,ALD、CVD、PECVD或/和PVD)将阻挡材料206的衬层沉积在TSV开口220的侧壁和底部之上以及虚设TSV板开口222的侧壁和底部之上。在一些实施例中,阻挡材料206可以包括用于用作导电材料的扩散阻挡的任何合适的材料,该导电材料稍后将填充TSV开口220和虚设TSV板开口222。这种材料的示例包括但不限于导电材料,例如钽(Ta)、氮化钽(TaN)、钛(Ti)、氮化钛(TiN)、钌(Ru)和钴(Co)。在一些实施例中,阻挡材料206的衬层可以有助于提供导电性,并且因此,用于阻挡材料206的材料的选择可以反映出这一点。例如,阻挡材料206可以包括具有宽范围的电阻率的材料,例如电阻率在0.1μΩ·cm至400μΩ·cm的范围内,包括其中的所有值和范围。关于电阻率更具体地,在一些实施方式中,可以选择阻挡材料206的材料,使得电连接的电阻满足所实施的特定电路的适当要求。因此,在一些实施例中,仍可以以适当低的厚度使用(一种或多种)高电阻率材料,使得净电阻满足电路要求。在一些实施例中,阻挡材料206在TSV开口220的侧壁和底部上的厚度可以在约5纳米与数百纳米之间,包括其中的所有值和范围,例如在约10纳米与200纳米之间。
方法100然后可以继续进行工艺108,其包括用导电材料填充TSV开口220和虚设TSV板开口222。此结果以IC结构200D示出,如图2D中所示,其示出了提供在TSV开口220和虚设TSV板开口222内以及IC结构200D的上表面之上的导电材料208。可以在工艺108中使用沉积技术沉积导电材料208,沉积技术例如但不限于ALD、CVD、PECVD、PVD或电镀。在工艺108中沉积的导电材料208可以包括任何合适的导电材料(导体)中的一种或多种。这样的材料可以包括任何合适的导电材料、合金或多种导电材料的堆叠体。在一些实施例中,导电材料208可以包括一种或多种金属或金属合金,其中金属例如铜、钌、钯、铂、钴、镍、铪、锆、钛、钽和铝。在一些实施例中,导电材料208可以包括一种或多种金属的一种或多种导电合金、氧化物(例如,导电金属氧化物)、碳化物(例如,碳化铪、碳化锆、碳化钛、碳化钽和碳化铝、钨、碳化钨)或氮化物(例如,氮化铪、氮化锆、氮化钛、氮化钽和氮化铝)。
接下来,方法100可以包括工艺110,其包括去除在工艺108中沉积在IC结构的上表面之上(包括在TSV开口220之上和在虚设TSV板开口222之上)的过量导电材料208,以暴露支撑结构202的顶部(例如,第二侧224-2)。此结果以图2E中所示的IC结构200E示出。去除过量材料的工艺通常称为“平坦化”。在各种实施例中,可以使用湿法或干法平坦化工艺来执行工艺110的平坦化。在一个实施例中,可以使用化学机械平坦化(CMP)来执行工艺110的平坦化,CMP可以被理解为利用抛光表面、研磨剂和浆料来去除导电材料208的覆盖层(overburden)并且平坦化IC结构200D的表面以暴露支撑结构202的上表面以及TSV开口220内和虚设TSV板开口222内的导电材料208的上表面的工艺。虽然图2E和后续附图示出TSV开口220和虚设TSV板开口222完全填充有导电材料208,但在IC结构200的其他实施例中,填充可以仅在TSV开口220和虚设TSV板开口222中的任一个中是部分的(例如,导电材料208可以仅在TSV开口220和虚设TSV板开口222中的任一个的侧壁和底部作为衬层)。
至少部分地填充有导电材料208的TSV开口220形成TSV 230(在图2E中标记为TSV230-1到230-4,对应于TSV开口220-1到220-4)。类似地,至少部分地填充有导电材料208的虚设TSV板开口222形成虚设TSV板232(在图2E中标记为虚设TSV板232-1到232-5,对应于虚设TSV板开口222-1到222-5)。
相邻虚设TSV板232可以形成IC结构200的去耦电容器的第一电容器电极和第二电容器电极(在此情况下为电容器板)。为此,方法100还可包括工艺112,其包括提供到由虚设TSV板232形成的去耦电容器的第一电容器板和第二电容器板的触点(即,电连接/互连)。此结果以IC结构200F示出,如图2F-图2H中所示,其示出了去耦电容器234-1(C1)到234-4(C4),并且进一步示出了用于提供到TSV 230的导电材料208的电连接的TSV互连240-1和240-2,以及用于分别提供到去耦电容器234的第一电容器板和第二电容器板的电连接的去耦电容器互连242-1和242-2。
由相邻虚设TSV板232形成的去耦电容器234的第一电容器电极和第二电容器电极在IC结构200F中分别标记为电容器电极E1Cx和E2Cx,其中“E”代表“电极”(即,电容器电极/板),并且“x”可以为指示给定去耦电容器的整数(例如,x可以为1、2、3或4以指示电容器C1到C4中的一个)。例如,如IC结构200F中所标记,虚设TSV板232-1和232-2可以分别形成第一去耦电容器234-1的第一电容器电极E1C1和第二电容器电极E2C1,虚设TSV板232-3和232-2可以分别形成第二去耦电容器234-2的第一电容器电极E1C2和第二电容器电极E2C2,虚设TSV板232-3和232-4可以分别形成第三去耦电容器234-3的第一电容器电极E1C3和第二电容器电极E2C3,并且虚设TSV板232-5和232-4可以分别形成第四去耦电容器234-4的第一电容器电极E1C4和第二电容器电极E2C4。因此,当实施多个去耦电容器234时,虚设TSV板232中的一些可以用作不同去耦电容器的电容器电极。例如,在IC结构200F中,虚设TSV板232-2、232-3和232-4中的每一个可以共享为不同去耦电容器234的电容器电极。对于各个去耦电容器234,电容器绝缘体(即,将电容器的第一电容器电极与第二电容器电极分开的电绝缘材料)可以是支撑结构202的在第一电容器电极与第二电容器电极之间的部分和/或在虚设TSV板开口222内提供的绝缘体材料204。
在一些实施例中,各个去耦电容器234的第一电容器电极E1Cx可以耦接到第一去耦电容器互连242-1,而各个去耦电容器234的第二电容器电极E2Cx可以耦接到可以与第一去耦电容器互连242-1电隔离的第二去耦电容器互连242-2。在一些这样的实施例中,多个去耦电容器234的第一电容器电极和第二电容器电极可以交替的方式提供,如IC结构200F的示例所示。在一些实施例中,各个去耦电容器234的第一电容器电极E1Cx可以例如经由第一去耦电容器互连242-1耦接到正电源电压(例如VDD),而各个去耦电容器234的第二电容器电极E2Cx可以例如经由第二去耦电容器互连242-2耦接到负电源电压或地电位(例如VSS),在其他实施例中,这可以被反转,即,各个去耦电容器234的第一电容器电极E1Cx可以例如经由第一去耦电容器互连242-1耦接到负电源电压(例如VSS),而各个去耦电容器234的第二电容器电极E2Cx可以例如经由第二去耦电容器互连242-2耦接到正电源电压或地电位(例如VDD),在一些实施例中,第一TSV互连240-1可以耦接到TSV 230-1和230-3的导电材料208,而第二TSV互连240-2可以耦接到TSV 230-2和230-4的导电材料208。在其他实施例中,互连240和242中的任何一个的数量和位置可以与图2F-图2H中所示的不同。
互连240和242可以由触点材料210形成并且可以提供在电介质材料212的层内,触点材料210可以包括任何合适的导电材料,例如上文参考导电材料208描述的导电材料中的任何一种,电介质材料212可以包括上文描述的电介质/层间电介质(ILD)材料中的任何一种。为了不使去耦电容器234模糊,在图2F的俯视图中未示出电介质材料212。
方法100可以以工艺114结束,其包括减薄支撑结构202的背侧以实现在支撑结构202的正侧与背侧之间延伸的TSV。此结果以IC结构200I示出,如图2I-图2J中所示,其示出了可以减薄支撑结构202的背侧224-1直到TSV 230的导电材料208暴露(即,在背侧224-1的表面处)使得可形成到TSV 230的电连接。IC结构200I的俯视图未具体示出,因为在一些实施例中,其可以与图2F中所示的IC结构200F的俯视图大致相同。
示例设备
本文所公开的具有基于虚设TSV板的去耦电容器的IC结构可以被包括在任何合适的电子设备中。图3-图6示出了可以包括本文公开的IC结构中的一个或多个的装置的各种示例。
图3A和图3B是根据本文公开的任何实施例的包括具有基于虚设TSV板的一个或多个去耦电容器的一个或多个IC结构的晶圆和管芯的俯视图。晶圆1100可以由半导体材料构成,并且可以包括具有形成在晶圆1100的表面上的IC结构的一个或多个管芯1102。每个管芯1102可以是包括任何合适的IC结构(例如,图2F-图2I中的任何一个中所示的IC结构200,或者IC结构200的任何其他实施例)的半导体产品的重复单元。在半导体产品的制造完成之后(例如,在制造包括在特定电子部件中(例如,晶体管中或存储器设备中)的如本文所描述的具有基于虚设TSV板的一个或多个去耦电容器的一个或多个IC结构之后),晶圆1100可以经历单切(singulation)工艺,其中管芯1102中的每一个彼此分离以提供半导体产品的分立“芯片”。特别地,包括如本文所公开的具有基于虚设TSV板的一个或多个去耦电容器的一个或多个IC结构的器件可以采取晶圆1100的形式(例如,未经单切)或管芯1102的形式(例如,经单切)。管芯1102可以包括一个或多个晶体管(例如,下面讨论的图4的晶体管1240中的一个或多个)和/或支持电路以将电信号路由到晶体管以及任何其他IC部件(例如,如本文讨论的具有基于虚设TSV板的一个或多个去耦电容器的一个或多个IC结构)。在一些实施例中,晶圆1100或管芯1102可以包括存储器器件(例如,静态随机存取存储器(SRAM)器件)、逻辑器件(例如,AND、OR、NAND或NOR门)或任何其他合适的电路元件。这些器件中的多个器件可以被组合在单个管芯1102上。例如,由多个存储器器件形成的存储器阵列可以与被配置为将信息存储在存储器器件中或执行存储在存储器阵列中的指令的处理设备(例如,图6的处理设备1402)或其他逻辑形成在同一管芯1102上。
图4是根据本文公开的任何实施例的可以包括具有基于虚设TSV板的一个或多个去耦电容器的一个或多个IC结构的IC器件1200的侧视截面图。IC器件1200可以形成在衬底1202(例如,图3A的晶圆1100)上,并且可以被包括在管芯(例如,图3B的管芯1102)中。衬底1202可以是如本文所述的任何衬底。衬底1202可以是经单切管芯(例如,图3B的管芯1102)或晶圆(例如,图3A的晶圆1100)的一部分。
IC器件1200可以包括设置在衬底1202上的一个或多个器件层1204。器件层1204可以包括形成在衬底1202上的一个或多个晶体管1240(例如,金属氧化物半导体场效应晶体管(MOSFET))的特征。器件层1204可以包括例如一个或多个源极和/或漏极(S/D)区1220、用于控制S/D区1220之间的晶体管1240中的电流流动的栅极1222、以及用于向/从S/D区1220路由电信号的一个或多个S/D触点1224。晶体管1240可以包括为了清楚起见而未示出的附加特征,例如器件隔离区、栅极触点等。晶体管1240不限于图4中示出的类型和配置,并且可以包括各种各样的其他类型和配置,例如平面晶体管、非平面晶体管或两者的组合。非平面晶体管可以包括FinFET晶体管,例如双栅晶体管或三栅晶体管,以及环栅或全环栅晶体管,例如纳米带和纳米线晶体管。
每个晶体管1240可以包括由至少两层(栅极电极层和栅极电介质层)形成的栅极1222。
栅极电极层可以形成在栅极互连支撑层上,并且可以分别由至少一种P型功函数金属或N型功函数金属组成,这取决于晶体管是PMOS晶体管还是NMOS晶体管。在一些实施方式中,栅极电极层可以由两个或更多个金属层的堆叠体组成,其中一个或多个金属层是功函数金属层,并且至少一个金属层是填充金属层。为了其他目的,可以包括另外的金属层,例如阻挡层或/和粘附层。
对于PMOS晶体管,可以用于栅极电极的金属包括但不限于钌、钯、铂、钴、镍和导电金属氧化物,例如氧化钌。P型金属层将能够形成具有在约4.9电子伏特(eV)与约5.2eV之间的功函数的PMOS栅极电极。对于NMOS晶体管,可以用于栅极电极的金属包括但不限于铪、锆、钛、钽、铝、这些金属的合金、以及这些金属的碳化物,例如碳化铪、碳化锆、碳化钛、碳化钽、碳化铝、钨、碳化钨。N型金属层将能够形成具有在约3.9eV与约4.2eV之间的功函数的NMOS栅极电极。
在一些实施例中,当沿着源极-沟道-漏极方向观察晶体管1240的截面时,栅极电极可以形成为U形结构,该U形结构包括基本上平行于衬底的表面的底部部分和基本上垂直于衬底的顶表面的两个侧壁部分。在其他实施例中,形成栅极电极的金属层中的至少一个可以简单地是基本上平行于衬底的顶表面的平面层,并且不包括基本上垂直于衬底的顶表面的侧壁部分。在其他实施例中,栅极电极可以被实施为U形结构和平面非U形结构的组合。例如,栅极电极可以被实施为在一个或多个平面非U形层的顶上形成的一个或多个U形金属层。在一些实施例中,栅极电极可以由V形结构组成(例如,当FinFET晶体管的鳍状物不具有“平坦”上表面,而是具有圆形峰时)。
通常,晶体管1240的栅极电介质层可以包括一层或层的堆叠体,并且该一个或多个层可以包括氧化硅、二氧化硅和/或高k电介质材料。包括在晶体管1240的栅极电介质层中的高k电介质材料可以包括诸如铪、硅、氧、钛、钽、镧、铝、锆、钡、锶、钇、铅、钪、铌和锌的元素。可以用于栅极电介质层的高k材料的示例包括但不限于氧化铪、氧化铪硅、氧化镧、氧化镧铝、氧化锆、氧化锆硅、氧化钽、氧化钛、氧化锶钡钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化钪铅钽、和铌酸锌铅。在一些实施例中,当使用高k材料时,可以对栅极电介质层实行退火工艺以提高其质量。
尽管图4中未具体示出,但IC器件1200可以在IC器件1200中的任何合适位置处包括基于虚设TSV板的一个或多个去耦电容器。
S/D区1220可以使用本领域已知的任何合适的工艺形成在衬底1202内相邻于每个晶体管1240的栅极1222处。例如,可以使用注入/扩散工艺或沉积工艺形成S/D区1220。在前一工艺中,可以将诸如硼、铝、锑、磷或砷的掺杂剂离子注入到衬底1202中以形成S/D区1220。激活掺杂剂并且使它们进一步扩散到衬底1202中的退火工艺可以在离子注入工艺之后。在后一工艺中,外延沉积工艺可以提供用于制造S/D区1220的材料。在一些实施方式中,可以使用例如硅锗或碳化硅的硅合金来制造S/D区1220。在一些实施例中,可以用诸如硼、砷或磷的掺杂剂原位掺杂外延沉积的硅合金。在一些实施例中,可以使用一种或多种替代半导体材料(例如,锗或III-V族材料或合金)形成S/D区1220。在另外的实施例中,可以使用一层或多层金属和/或金属合金来形成S/D区1220。在一些实施例中,可以在外延沉积之前执行蚀刻工艺以在衬底1202中产生凹槽,在其中沉积用于S/D区1220的材料。
可以通过设置在器件层1204上的一个或多个互连层(在图4中被示为互连层1206-1210)向和/或从器件层1204的晶体管1240路由电信号(例如,功率和/或输入/输出(I/O)信号)。例如,器件层1204的导电特征(例如,栅极1222和S/D触点1224)可以与互连层1206-1210的互连结构1228电耦接。一个或多个互连层1206-1210可以形成IC器件1200的ILD堆叠体1219。
互连结构1228可以被布置在互连层1206-1210内以根据各种设计来路由电信号(特别地,该布置不限于图4中示出的互连结构1228的特定配置)。尽管图4中示出了特定数量的互连层1206-1210,但本公开内容的实施例包括具有比所示的互连层更多或更少互连层的IC器件。
在一些实施例中,互连结构1228可以包括填充有诸如金属的导电材料的沟槽接触结构1228a(有时被称为“线”)和/或过孔结构1228b(有时被称为“孔”)。沟槽接触结构1228a可以被布置成在与衬底1202的其上形成器件层1204的表面基本上平行的平面的方向上路由电信号。例如,沟槽接触结构1228a可以在从图4的角度看进出页面的方向上路由电信号。过孔结构1228b可以被布置成在与衬底1202的其上形成器件层1204的表面基本上垂直的平面的方向上路由电信号。在一些实施例中,过孔结构1228b可以将不同互连层1206-1210的沟槽接触结构1228a电耦接在一起。
互连层1206-1210可以包括设置在互连结构1228之间的电介质材料1226,如图4所示。电介质材料1226可以采用提供在本文所公开的IC结构的互连之间的电介质材料的实施例中的任何一个的形式,采用例如本文参考本文所描述的电介质材料204或212所论述的实施例中的任何一个的形式。
在一些实施例中,设置在互连层1206-1210中的不同互连层中的互连结构1228之间的电介质材料1226可以具有不同的成分。在其他实施例中,不同互连层1206-1210之间的电介质材料1226的成分可以相同。
第一互连层1206(称为金属1或“M1”)可以直接形成在器件层1204上。在一些实施例中,第一互连层1206可以包括沟槽接触结构1228a和/或过孔结构1228b,如图所示。第一互连层1206的沟槽接触结构1228a可以与器件层1204的触点(例如,S/D触点1224)耦接。
第二互连层1208(称为金属2或“M2”)可以直接形成在第一互连层1206上。在一些实施例中,第二互连层1208可以包括过孔结构1228b以将第二互连层1208的沟槽接触结构1228a与第一互连层1206的沟槽接触结构1228a耦接。尽管为了清楚起见,沟槽接触结构1228a和过孔结构1228b在结构上用每个互连层内(例如,在第二互连层1208内)的线来勾画,但是在一些实施例中,沟槽接触结构1228a和过孔结构1228b可以在结构上和/或在材料上是连续的(例如,在双镶嵌工艺期间同时填充)。
根据结合第二互连层1208或第一互连层1206描述的类似技术和配置,可以在第二互连层1208上接连地形成第三互连层1210(称为金属3或“M3”)(以及根据需要的附加互连层)。
IC器件1200可以包括形成在互连层1206-1210上的阻焊剂材料1234(例如,聚酰亚胺或类似材料)和一个或多个接合焊盘1236。接合焊盘1236可以与互连结构1228电耦接,并且被配置为将(一个或多个)晶体管1240的电信号路由到其他外部器件。例如,焊料接合可以形成在一个或多个接合焊盘1236上,以将包括IC器件1200的芯片与另一部件(例如,电路板)机械和/或电耦接。IC器件1200可以具有不同于其他实施例中所示的其他替代配置以路由来自互连层1206-1210的电信号。例如,接合焊盘1236可以被将电信号路由到外部部件的其他类似特征(例如,柱)代替,或者可以进一步包括将电信号路由到外部部件的其他类似特征(例如,柱)。
图5是根据本文公开的任何实施例的可以包括具有带有基于虚设TSV板的去耦电容器的一个或多个IC结构或与一个或多个IC结构相关联(例如,借助于一个或多个IC结构电连接)的部件的IC器件组件1300的侧视截面图。IC器件组件1300包括设置在电路板1302(其可以为例如主板)上的多个部件。IC器件组件1300包括设置在电路板1302的第一面1340和电路板1302的相对第二面1342上的部件;通常,部件可以设置在面1340和1342中的一个或两个上。特别地,IC器件组件1300的部件中的任何合适的部件可以包括本文公开的基于虚设TSV板的去耦电容器中的任何去耦电容器。
在一些实施例中,电路板1302可以是包括多个金属层的印刷电路板(PCB),所述多个金属层通过电介质材料层彼此分离并且通过导电过孔互连。任何一个或多个金属层可以以期望的电路图案形成,以(可选地与其他金属层结合)在耦接到电路板1302的部件之间路由电信号。在其他实施例中,电路板1302可以是非PCB衬底。
图5中所示的IC器件组件1300包括通过耦接部件1316耦接到电路板1302的第一面1340的中介层上封装结构1336。耦接部件1316可以将中介层上封装结构1336电和机械地耦接到电路板1302,并且可以包括焊球(如图5所示)、插座的凸出和凹入部分、粘合剂、底部填充材料和/或任何其他合适的电和/或机械耦接结构。
中介层上封装结构1336可以包括通过耦接部件1318耦接到中介层1304的IC封装1320。耦接部件1318可以采取用于应用的任何合适的形式,例如上面参考耦接部件1316讨论的形式。尽管图5中示出了单个IC封装1320,但是多个IC封装可以耦接到中介层1304;实际上,附加的中介层可以耦接到中介层1304。中介层1304可以提供用于桥接电路板1302和IC封装1320的中间衬底。IC封装1320可以是或者包括例如管芯(图3B的管芯1102)、IC器件(例如图4的IC器件1200)或者任何其他合适的部件。在一些实施例中,IC封装1320可以包括如本文所述的基于虚设TSV板的一个或多个去耦电容器。通常,中介层1304可以将连接扩展到更宽的间距或者将连接重新布线到不同的连接。例如,中介层1304可以将IC封装1320(例如管芯)耦接到耦接部件1316的球栅阵列(BGA),以用于耦接到电路板1302。在图5所示的实施例中,IC封装1320和电路板1302附接到中介层1304的相对侧;在其他实施例中,IC封装1320和电路板1302可以附接到中介层1304的同一侧。在一些实施例中,三个或更多个部件可以通过中介层1304互连。
中介层1304可以由环氧树脂、玻璃纤维增强环氧树脂、陶瓷材料或诸如聚酰亚胺的聚合物材料形成。在一些实施方式中,中介层1304可以由交替的刚性或柔性材料形成,这些交替的刚性或柔性材料可以包括与上文描述的用于半导体衬底中的材料相同的材料,例如硅、锗、以及其他III-V族和IV族材料。中介层1304可以包括金属互连1308和过孔1310,包括但不限于TSV 1306。中介层1304还可以包括嵌入式器件1314,包括无源和有源器件。这些器件可以包括但不限于电容器、去耦电容器、电阻器、电感器、熔丝、二极管、变压器、传感器、静电放电(ESD)器件和存储器器件。诸如射频(RF)器件、功率放大器、功率管理器件、天线、阵列、传感器和微机电系统(MEMS)器件之类的更复杂的器件也可以形成在中介层1304上。如本文所述,中介层1304还可以包括基于虚设TSV板的一个或多个去耦电容器。中介层上封装结构1336可以采用本领域已知的任何中介层上封装结构的形式。
IC器件组件1300可以包括通过耦接部件1322耦接到电路板1302的第一面1340的IC封装1324。耦接部件1322可以采用以上参考耦接部件1316讨论的任何实施例的形式,并且IC封装1324可以采用以上参考IC封装1320讨论的任何实施例的形式。
图5中所示的IC器件组件1300包括通过耦接部件1328耦接到电路板1302的第二面1342的堆叠式封装结构1334。堆叠式封装结构1334可以包括通过耦接部件1330耦接在一起的IC封装1326和IC封装1332,使得IC封装1326被设置在电路板1302与IC封装1332之间。耦接部件1328和1330可以采用上述耦接部件1316的任何实施例的形式,并且IC封装1326和1332可以采用上述IC封装1320的任何实施例的形式。堆叠式封装结构1334可以根据本领域已知的任何堆叠式封装结构来配置。
图6是根据本文公开的任何实施例的可以包括包括具有基于虚设TSV板的一个或多个去耦电容器的一个或多个IC结构的一个或多个部件的示例计算设备1400的框图。例如,计算设备1400的部件中的任何合适部件可包括如本文所描述的具有基于虚设TSV板的一个或多个去耦电容器的管芯(例如,图3B的管芯1102)。计算设备1400的任何一个或多个部件可以包括IC器件1200(图4),或者被包括在IC器件1200中。计算设备1400的任何一个或多个部件可以包括IC器件组件1300(图5)或被包括在IC器件组件1300中。
图6中示出了包括在计算设备1400中的多个部件,但是这些部件中的任何一个或多个都可以被省略或复制,以适合于应用。在一些实施例中,包括在计算设备1400中的一些或所有部件可以附接到一个或多个主板。在一些实施例中,将这些部件中的一些或全部制造到单个片上系统(SoC)管芯上。
另外,在各种实施例中,计算设备1400可以不包括图6中所示的一个或多个部件,但是计算设备1400可以包括用于耦接到一个或多个部件的接口电路。例如,计算设备1400可以不包括显示设备1406,但可以包括显示设备1406可以耦接到的显示设备接口电路(例如,连接器和驱动器电路)。在另一组示例中,计算设备1400可以不包括音频输入设备1424或音频输出设备1408,但是可以包括音频输入设备1424或音频输出设备1408可以耦接到的音频输入或输出设备接口电路(例如,连接器和支持电路)。
计算设备1400可以包括处理设备1402(例如,一个或多个处理设备)。如本文所使用的,术语“处理设备”或“处理器”可以指处理来自寄存器和/或存储器的电子数据以将该电子数据转换成可以存储在寄存器和/或存储器中的其他电子数据的任何设备或设备的一部分。处理设备1402可以包括一个或多个数字信号处理器(DSP)、专用集成电路(ASIC)、中央处理单元(CPU)、图形处理单元(GPU)、密码处理器(在硬件内执行密码算法的专用处理器)、服务器处理器或任何其他合适的处理设备。计算设备1400可以包括存储器1404,其本身可以包括一个或多个存储器器件,例如易失性存储器(例如,动态随机存取存储器(DRAM))、非易失性存储器(例如,只读存储器(ROM))、闪存存储器、固态存储器、和/或硬盘驱动器。在一些实施例中,存储器1404可以包括与处理设备1402共享管芯的存储器。该存储器可以用作高速缓冲存储器并且可以包括嵌入式动态随机存取存储器(eDRAM)或自旋转移矩磁性随机存取存储器(STT-MRAM)。
在一些实施例中,计算设备1400可以包括通信芯片1412(例如,一个或多个通信芯片)。例如,通信芯片1412可以被配置用于管理用于向和从计算设备1400传输数据的无线通信。术语“无线”及其派生词可以用于描述可以通过使用经调制的电磁辐射经由非固体介质来传递数据的电路、设备、系统、方法、技术、通信信道等。该术语并不意味着相关联的设备不包含任何导线,尽管在一些实施例中它们可能不包含。
通信芯片1412可以实施多种无线标准或协议中的任何一种,包括但不限于电气和电子工程师协会(IEEE)标准,包括Wi-Fi(IEEE 802.11系列)、IEEE 802.16标准(例如,IEEE802.16-2005修订版)、长期演进(LTE)项目以及任何修订版、更新版和/或修正版(例如,高级LTE项目、超移动宽带(UMB)项目(也称为“3GPP2”)等)。兼容IEEE 802.16的宽带无线接入(BWA)网络通常被称为WiMAX网络,WiMAX是代表微波接入全球互操作的首字母缩写词,WiMAX是通过IEEE 802.16标准的一致性和互操作性测试的产品的认证标志。通信芯片1412可以根据全球移动通信系统(GSM)、通用分组无线业务(GPRS)、通用移动电信系统(UMTS)、高速分组接入(HSPA)、演进HSPA(E-HSPA)或LTE网络来进行操作。通信芯片1412可以根据增强型数据速率GSM演进(EDGE)、GSM EDGE无线接入网络(GERAN)、通用陆地无线接入网络(UTRAN)或演进型UTRAN(E-UTRAN)来进行操作。通信芯片1412可以根据码分多址(CDMA)、时分多址(TDMA)、数字增强无绳电信(DECT)、演进数据优化(EV-DO)及其派生物、以及被命名为3G、4G、5G及后续代的任何其他无线协议来进行操作。在其他实施例中,通信芯片1412可以根据其他无线协议进行操作。计算设备1400可以包括天线1422,以便于无线通信和/或接收其他无线通信(例如AM或FM无线传输)。
在一些实施例中,通信芯片1412可以管理有线通信,例如电、光或任何其他合适的通信协议(例如,以太网)。如上所述,通信芯片1412可以包括多个通信芯片。例如,第一通信芯片1412可以专用于诸如Wi-Fi或蓝牙之类的较短距离无线通信,并且第二通信芯片1412可以专用于诸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、EV-DO或其他之类的较长距离无线通信。在一些实施例中,第一通信芯片1412可以专用于无线通信,并且第二通信芯片1412可以专用于有线通信。
计算设备1400可以包括电池/电源电路1414。电池/电源电路1414可以包括一个或多个能量存储设备(例如,电池或电容器)和/或用于将计算设备1400的部件耦接到与计算设备1400分离的能量源(例如,AC线路电源)的电路。
计算设备1400可以包括显示设备1406(或如上所讨论的对应接口电路)。显示设备1406可以包括任何视觉指示器,例如平视显示器、计算机监视器、投影仪、触摸屏显示器、液晶显示器(LCD)、发光二极管显示器或平板显示器。
计算设备1400可以包括音频输出设备1408(或如上所讨论的对应接口电路)。音频输出设备1408可以包括产生可听指示的任何设备,例如扬声器、耳机或耳塞。
计算设备1400可以包括音频输入设备1424(或如上所讨论的对应接口电路)。音频输入设备1424可以包括产生表示声音的信号的任何设备,例如麦克风、麦克风阵列或数字乐器(例如,具有乐器数字接口(MIDI)输出的乐器)。
计算设备1400可以包括全球定位系统(GPS)设备1418(或如上所讨论的对应接口电路)。如本领域已知的,GPS设备1418可以与基于卫星的系统通信,并且可以接收计算设备1400的位置。
计算设备1400可以包括其他输出设备1410(或如上所讨论的对应接口电路)。其他输出设备1410的示例可以包括音频编解码器、视频编解码器、打印机、用于向其他设备提供信息的有线或无线发射器、或附加存储设备。
计算设备1400可以包括其他输入设备1420(或如上所讨论的对应接口电路)。其他输入设备1420的示例可以包括加速计、陀螺仪、罗盘、图像捕捉设备、键盘、诸如鼠标、指示笔、触摸板之类的光标控制设备、条形码读取器、快速响应(QR)码读取器、任何传感器、或射频识别(RFID)读取器。
计算设备1400可以具有任何期望的形状因子,例如手持式或移动计算设备(例如,蜂窝电话、智能电话、移动互联网设备、音乐播放器、平板计算机、膝上型计算机、上网本计算机、超级本计算机、个人数字助理(PDA)、超移动个人计算机等)、台式计算设备、服务器或其他联网计算部件、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、车辆控制单元、数码相机、数字视频记录器或可穿戴计算设备。在一些实施例中,计算设备1400可以是处理数据的任何其他电子设备。
选择示例
以下段落提供了本文所公开的实施例的各种示例。
示例1提供了一种IC结构,包括:支撑结构(例如,衬底),具有第一侧和与第一侧相对的第二侧;TSV,在第一侧与第二侧之间延伸;以及去耦电容器(C1),具有第一电容器板(E1C1)、第二电容器板(E2C1)和在第一电容器板与第二电容器板之间的电容器绝缘体(硅具有~12的介电常数,将对电容有贡献)。在这种IC结构中,第一电容器板是支撑结构中的第一开口,第一开口至少部分地填充有第一导电材料,而第二电容器板是支撑结构中的第二开口,第二开口至少部分地填充有第二导电材料(其可以与第一导电材料相同或不同)。第一开口和第二开口中的每一个开口从支撑结构的第二侧朝向支撑结构的第一侧延伸,但是不到达支撑结构的第一侧。第一开口和第二开口中的每一个开口具有宽度、长度和深度,使得宽度比长度和深度中的每一个小至少约2倍,宽度是第一开口或第二开口在x-y-z坐标系的x轴方向上的尺寸,长度是第一开口或第二开口在x-y-z坐标系的y轴方向上的尺寸,并且深度是第一开口或第二开口在x-y-z坐标系的z轴方向上的尺寸,x、y和z轴中的每一个垂直于轴中的另外两个轴。
示例2提供了根据示例1的IC结构,其中,电容器绝缘体包括支撑结构的在第一电容器板与第二电容器板之间的部分。
示例3提供了根据示例1或2的IC结构,其中,第一开口和第二开口中的至少一个开口包括在开口的侧壁和底部上的绝缘体材料(例如,在本附图中示出的材料204)的衬层。
示例4提供了根据示例3的IC结构,其中,电容器绝缘体包括绝缘体材料的衬层的至少一部分。
示例5提供了根据示例3或4的IC结构,其中,绝缘体材料的衬层的厚度在大约1纳米与7纳米之间。
示例6提供了根据示例3-5中任一项的IC结构,还包括在TSV的侧壁和底部上的绝缘体材料的衬层。
示例7提供了根据示例3-6中任一项的IC结构,其中,绝缘体材料是氧化硅或氮化硅。
示例8提供了根据前述示例中任一项的IC结构,其中,第一开口和第二开口中的至少一个开口包括在开口的侧壁和底部上的阻挡材料的衬层。
示例9提供了根据前述示例中任一项的IC结构,其中,至少对于第一开口和第二开口中的一个开口,深度在约1微米与25微米之间。
示例10提供了根据前述示例中任一项的IC结构,其中,至少对于第一开口和第二开口中的一个开口,深度比支撑结构的第一侧与第二侧之间的距离小约1.1倍与4倍之间。
示例11提供了根据前述示例中任一项的IC结构,其中,至少对于第一开口和第二开口中的一个开口,宽度在约250纳米与5000纳米之间。
示例12提供了根据前述示例中任一项的IC结构,其中,第一开口和第二开口中的每一个开口的宽度小于TSV的宽度(即,TSV在x-y平面中的尺寸,例如,在本附图中示出的x-y-z坐标系的x轴方向上的尺寸),例如,小至少2倍或小至少4倍。
示例13提供了根据前述示例中任一项的IC结构,其中,第一电容器板耦接到正电源电压,并且第二电容器板耦接到负电源电压或地电压。
示例14提供了根据前述示例中任一项的IC结构,其中,去耦电容器是第一去耦电容器(C1),IC结构还包括第二去耦电容器(C2),第二去耦电容器(C2)具有第一电容器板、第二电容器板以及在第一电容器板与第二电容器板之间的电容器绝缘体,第二去耦电容器的第二电容器板(E2C2)是第一去耦电容器的第二电容器板(E2C1),第二去耦电容器的第一电容器板(E1C2)是支撑结构中的第三开口,第三开口至少部分地填充有第三导电材料(其可以与第一导电材料和第二导电材料相同或不同),第二开口在第一开口与第三开口之间,并且第三开口从支撑结构的第二侧朝向支撑结构的第一侧延伸,但是不到达支撑结构的第一侧。第三开口具有宽度、长度和深度,使得宽度比长度和深度中的每一个小至少约2倍,宽度是开口在x-y-z坐标系的x轴方向上的尺寸,长度是开口在x-y-z坐标系的y轴方向上的尺寸,并且深度是开口在x-y-z坐标系的z轴方向上的尺寸,x、y和z轴中的每一个垂直于轴中的另外两个轴。
示例15提供了根据示例14的IC结构,其中,IC结构还包括第三去耦电容器(C3)和第四去耦电容器(C4),第三去耦电容器(C3)和第四去耦电容器(C4)中的每一个去耦电容器具有第一电容器板、第二电容器板、以及在第一电容器板与第二电容器板之间的电容器绝缘体。第三去耦电容器的第一电容器板(E1C3)是第二去耦电容器的第一电容器板(E1C2)。第三去耦电容器的第二电容器板(E2C3)是第四去耦电容器的第二电容器板(E2C4)。第四去耦电容器的第二电容器板(E2C4)是支撑结构中的第四开口,第四开口至少部分地填充有第四导电材料(其可以与第一导电材料、第二导电材料和第三导电材料中的任何一个相同或不同)。第四去耦电容器的第一电容器板(E1C4)是支撑结构中的第五开口,第五开口至少部分地填充有第五导电材料(其可以与第一导电材料、第二导电材料、第三导电材料和第四导电材料中的任何一个相同或不同)。第四开口在第三开口与第五开口之间。第四开口和第五开口中的每一个开口从支撑结构的第二侧朝向支撑结构的第一侧延伸,但是不到达支撑结构的第一侧,并且第四开口和第五开口中的每一个开口具有宽度、长度和深度,使得宽度比长度和深度中的每一个小至少约2倍,宽度是开口在x-y-z坐标系的x轴方向上的尺寸,长度是开口在x-y-z坐标系的y轴方向上的尺寸,并且深度是开口在x-y-z坐标系的z轴方向上的尺寸,x、y和z轴中的每一个垂直于轴中的另外两个轴。
示例16提供了根据示例15的IC结构,其中,对于第一去耦电容器、第二去耦电容器、第三去耦电容器和第四去耦电容器中的每一个去耦电容器,第一电容器板耦接到正电源电压,并且第二电容器板耦接到负电源电压或地电压。
示例17提供了一种IC封装,包括:IC管芯,具有第一侧和与第一侧相对的第二侧;以及另一IC部件,耦接到IC管芯。IC管芯具有第一侧和与第一侧相对的第二侧,IC管芯包括去耦电容器(C1),去耦电容器(C1)具有第一电容器板(E1C1)、第二电容器板(E2C1)和在第一电容器板与第二电容器板之间的电容器绝缘体,第一电容器板是支撑结构中的第一开口,第一开口至少部分地填充有第一导电材料,并且第二电容器板是支撑结构中的第二开口,第二开口至少部分地填充有第二导电材料(其可以与第一导电材料相同或不同)。此外,第一开口和第二开口中的每一个开口从支撑结构的第二侧朝向支撑结构的第一侧延伸,但是不到达支撑结构的第一侧,第一开口和第二开口中的每一个开口具有宽度、长度和深度,使得宽度比长度和深度中的每一个小至少约2倍,宽度是第一开口或第二开口在x-y-z坐标系的x轴方向上的尺寸,长度是第一开口或第二开口在x-y-z坐标系的y轴方向上的尺寸,并且深度是第一开口或第二开口在x-y-z坐标系的z轴方向上的尺寸,x、y和z轴中的每一个垂直于轴中的另外两个轴。
示例18提供了根据示例17的IC封装,其中,IC管芯还包括在IC管芯的第一侧与第二侧之间延伸的TSV。
示例19提供了根据示例17或18的IC封装,其中,对于第一开口和第二开口中的每一个开口,深度比IC管芯的第一侧与第二侧之间的距离小约1.1倍与4倍之间。
示例20提供了根据示例17-19中任一项的IC封装,其中,另一部件是封装衬底、柔性衬底或中介层中的一个。
示例21提供了根据示例17-20中任一项的IC封装,其中,另一部件经由一个或多个第一级互连耦接到IC管芯。
示例22提供了根据示例21的IC封装,其中,一个或多个第一级互连包括一个或多个焊料凸块、焊料柱或接合线。
示例23提供了一种用于制造IC结构的方法。该方法包括在支撑结构中提供用于穿硅过孔(TSV)的开口以及用于去耦电容器的第一开口和第二开口,其中,第一开口和第二开口中的每一个开口是支撑结构中的盲开口,第一开口和第二开口中的每一个开口具有宽度、长度和深度,使得宽度比长度和深度中的每一个小至少约2倍,宽度是第一开口或第二开口在x-y-z坐标系的x轴方向上的尺寸,长度是第一开口或第二开口在x-y-z坐标系的y轴方向上的尺寸,并且深度是第一开口或第二开口在x-y-z坐标系的z轴方向上的尺寸,x、y和z轴中的每一个垂直于轴中的另外两个轴,并且用于去耦电容器的第一开口和第二开口的深度比用于TSV的开口的深度小约1.1倍与4倍之间。该方法还包括通过用第一导电材料至少部分地填充第一开口来提供去耦电容器的第一电容器板;以及通过用第二导电材料(其可以与第一导电材料相同或不同)至少部分地填充第二开口来提供去耦电容器的第二电容器板。
示例24提供了根据示例23的方法,还包括在提供第一电容器板和第二电容器板之前,在第一开口和第二开口中的至少一个开口的侧壁和底部上提供绝缘体材料(例如,本附图中所示的材料204)的衬层。
示例25提供了根据示例23或24的方法,还包括用于制造根据前述示例中任一项的IC结构(例如,根据示例1-16中任一项的IC结构)的工艺和/或用于制造根据前述示例中任一项的IC封装(例如,根据示例17-22中任一项的IC封装)的工艺。
以上对本公开内容的所示实施方式的描述,包括摘要中所描述的,不是旨在是详尽无遗的或将本公开内容限制为所公开的精确形式。虽然本文出于说明性目的描述了本公开内容的具体实施方式和示例,但是如相关领域的技术人员将认识到的,在本公开内容的范围内各种等效修改是可能的。根据以上具体实施方式,可以对本公开内容进行这些修改。

Claims (20)

1.一种集成电路(IC)结构,包括:
支撑结构,具有第一侧和与所述第一侧相对的第二侧;
穿硅过孔(TSV),在所述第一侧与所述第二侧之间延伸;以及
去耦电容器,具有第一电容器板、第二电容器板以及在所述第一电容器板与所述第二电容器板之间的电容器绝缘体,
其中:
所述第一电容器板是所述支撑结构中的第一开口,所述第一开口至少部分地填充有第一导电材料,
所述第二电容器板是所述支撑结构中的第二开口,所述第二开口至少部分地填充有第二导电材料,
所述第一开口和所述第二开口中的每一个开口从所述支撑结构的所述第二侧朝向所述支撑结构的所述第一侧延伸,但是不到达所述支撑结构的所述第一侧,并且
所述第一开口和所述第二开口中的每一个开口具有宽度、长度和深度,使得所述宽度比所述长度和所述深度中的每一个小至少约2倍。
2.根据权利要求1所述的IC结构,其中,所述电容器绝缘体包括所述支撑结构的在所述第一电容器板与所述第二电容器板之间的部分。
3.根据权利要求1所述的IC结构,其中,所述第一开口和所述第二开口中的至少一个开口包括在所述开口的侧壁和底部上的绝缘体材料的衬层。
4.根据权利要求3所述的IC结构,其中,所述电容器绝缘体包括所述绝缘体材料的所述衬层的至少一部分。
5.根据权利要求3所述的IC结构,其中,所述绝缘体材料的所述衬层的厚度在约1纳米与7纳米之间。
6.根据权利要求3所述的IC结构,还包括在所述TSV的侧壁和底部上的所述绝缘体材料的衬层。
7.根据权利要求3所述的IC结构,其中,所述绝缘体材料是氧化硅或氮化硅。
8.根据权利要求1-7中任一项所述的IC结构,其中,所述第一开口和所述第二开口中的至少一个开口包括在所述开口的侧壁和底部上的阻挡材料的衬层。
9.根据权利要求1-7中任一项所述的IC结构,其中,至少对于所述第一开口和所述第二开口中的一个开口,所述深度在约1微米与25微米之间。
10.根据权利要求1-7中任一项所述的IC结构,其中,至少对于所述第一开口和所述第二开口中的一个开口,所述深度比所述支撑结构的所述第一侧与所述第二侧之间的距离小约1.1倍与4倍之间。
11.根据权利要求1-7中任一项所述的IC结构,其中,至少对于所述第一开口和所述第二开口中的一个开口,所述宽度在约250纳米与5000纳米之间。
12.根据权利要求1-7中任一项所述的IC结构,其中,所述第一开口和所述第二开口中的每一个开口的所述宽度小于所述TSV的宽度。
13.根据权利要求1-7中任一项所述的IC结构,其中,所述第一电容器板耦接到正电源电压,并且所述第二电容器板耦接到负电源电压或地电压。
14.根据权利要求1-7中任一项所述的IC结构,其中:
所述去耦电容器是第一去耦电容器,
所述IC结构还包括第二去耦电容器,所述第二去耦电容器具有第一电容器板、第二电容器板以及在所述第一电容器板与所述第二电容器板之间的电容器绝缘体,
所述第二去耦电容器的所述第二电容器板是所述第一去耦电容器的所述第二电容器板,
所述第二去耦电容器的所述第一电容器板是所述支撑结构中的第三开口,所述第三开口至少部分地填充有第三导电材料,
所述第二开口在所述第一开口与所述第三开口之间,
所述第三开口从所述支撑结构的所述第二侧朝向所述支撑结构的所述第一侧延伸,但是不到达所述支撑结构的所述第一侧,并且
所述第三开口具有宽度、长度和深度,使得所述宽度比所述长度和所述深度中的每一个小至少约2倍,所述宽度是在x-y-z坐标系的x轴方向上的尺寸,所述长度是在所述x-y-z坐标系的y轴方向上的尺寸,并且所述深度是在所述x-y-z坐标系的z轴方向上的尺寸。
15.根据权利要求14所述的IC结构,其中:
所述IC结构还包括第三去耦电容器和第四去耦电容器,所述第三去耦电容器和所述第四去耦电容器中的每一个去耦电容器具有第一电容器板、第二电容器板以及在所述第一电容器板与所述第二电容器板之间的电容器绝缘体,
所述第三去耦电容器的所述第一电容器板是所述第二去耦电容器的所述第一电容器板,
所述第三去耦电容器的所述第二电容器板是所述第四去耦电容器的所述第二电容器板,
所述第四去耦电容器的所述第二电容器板是所述支撑结构中的第四开口,所述第四开口至少部分地填充有第四导电材料,
所述第四去耦电容器的所述第一电容器板是所述支撑结构中的第五开口,所述第五开口至少部分地填充有第五导电材料,
所述第四开口在所述第三开口与所述第五开口之间,
所述第四开口和所述第五开口中的每一个开口从所述支撑结构的所述第二侧朝向所述支撑结构的所述第一侧延伸,但是不到达所述支撑结构的所述第一侧,并且
所述第四开口和所述第五开口中的每一个开口具有宽度、长度和深度,使得所述宽度比所述长度和所述深度中的每一个小至少约2倍。
16.根据权利要求15所述的IC结构,其中,对于所述第一去耦电容器、所述第二去耦电容器、所述第三去耦电容器和所述第四去耦电容器中的每一个去耦电容器,所述第一电容器板耦接到正电源电压,并且所述第二电容器板耦接到负电源电压或地电压。
17.一种集成电路(IC)封装,包括:
IC管芯,具有第一侧和与所述第一侧相对的第二侧;以及
另一IC部件,耦接到所述IC管芯,
其中:
所述IC管芯具有第一侧和与所述第一侧相对的第二侧,
所述IC管芯包括去耦电容器,所述去耦电容器具有第一电容器板、第二电容器板以及在所述第一电容器板与所述第二电容器板之间的电容器绝缘体,
所述第一电容器板是所述支撑结构中的第一开口,所述第一开口至少部分地填充有第一导电材料,
所述第二电容器板是所述支撑结构中的第二开口,所述第二开口至少部分地填充有第二导电材料,
所述第一开口和所述第二开口中的每一个开口从所述支撑结构的所述第二侧朝向所述支撑结构的所述第一侧延伸,但是不到达所述支撑结构的所述第一侧,并且
所述第一开口和所述第二开口中的每一个开口具有宽度、长度和深度,使得所述宽度比所述长度和所述深度中的每一个小至少约2倍。
18.根据权利要求17所述的IC封装,其中,所述IC管芯还包括在所述第一侧与所述第二侧之间延伸的穿硅过孔(TSV)。
19.一种用于制造集成电路(IC)结构的方法,所述方法包括:
在支撑结构中提供用于穿硅过孔(TSV)的开口以及用于去耦电容器的第一开口和第二开口,其中:
所述第一开口和所述第二开口中的每一个开口是所述支撑结构中的盲开口,
所述第一开口和所述第二开口中的每一个开口具有宽度、长度和深度,使得所述宽度比所述长度和所述深度中的每一个小至少约2倍,并且
用于所述去耦电容器的所述第一开口和所述第二开口的所述深度比用于所述TSV的所述开口的深度小约1.1倍与4倍之间;
通过用第一导电材料至少部分地填充所述第一开口来提供所述去耦电容器的第一电容器板;以及
通过用第二导电材料至少部分地填充所述第二开口来提供所述去耦电容器的第二电容器板。
20.根据权利要求19所述的方法,还包括:在提供所述第一电容器板和所述第二电容器板之前,在所述第一开口和所述第二开口中的至少一个开口的侧壁和底部上提供绝缘体材料的衬层。
CN202210019010.4A 2021-02-09 2022-01-07 基于虚设穿硅过孔板的去耦电容器 Pending CN114944385A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/170,951 2021-02-09
US17/170,951 US20220254872A1 (en) 2021-02-09 2021-02-09 Decoupling capacitors based on dummy through-silicon-via plates

Publications (1)

Publication Number Publication Date
CN114944385A true CN114944385A (zh) 2022-08-26

Family

ID=79230625

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210019010.4A Pending CN114944385A (zh) 2021-02-09 2022-01-07 基于虚设穿硅过孔板的去耦电容器

Country Status (4)

Country Link
US (1) US20220254872A1 (zh)
EP (1) EP4040473A1 (zh)
CN (1) CN114944385A (zh)
TW (1) TW202247502A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230018448A1 (en) * 2021-07-14 2023-01-19 Qualcomm Incorporated Reduced impedance substrate
US20240088015A1 (en) * 2022-09-08 2024-03-14 Samsung Electronics Co., Ltd. Integrated circuit devices including via capacitors

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5290729A (en) * 1990-02-16 1994-03-01 Mitsubishi Denki Kabushiki Kaisha Stacked type capacitor having a dielectric film formed on a rough surface of an electrode and method of manufacturing thereof
TWI400731B (zh) * 2008-08-29 2013-07-01 Ind Tech Res Inst 電容元件及其製造方法
CN102543729B (zh) * 2010-12-31 2014-03-12 中芯国际集成电路制造(上海)有限公司 电容的形成方法及其电容结构
US9761546B2 (en) * 2015-10-19 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Trap layer substrate stacking technique to improve performance for RF devices
US10439021B2 (en) * 2016-12-01 2019-10-08 Globalfoundries Singapore Pte. Ltd. Capacitor structure
US11276684B2 (en) * 2019-05-31 2022-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Recessed composite capacitor

Also Published As

Publication number Publication date
US20220254872A1 (en) 2022-08-11
EP4040473A1 (en) 2022-08-10
TW202247502A (zh) 2022-12-01

Similar Documents

Publication Publication Date Title
US11881452B2 (en) Device layer interconnects
US20200395300A1 (en) Substrateless double-sided embedded multi-die interconnect bridge
US11854834B2 (en) Integrated circuit package supports
US11690211B2 (en) Thin film transistor based memory cells on both sides of a layer of logic devices
US11784121B2 (en) Integrated circuit components with dummy structures
TW202119594A (zh) 用以建立三維記憶體和邏輯並具有背側接點的電晶體
US10741486B2 (en) Electronic components having three-dimensional capacitors in a metallization stack
EP3913659A1 (en) Back end of line integration for self-aligned vias
EP4040473A1 (en) Decoupling capacitors based on dummy through-silicon-via plates
US11018054B2 (en) Integrated circuit interconnects
US11652045B2 (en) Via contact patterning method to increase edge placement error margin
US11056397B2 (en) Directional spacer removal for integrated circuit structures
US11342409B2 (en) Isolation regions in integrated circuit structures
US11430866B2 (en) Device contact sizing in integrated circuit structures
WO2018111289A1 (en) Interconnects provided by subtractive metal spacer based deposition
US11923150B2 (en) Decoupling capacitors based on dummy through-silicon-vias
US20230067765A1 (en) Bilayer memory stacking with lines shared between bottom and top memory layers
WO2018231195A1 (en) Air gap structures in integrated circuit components
US20210183761A1 (en) Line patterning in integrated circuit devices
TW202315059A (zh) 在上金屬層中具有空氣間隙的後端記憶體
KR20220170740A (ko) 백엔드 메모리로의 전력 전달을 위한 후면 공개부

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination