CN114758975A - Method and apparatus for automatic wafer centering during transport - Google Patents

Method and apparatus for automatic wafer centering during transport Download PDF

Info

Publication number
CN114758975A
CN114758975A CN202210281765.1A CN202210281765A CN114758975A CN 114758975 A CN114758975 A CN 114758975A CN 202210281765 A CN202210281765 A CN 202210281765A CN 114758975 A CN114758975 A CN 114758975A
Authority
CN
China
Prior art keywords
end effector
substrate
transport apparatus
substrate transport
arm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210281765.1A
Other languages
Chinese (zh)
Inventor
B.殷
J.T.穆拉
V.曾
A.高利克
N.斯派克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Borucos Automation Usa Co ltd
Original Assignee
Borucos Automation Usa Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Borucos Automation Usa Co ltd filed Critical Borucos Automation Usa Co ltd
Priority claimed from PCT/US2016/042142 external-priority patent/WO2017011581A1/en
Publication of CN114758975A publication Critical patent/CN114758975A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Abstract

A substrate processing apparatus, comprising: a wafer transfer apparatus having a transfer arm, the transfer arm including an end effector; an arm pose certainty feature integral with the substrate transport apparatus and arranged such that a static detection sensor of the substrate processing apparatus detects at least one edge of at least one arm pose certainty feature in transport with radial movement of the transport arm; and a controller configured such that the detection of the edge effects a determination of a scaling factor that identifies at least a change in thermal expansion of the transport arm during the transport, and including a kinematic effects resolver configured to determine, from the detection of the edge during the transport, a discrete relationship between the determined scaling factor and each different discrete change corresponding to each different link of the transport arm, thereby determining at least a change in thermal expansion of the transport arm during the transport.

Description

Method and apparatus for automatic wafer centering during transport
The present application is a divisional application of a patent application having an application number of 201680053111.6, a filing date of 2016, 7, and 13 entitled "method and apparatus for automatic wafer centering during transfer".
Cross Reference to Related Applications
This application is a non-provisional application and claims benefit from us provisional patent application No. 62/191,863 filed on day 7 and 13 of 2015 and us provisional patent application No. 62/320,142 filed on day 4 and 8 of 2016, the disclosures of which are incorporated herein by reference in their entirety.
Background
1. Field of the invention
Example embodiments relate generally to substrate processing systems and, more particularly, to calibration and synchronization of components of substrate processing systems.
2. Brief description of the related developments
Substrate processing equipment is generally capable of performing a number of operations on a substrate. Substrate processing equipment typically includes a transfer chamber and one or more process modules coupled to the transfer chamber. The substrate transfer robot moves the substrate between the process modules within the transfer chamber where various operations are performed, such as sputtering, etching, coating, soaking, and the like. For example, the manufacturing processes used by semiconductor device manufacturers and material manufacturers often require precise positioning of the substrate in the substrate processing equipment.
Accurate positioning of the substrate is typically provided by teaching the position of the processing module to the substrate transfer robot. In order to teach the position of the processing module and to accurately place the substrate at the substrate holding position, the center of the substrate must be known. Typically, automated substrate or wafer centering algorithms require the use of a substrate center fixture in order to define a reference substrate position at a zero offset rate relative to an end effector, such as a substrate transport device used to hold the substrate, where the zero offset rate is the position of the substrate center coincident with the expected center of the end effector. Typically, a substrate centering fixture is manually mounted on the end effector and used as a reference surface to position the substrate at a location defined as a zero-offset heart rate reference. Manual placement of the substrate centering fixture and relative thereto may cause operator error and result in the generation of particles (e.g., contaminants) within the substrate processing equipment. The use of substrate centering fixtures is also performed under atmospheric air, which means that the environment inside the substrate processing equipment is disturbed, thus reducing production time.
In general, the teachings of the substrate transport robot include: detecting a position of the robot and/or a substrate carried by the robot by using a dedicated teaching sensor added to the substrate processing equipment; using an instrumented substrate (e.g., including an onboard sensor or camera) carried by a substrate transport robot; using a removable fixture placed within a process module or other substrate holding station of the substrate processing apparatus; centering the sensor using a wafer located within the processing module or externally accessible; using sensors (e.g., cameras) disposed outside of the processing module; or by contacting an object within the processing module with the substrate transport robot or an object carried by the substrate transport robot. These methods for teaching positions within a substrate processing apparatus may require placing sensors in a vacuum, may require modifications to customer processing equipment and/or tools, may be unsuitable for use in a vacuum environment or at high temperatures, may require placing sensor targets, mirrors, or fixtures within the processing equipment, may disrupt the vacuum environment of the substrate processing equipment, and/or may require software modifications to code embedded in the controller of the substrate transport robot and/or the controller of the processing system.
Other conventional arm temperature compensation algorithms, such as those described in U.S. pre-granted publication No. 2013/0180448 and U.S. patent No. 6556887, may use reference marks in/on the robot end effector or arm to estimate the amount of thermal expansion by comparing the robot position as the sensor moves between the reference temperature and the current temperature. This conventional method inherently assumes that the upper arm and forearm of the robot manipulator are in a steady state, enabling the robot to be modeled as a linear rod with a certain coefficient of thermal expansion at a constant temperature. Generally, the limitations of conventional arm temperature compensation algorithms are: for the case where the manipulator links are in a temperature transient (such as a temperature rise or cooling), it is not possible to accurately compensate for the position error. Such temperature transient scenarios represent more realistic customer usage scenarios because the semiconductor cluster tool can have process modules and load locks at substantially different operating temperatures. These conventional thermal compensation algorithms also typically do not take into account the non-linear effects of arm movement due to their non-linear sensitivity to the angular position of the linkage relative to the position of the end effector.
It is also noted that, in conventional implementations, is defined as
Figure BDA0003556263310000021
(where R0 is the arm position at the reference temperature and R1 is the new position calculated by the control software) is considered to have a linear behavior and is used to estimate the robot transfer position correction at the placement station position located further away from the robot center.
It would be advantageous to automatically center the substrate without the use of centering fixtures to enable teaching of substrate processing positions within the processing equipment to the substrate transport robot without disturbing the environment within the processing equipment or without requiring additional instrumentation and/or modification of the substrate processing equipment.
Drawings
The foregoing aspects and other features of the disclosed embodiments are described in the following description, taken in conjunction with the accompanying drawings, wherein:
FIGS. 1A-1D are schematic diagrams of a substrate processing apparatus incorporating aspects of the disclosed embodiments;
fig. 2A-2E are schematic diagrams of a transfer arm in accordance with aspects of the disclosed embodiments;
FIG. 3 is a schematic diagram of a portion of a substrate processing apparatus in accordance with aspects of the disclosed embodiments;
FIG. 4A is a schematic illustration of a portion of a substrate processing apparatus in accordance with aspects of the disclosed embodiments;
4B-4F are schematic diagrams of a portion of a substrate processing apparatus in accordance with aspects of the disclosed embodiments;
FIG. 5 is a schematic diagram of a portion of a substrate processing apparatus in accordance with aspects of the disclosed embodiments;
FIG. 6 is a flow diagram in accordance with aspects of the disclosed embodiments;
FIG. 7 is a schematic diagram of a portion of a substrate processing apparatus in accordance with aspects of the disclosed embodiments;
FIG. 8 is a flow diagram in accordance with aspects of the disclosed embodiments;
FIG. 9 is a schematic diagram of a portion of a substrate processing apparatus in accordance with aspects of the disclosed embodiments;
FIG. 10 is a schematic diagram of a portion of a substrate processing apparatus in accordance with aspects of the disclosed embodiments;
FIG. 11 is a flow diagram in accordance with aspects of the disclosed embodiments;
FIG. 12 is a schematic diagram of a portion of a substrate processing apparatus in accordance with aspects of the disclosed embodiments;
FIG. 13 is a schematic diagram of a portion of a substrate processing apparatus in accordance with aspects of the disclosed embodiments;
FIG. 14 is a flow diagram in accordance with aspects of the disclosed embodiments;
FIG. 15 is an exemplary graph illustrating substrate processing apparatus arm link thermal gradients over time in accordance with aspects of the disclosed embodiments;
FIG. 16 is a schematic diagram of a portion of a substrate processing apparatus in accordance with aspects of the disclosed embodiments;
FIG. 17 is a schematic illustration of a portion of a substrate processing apparatus in accordance with aspects of the disclosed embodiments;
FIG. 18 is an exemplary graph illustrating substrate processing apparatus position compensation data in accordance with aspects of the disclosed embodiments;
FIG. 19 is an exemplary block diagram of position calculation in accordance with aspects of the disclosed embodiments;
FIG. 20 is a flow diagram in accordance with aspects of the disclosed embodiments;
FIG. 21 is a flow diagram in accordance with aspects of the disclosed embodiments;
FIG. 22 is a flow diagram in accordance with aspects of the disclosed embodiments;
FIG. 23 is a schematic view of a portion of a substrate processing apparatus in accordance with aspects of the disclosed embodiments;
FIG. 24 is a schematic view of a portion of a substrate processing apparatus in accordance with aspects of the disclosed embodiments;
FIG. 25 is a schematic view of a portion of a substrate processing apparatus in accordance with aspects of the disclosed embodiments;
FIG. 26 is a schematic view of a portion of a substrate processing apparatus in accordance with aspects of the disclosed embodiments;
FIG. 27 is an exemplary graph illustrating end effector deflection at different temperatures in accordance with aspects of the disclosed embodiments;
FIG. 28 is a schematic diagram of a portion of a substrate transport apparatus in accordance with aspects of the disclosed embodiments;
FIG. 29 is a schematic view of a portion of a substrate processing apparatus in accordance with aspects of the disclosed embodiments;
Fig. 30 and 31 are schematic views of an exemplary end effector of a substrate transport apparatus in accordance with aspects of the disclosed embodiments; and
FIG. 32 is a flow diagram in accordance with aspects of the disclosed embodiments.
Detailed Description
Referring to fig. 1A-1D, schematic diagrams of a substrate processing apparatus or tool including aspects of the disclosed embodiments are shown, as will be further described herein. Although aspects of the disclosed embodiments will be described with reference to the drawings, it should be understood that aspects of the disclosed embodiments can be embodied in many forms. In addition, any suitable size, shape or type of elements or materials could be used.
As will be described in greater detail below, aspects of the disclosed embodiments provide for automatic (e.g., without operator intervention) centering of a substrate or wafer relative to, for example, a substrate transport end effector, automatic positioning of a substrate holding station of a substrate processing apparatus, and teaching the position of the substrate holding station to the substrate transport apparatus. It is noted that the terms "substrate" and "wafer" are used interchangeably herein. Also, as used herein, the term "substrate holding station" is a substrate holding station within a processing module or any other suitable substrate holding station within a substrate processing apparatus, such as, for example, a load port (or substrate cassette held thereon), a load lock, a buffer station, etc. Aspects of the disclosed embodiments utilize existing equipment and devices employed in substrate processing apparatuses, such as substrate processing sensors. As used herein, a substrate processing sensor is an active wafer centering sensor for implementing Automatic Wafer Centering (AWC), a substrate aligner for alignment and/or centering of a substrate during substrate processing, and/or other suitable substrate eccentricity (e.g., relative to a predetermined substrate holding position on an end effector) detection unit. In other words, when using automated centering and teaching in accordance with aspects of the disclosed embodiments, for example, a customer does not incur substantially additional instrument costs after initially purchasing/configuring a substrate processing apparatus.
Aspects of the disclosed embodiments may also be practiced without substantial software modification to the program code embedded in the substrate transport apparatus and/or substrate processing apparatus system controller. For example, aspects of the disclosed embodiments may use existing commands associated with the substrate transport apparatus, such as "pick and place" commands and/or "substrate alignment" commands. Aspects of the disclosed embodiments also include operating environments, such as compatible vacuum environments (as well as atmospheric environments, e.g., inert gases, filtered clean air) because there are no electronic components (e.g., cables, printed circuit boards, etc.) within the processing environment. As can be appreciated, in an atmospheric processing environment, the AWC center can be located within the atmospheric processing environment. Accordingly, aspects of the disclosed embodiments provide the following: the substrate transport apparatus is taught during automated centering with reduced down time, and/or without substantially disrupting a processing environment (e.g., a vacuum or atmospheric environment) that has been established within the substrate processing apparatus (e.g., the substrate processing apparatus and its components remain sealed or otherwise isolated from the external environment during automated teaching processing).
As will be described below, aspects of the disclosed embodiments provide the following: errors typically caused by conventional automated wafer or substrate centering methods (e.g., using a centering fixture) that define a reference substrate position with zero eccentricity are eliminated. Aspects of the disclosed embodiments generally eliminate the calibration steps and fixtures traditionally used for automated substrate centering. Aspects of the disclosed embodiments also compensate for errors, for example, due to thermal effects between the substrate transport apparatus and the substrate holding station, wherein the temperature within the respective processing module ranges between about 200 ℃ to about 850 ℃. In one aspect, the temperature of the respective process module is greater than about 850 ℃ and in other aspects, the temperature of the respective process module is less than about 200 ℃. Aspects of the disclosed embodiments also automatically compensate for hysteresis effects, for example, due to sensor error or time delay.
Referring to fig. 1A and 1B, a processing apparatus such as, for example, a semiconductor tool station 11090 is shown in accordance with aspects of the disclosed embodiments. Although a semiconductor tool 11090 is shown in the drawings, aspects of the disclosed embodiments described herein can be applied to any tool station or application that employs a robotic manipulator. In this example, the Tool 11090 is shown as a cluster Tool, however, aspects of the disclosed embodiments may be applied to any suitable Tool station, such as, for example, a linear Tool station, such as the Tool station described in U.S. patent No. 8,398,355 entitled "linear Distributed Semiconductor Processing Tool", which is shown in fig. 1C and 1D and published 3/19 2013, the disclosure of which is incorporated herein by reference in its entirety. The tool station 11090 generally includes an atmospheric front end 11000, a vacuum load lock 11010, and a vacuum back end 11020. In other aspects, the tool station can have any suitable configuration. The components of each of the front end 11000, the load lock 11010, and the back end 11020 may be connected to a controller 11091, the controller 11091 may be part of any suitable control architecture, such as, for example, cluster architecture control. The Control System may be a closed-loop controller having a master controller, a cluster controller, and an autonomous remote controller, such as those disclosed in U.S. patent No. 7,904,182 entitled "Scalable Motion Control System," published 3/8 2011, the disclosure of which is incorporated herein by reference in its entirety. In other aspects, any suitable controller and/or control system may be used. The controller 11091 includes any suitable memory and processor(s) including non-transitory program code for operating the processing apparatus described herein to effect automatic substrate centering and/or automatic positioning of the substrate holding stations of the substrate processing apparatus and to teach the position of the substrate holding stations to the substrate transport apparatus as described herein. For example, in one aspect, the controller 11091 includes embedded substrate positioning commands (e.g., for determining eccentricity between the substrate and an end effector of the substrate transport apparatus). In one embodiment, the substrate positioning command may be an embedded pick/place command that moves the substrate and the end effector on which the substrate is held past or through one or more automatic substrate centering sensors. The controller is configured to determine a center of the substrate and a reference position of the end effector and determine an eccentricity of the substrate relative to the reference position of the end effector. In one aspect, the controller is configured to receive detection signals corresponding to one or more characteristics of the end effector and/or a transfer arm/robot of the substrate transport apparatus and determine thermal expansion or contraction of the substrate transport apparatus or components of the substrate transport apparatus, e.g., due to temperature within the processing module.
As can be appreciated, and as described herein, in one aspect, the base station is located within a process module and the automated teaching described herein occurs in the process module, which has a vacuum pressure environment therein. In one aspect, the vacuum pressure is a high vacuum, such as 10-5Torr (Torr) or less. In one aspect, the automatic centering and/or teaching described herein occurs in a base station component, for example, that is located within a processing module in a process safe state (e.g., for processing substrates). This process safe state for processing the substrate is a state of the process module, wherein the process module is sealed in a clean state ready for introducing a process vacuum or atmosphere into the process module, or it is a state ready for introducing a production wafer into the process module.
In one aspect, the front end 11000 generally includes a load port module 11005 and a mini-environment 11060, such as, for example, an Equipment Front End Module (EFEM). The load port module 11005 may be a box opener/loader of a tool standard (BOLTS) interface that conforms to SEMI standards E15.1, E47.1, E62, E19.5, or E1.9 for 300mm load ports, front opening, or bottom opening boxes/pods, and cartridges. In other aspects, the load port module may be configured as a 200mm wafer or a 450mm wafer interface or any other suitable substrate interface, such as, for example, a larger or smaller wafer or a flat panel for a flat panel display. Although two load port modules 11005 are shown in fig. 1A, in other aspects any suitable number of load port modules may be incorporated into the front end 11000. The load port module 11005 may be configured to receive base carriers or cassettes 11050 from overhead transport systems, automated guided vehicles, people guided vehicles, rail guided vehicles, or from any other suitable transport method. Load port module 11005 may interface with mini-environment 11060 through load port 11040. In one aspect, load port 11040 allows substrates to pass between substrate cassette 11050 and mini-environment 11060.
In one aspect, mini-environment 11060 generally includes any suitable transfer robot 11013, the transfer robot 11013 incorporating one or more aspects of the disclosed embodiments described herein. In one aspect, the robot 11013 may be a rail mounted robot, such as, for example, the rail mounted robot described in U.S. patent 6,002,840, the disclosure of which is incorporated by reference herein in its entirety, or in other aspects, may be any other suitable transport robot having any suitable configuration. Mini-environment 11060 may provide a controlled cleaning area for substrate transfer between multiple load port modules.
The vacuum load lock 11010 may be located between the mini-environment 11060 and the rear end 11020 and connected to the mini-environment 11060 and the rear end 11020. It is again noted that the term "vacuum" as used herein may refer to a high vacuum, such as 10-5Torr or below, the substrate is processed under this vacuum. The load lock 11010 generally includes an atmospheric slot valve and a vacuum slot valve. These slot valves may provide environmental isolation for evacuating the load lock after loading the substrate from the atmospheric front end, and for maintaining a vacuum in the transfer chamber while venting the lock with an inert gas (such as nitrogen). In one aspect, the load lock 11010 includes an aligner 11011 for aligning the datum points of the substrate with desired positions for processing. In other aspects, the vacuum loadlock can be located in any suitable location of the processing apparatus and have any suitable configuration and/or metrology equipment.
The vacuum back end 11020 generally includes a transfer chamber 11025, one or more processing stations or modules 11030, and any suitable transfer robot or apparatus 11014. The transfer robot 11014 will be described below and may be located within the transfer chamber 11025 to transfer substrates between the load lock 11010 and the various processing stations 11030. The processing stations 11030 may operate on the substrate through various deposition, etching, or other types of processes to form circuits or other desired structures on the substrate. Typical processes include, but are not limited to, thin film processes using vacuum, such as plasma etching or other etching processes, Chemical Vapor Deposition (CVD), Plasma Vapor Deposition (PVD), implantation (such as ion implantation), metrology, Rapid Thermal Processing (RTP), dry lift-off Atomic Layer Deposition (ALD), oxidation/diffusion, nitride formation, vacuum lithography, epitaxial crystallization (EPI), wire bonder and evaporation, or other thin film processes using vacuum pressure. The processing station 11030 is connected to the transfer chamber 11025 so as to allow substrates to be transferred from the transfer chamber 11025 to the processing station 11030, and vice versa. In one aspect, the load port module 11005 and load port 11040 are coupled substantially directly to the vacuum back end 11020 such that the cassette 11050 mounted on the load port is generally directly (e.g., in one aspect at least the mini-environment 11060 is omitted; in other aspects the vacuum load lock 11010 is also omitted so as to enable evacuation of the cassette 11050 to vacuum in a manner similar to the vacuum load lock 11010) interfaced with the vacuum environment of the transfer chamber 11025 and/or the process vacuum of the process station 11030 (e.g., the process vacuum and/or vacuum environment extends between and is shared by the process station 11030 and the cassette 11050).
Referring now to fig. 1C, a schematic plan view of a linear substrate processing system 2010 is shown in which tool docking sections 2012 are mounted to a transfer chamber module 3018 such that docking sections 2012 face generally toward (e.g., inward of) but are offset from the longitudinal axis X of the transfer chamber 3018. The transfer chamber module 3018 may be extended in any suitable direction by attaching other transfer chamber modules 3018A, 3018I, 3018J to the interfaces 2050, 2060, 2070 as described in U.S. patent No. 8,398,355, which was previously incorporated herein by reference. Each transfer chamber module 3018, 3019A, 3018I, 3018J includes any suitable substrate transport device 2080, which substrate transport device 2080 may include one or more aspects of the disclosed embodiments described herein for transporting substrates throughout the processing system 2010 and in and out of the substrates, e.g., processing modules PM (which, in one aspect, is substantially similar to the processing station 11030 described above). As can be appreciated, each chamber module is capable of maintaining an isolated or controlled environment (e.g., N2, clean air, vacuum).
Referring to fig. 1D, a schematic elevation view of an exemplary processing tool 410 is shown, such as may be taken along a longitudinal axis X of a linear transport chamber 416. In aspects of the disclosed embodiment shown in fig. 1D, the tool docking section 12 may representatively connect to the transfer chamber 416. In this aspect, the docking section 12 may define one end of the tool transfer chamber 416. As seen in fig. 1D, the transfer chamber 416 may have another workpiece entry/exit station 412, for example, at an end opposite the docking station 12. In other aspects, other entry/exit stations for inserting/removing workpieces from the transfer chamber may be provided. In one aspect, the docking section 12 and the entry/exit station 412 may allow for loading and unloading of workpieces from the tool. In other aspects, the workpiece may be loaded into the tool from one end and removed from the other end. In one aspect, the transfer chamber 416 can have one or more transfer chamber modules 18B and 18 i. Each chamber module can maintain an isolated or controlled environment (e.g., N2, clean air, vacuum). As previously noted, the configuration/arrangement of the transfer chamber modules 18B and 18i, the load lock modules 56A and 56, and the workpiece stations shown in fig. 1D is merely exemplary, and in other aspects, the transfer chambers may have more or fewer modules arranged in any desired modular arrangement. In the illustrated aspect, the station 412 may be a load lock. In other aspects, a loadlock module may be located between end entrance/exit stations (similar to station 412), or an adjoining transfer chamber module (similar to module 18i) may be configured to operate as a loadlock.
As also previously noted, the transfer chambers 18B and 18i have one or more corresponding transfer devices 26B and 26i located therein, which may include one or more aspects of the disclosed embodiments described herein. The transfer devices 26B and 26i of the respective transfer chamber modules 18B and 18i may cooperate to provide a linearly distributed workpiece transfer system in the transfer chamber. In this aspect, transport apparatus 26B (which may be substantially similar to transport apparatuses 11013 and 11014 of the cluster tool illustrated in fig. 1A and 1B) may have a general SCARA arm (selective compliance assembly robot arm) configuration (although in other aspects, the transport arm may have any other desired arrangement, such as, for example, linear slide arm 214 shown in fig. 2B or other suitable arm having any suitable arm linkage). Suitable examples of arm linkages can be found in the following documents: for example, U.S. patent No. 7,578,649 published on 25/8/1998, No. 5,794,487 published on 18/8/1998, No. 7,946,800 published on 24/5/2011, No. 6,485,250 published on 26/11/2002, No. 7,891,935 published on 22/2/2011, No. 8,419,341 published on 16/4/2013, and U.S. patent application No. 13/293,717 entitled "Dual Arm Robot" filed on 10/11/2011 and No. 13/861,693 entitled "Linear Vacuum Robot with Z Motion and isolated Arm" filed on 5/9/2013, the disclosures of which are all incorporated herein by reference. In aspects of the disclosed embodiments, the at least one transfer arm may be derived from a conventional SCARA-type design, including an upper arm, a belt-driven forearm, and a belt-constrained end effector, or from a telescoping arm or any other suitable arm design. Suitable examples of transfer arms can be found in the following documents: for example, U.S. patent application No. 12/117,415 entitled "Substrate Transport Apparatus with Multiple Mobile Arms Utilizing a Mechanical Switch Mechanism" filed on 8.5.2008 and U.S. patent No. 7,648,327 filed on 19.1.2010, the disclosures of which are incorporated herein by reference in their entirety. The operation of the transfer arms may be independent of each other (e.g., the extension/retraction of each arm is independent of each other), the operation of the transfer arms may be performed by a lost motion switch, or may be operatively linked in any suitable manner such that the arms share at least one common drive axis. In still other aspects, the transfer arm can have any other desired arrangement, such as a frog leg arm 216 (e.g., 2A) configuration, a frog arm 217 (fig. 2D) configuration, a bilaterally symmetric arm 218 (fig. 2C) configuration, and so forth. In another aspect, referring to fig. 2E, the transfer arm 219 comprises at least a first articulated arm 219A and a second articulated arm 219B, wherein each arm 219A and 219B comprises an end effector 219E configured to hold at least two substrates S1 and S2 side-by-side in a common transfer plane (each substrate holding position of the end effector 219E shares a common drive for picking and placing substrates S1 and S2), wherein a spacing DX between the substrates S1 and S2 corresponds to a fixed spacing between the side-by-side substrate holding positions. Suitable examples of transfer arms can be found in the following documents: U.S. patent No. 6,231,297 published on 5/15/2001, No. 5,180,276 published on 1/19/1993, No. 6,464,448 published on 10/15/2002, No. 6,224,319 published on 5/1/2001, No. 5,447,409 published on 9/5/1995, No. 7,578,649 published on 8/25/2009, No. 5,794,487 published on 8/18/1998, No. 7,946,800 published on 5/24/2011, No. 6,485,250 published on 11/26/2002, No. 7,891,935 published on 2/22/2011, and No. 13/293,717/2011 and No. 13/270,844 filed on 11/10/2011 and entitled "Dual Arm Robot", the disclosures of which are incorporated herein by reference in their entireties. In one aspect, aspects of the disclosed embodiments are incorporated into a transfer arm of a linear transfer vehicle, such as, for example, those described in U.S. patent nos. 8,293,066 and 7,988,398, the disclosures of which are incorporated herein by reference in their entirety.
In aspects of the disclosed embodiment shown in fig. 1D, the arm of the transport apparatus 26B may be configured to provide a so-called fast swap configuration, allowing the transport device to quickly swap wafers at the pick/place location (e.g., pick a wafer from a substrate holding location and then immediately place the wafer to the same substrate holding location). The transfer arm 26B may have any suitable drive section (e.g., coaxially disposed drive shafts, side-by-side drive shafts, horizontally adjacent motors, vertically stacked motors, etc.) for providing each arm with any suitable number of degrees of freedom (e.g., independent rotation about the shoulder and elbow joints and Z-axis motion). As seen in fig. 1D, in this aspect, modules 56A, 56, 30i may be located with clearance between transfer chamber modules 18B and 18i and may define suitable processing modules, load lock(s) LL, buffer station(s), metrology station(s), or any other desired station(s). For example, the gapping modules (such as load locks 56A and 56 and workpiece station 30i) may have stationary workpiece supports/shelves 56S1, 56S2, 30S1, 30S2, respectively, which fixed workpiece supports/shelves 56S1, 56S2, 30S1, 30S2 may cooperate with the transfer arm to effect transfer or pass workpieces through the length of the transfer chamber along the linear axis X of the transfer chamber. For example, the workpiece(s) may be loaded into the transfer chamber 416 through the docking section 12. The workpiece(s) may be positioned on the support(s) of the load lock module 56A by the transfer arm of the docking section. In the load lock module 56A, the workpiece(s) may be moved between the load lock module 56A and the load lock module 56 by the transport arm 26B in module 18B, and between the load lock 56 and the workpiece station 30i in a similar and sequential manner (in module 18 i) using arm 26i, and between the station 30i and the station 412 using arm 26i in module 18 i. The process may be reversed, in whole or in part, to move the workpiece(s) in the opposite direction. Thus, in one aspect, the workpiece may be moved in any direction along the axis X and to any position along the transfer chamber, and may be loaded to or unloaded from any desired module (process module or other module) in communication with the transfer chamber. In other aspects, no gapped transfer chamber modules with static workpiece supports or shelves may be provided between the transfer chamber modules 18B and 18 i. In these aspects, the transfer arms of adjacent transfer chamber modules may transfer the workpiece directly from the end effector or one transfer arm to the end effector of another transfer arm in order to move the workpiece through the transfer chamber. The process station modules may operate on the substrate through various deposition, etching, or other types of processes to form circuits or other desired structures on the substrate. The processing station module is connected to the transfer chamber module so as to allow substrates to be transferred from the transfer chamber to the processing station and vice versa. Suitable examples of processing tools having similar general features to the processing apparatus depicted in fig. 1D are described in U.S. patent No. 8,398,355, which was previously incorporated by reference herein in its entirety.
Referring now to FIG. 3, a schematic diagram of a portion of any suitable processing tool 390 is illustrated. Here, the processing tool 390 is substantially similar to one or more of the processing tools described above. The processing tool 390 may generally include a transport robot 130, at least one static detection sensor (e.g., such as Automatic Wafer Centering (AWC) sensors 199A and 199B), and a robot controller (which in one aspect is controller 11091), for example, forming an automatic substrate centering and station teaching apparatus 300. Although two sensors 199A and 199B are shown in FIG. 3 for exemplary purposes, in other aspects, a processing tool can have more or less than two sensors. Fig. 3 also shows an exemplary process module 325 of the processing tool 390. In fig. 3, the transfer robot 330 is presented purely schematically, and as previously indicated, the robot 330 may have any desired configuration. The robot 330 is shown holding a substrate S thereon for transport to the processing modules 325 (which are substantially similar to the processing stations 11030, PM described above). The process module 325 has a substrate holding station 315, the substrate holding station 315 defining a predetermined center position SC. Desirably, the center of the substrate S substantially coincides with the station center SC when positioned in the holding station 315. In other aspects, the substrate station may be defined by any desired portion of the processing apparatus, wherein the substrate may be positioned by a robot. The base position and/or holding station 315 and sensor(s) 199A and 199B shown in fig. 3 relative to the robot 330 are merely exemplary. In other aspects, the substrate holding station and sensor(s) may be positioned as desired relative to the transport robot. In fig. 3, as will be described below, the substrate transfer robot 330, sensors 199A and 199B, and controller 11091 are shown as being connected to form an in-transit (e.g., during substrate transfer movement) substrate centering system capable of determining at least the eccentricity of a wafer held on the robot end effector 395 or a substrate S on the substrate holding station 395S (fig. 4A) as the robot transfers substrates to the holding station 315 along the transfer path P, and generating a centering factor for adjusting the position of the transfer robot 330 to ensure that the robot places the substrate S on the substrate station center SC. As described herein, the thermal expansion and/or contraction of the robot 330 is also determined such that centering of the substrate S is performed based at least on the thermal expansion and/or contraction of the robot 330. As also shown in fig. 3, in one aspect, the processing tool 390 may include an aligner or an automated wafer centering station 362. In one aspect, the controller 11091 may use information or data (e.g., substrate diameter, fiducial F location, etc.) provided by the aligner 362 or derived from the aligner 362 to enable automatic substrate centering in transit.
As can be appreciated, the substrate transfer robot 330 is connected to the controller 11091 and is in communication with the controller 11091 so that the controller 11091 can control the movement of the substrate transfer robot 330 to bring the robot end effector 395 (and in particular a predetermined end effector center or reference position 395C of the end effector 395) to any desired position in the processing tool 390 in a known and controlled manner. For example, the substrate transport robot 330 may have a desired position determining device (such as, for example, a position or motor encoder 331) connected to the controller 11091 and sending appropriate signals to the controller 11091 to enable the controller 11091 to define the position coordinates and momentum definition parameters of the end effector center 395C in any desired reference system associated with the robot 330. For example, the robot 330 may be pivotally mounted to allow the entire body to rotate about the shoulder axis of rotation Z and may articulate to move the end effector center 395C at least in a radial manner relative to the shoulder axis of rotation Z. The encoder 331 of the substrate transport robot 330 is connected to the controller 11091 for recognizing the relative or absolute movement of the robot motor to effect movement. In addition, the controller 11091 is programmed to translate the encoder data and generate (in combination with the robot's geometry information programmed into the controller) the position coordinates and inertial parameters of the end effector center 395. Thus, the controller 11091 knows the position coordinates of the end effector center 395C (in the desired coordinate reference system) at any given time, as well as the position of any destination for the end effector (e.g., the center SC of the base station).
In one aspect, aligner 362 may be any suitable substrate aligner. In one aspect, the aligner 362 is located in the front or atmospheric section 11000 of the apparatus (see fig. 1), but in other aspects the aligner may be located in any desired location in the apparatus. Examples of suitable aligners are disclosed in the following documents: U.S. patent No. 8,545,165, entitled "High Speed Aligner Apparatus," is incorporated herein by reference in its entirety. As previously indicated, the aligner 362 may have suitable sensor (S), such as a transmissive sensor, which is capable of detecting the reference points F on the substrate S. As can be appreciated, the fiducial points F are used to identify a desired alignment of the substrate S relative to the processing characteristics of one or more of the processing modules. For example, the process module 325 shown in fig. 3 may be capable of performing a given process on the substrate S that requires the substrate to have a particular orientation. For example, when the substrate S is in the aligner, the aligner 362 may position the substrate S such that the substrate S has a desired orientation when subsequently transported and placed by the substrate transport robot 330 in the substrate holding station 315. In other aspects, the aligner 165 may identify position information of the controller 11091 so as to cause the controller 11091 to control the substrate transport robot 330 to place the substrate S in the processing module 325 with a desired orientation. The position of the substrate established by the aligner 362 locates the reference point F of the substrate in a known location. The known locations of the fiducial points of the substrate are communicated to the controller 11091. The controller 11091 is programmed to: when the substrate 315 is transported by the end effector 395, the expected position of fiducial F is established relative to the end effector 395 from the fiducial position information provided by the aligner 362. The desired fiducial locations on the end effector 395 may be used by the controller 11091 to achieve automatic wafer centering, for example, using sensors 199A and 199B to identify at least two points on the substrate S.
In one aspect, the controller 11091 can be programmed to monitor and record various temporal data of the process tool 390 and substrate S for automatic wafer centering. As can be appreciated, the dimensional characteristics of the substrate S may vary with environmental conditions (particularly temperature). For example, the substrate 315 may experience thermal expansion and contraction when subjected to temperature changes during processing. In one aspect, the controller 11091 is configured to determine the center of the substrate S in any suitable manner, such as by detecting a sufficient number of points (e.g., three or more) along the edge of the substrate, substantially free of data regarding the previous position and temperature of the substrate S. In other aspects, the controller 11091 may have information regarding the previous location of the substrate, as well as information regarding the ambient temperature to which the substrate may be subjected in its previous location, as well as exposure time and any other relevant information. For example, the substrate S may have been removed from the bake module at some previous time (the bake module is placed in a transport container at a certain temperature and held there for a period of time), and then loaded into the process tool 390 having a certain front end temperature. The controller 11091 memory can thus maintain data regarding temperature in multiple regions of the processing tool 390 (where substrates S may be transported through the processing tool 390 or where substrates S are maintained) and other desired portions of the semiconductor manufacturing facility. For example, the temperature information may be stored in the controller 11091 for the transport vessel 11050 (fig. 1) in which the substrates are transported to the apparatus in the transport vessel 11050. The temperature information may be stored for the front section 11000 (see fig. 1), the load lock 11010, and any buffer stations (not shown) that may be used to buffer the substrates. Similarly, in the vacuum section 11090, as can be appreciated, thermal information such as the temperature of the radiation or heat absorbing surfaces (e.g., heating plates, cooling plates, etc.) can also be stored by the controller 11091, and the controller 170 can also monitor and store thermal information of the substrate such as location and time. Thus, in an exemplary embodiment, the controller 11091 may have data in its memory for desired parameters in order to adequately define the thermal state of the substrate S over a desired period of time (such as when passing by the sensors 199A and 199B). For example, the controller may have a suitable thermal balance algorithm to properly establish the thermal state (i.e., temperature) of the substrate at a given time (such as when the radius is measured by aligner 362 and when passing sensors 199A and 199B). In an alternative embodiment, the controller may access data identifying the thermal state of the substrate from a desired external memory location. In still other alternative embodiments, the thermal state of the substrate may be measured directly by a suitable device (such as an optical thermometer). Data regarding the temperature of the substrate may be communicated to a controller for determining a dimensional change of the substrate S due to a thermal condition of the substrate. In other aspects, the center of the substrate S can be determined independently of the temperature of the substrate, such as by detecting at least three points on the edge of the substrate with, for example, sensors 199A and 199B and determining the center based on the at least three determined points.
Still referring to fig. 3, the sensors 199A and 199B may be of any suitable type, such as a transmissive sensor or a reflective sensor, which is capable of detecting the presence of the substrate 315 as it is moved past the sensors by the substrate transport robot 330. In an exemplary embodiment, sensors 199A and 199B may each have a beam source and detector that generate appropriate signals when they detect or fail to detect a beam. The sensors 199A and 199B may be positioned offset relative to the transport path P of the substrate so that the substrate edge passes over and is detected by one or more of the sensors 199A and 199B. For purposes of illustration, the transfer path P of the substrate S is shown in fig. 3 as a substantially radial path (i.e., the path extends through the shoulder rotational axis Z of the substrate transfer robot 330). In other aspects, the substrate S may have any desired transmission path. For example, the path may be a straight path P offset from but generally parallel to the radial path P, while in other aspects the path may be an arcuate path. In other aspects, the path may be offset from the radial path P and at a desired angle relative to the radial path P. Sensors 199A and 199B may optionally be positioned with increased sensitivity for detecting object edges, as described in U.S. patent No. 6,990,430, which is incorporated herein by reference in its entirety.
In one aspect, the position of one or more sensors 199A and 199B relative to transport path P can be based on an expected position of substrate 315 on end effector 395 (i.e., based on an expected position of reference point F), and thus based on substrate position parameters associated with process module 325 to which the substrate is to be transported. When the process modules and robot are installed, the desired orientation of the substrate to be transferred to a given process module may be determined at the time the apparatus is set up. Automatic substrate centering sensors 199A and 199B in transit may be correspondingly positioned relative to transfer path P to ensure that reference point F is not expected to be within the discharge zone defined by the sensors, depending on the orientation parameters associated with a given process module, at the desired substrate orientation on robot end effector 395.
In one aspect, the controller 11091 is configured to determine the center position of the substrate S by using one or more sensors 199A and 199B relative to a reference position 395C of the end effector 395 in a manner as described in the following documents: for example, U.S. patent No. 6,990,430, published 24.2006, and 7,925,378, published 12.2011, the disclosures of which are incorporated herein by reference in their entireties. In other aspects, the controller 11091 is configured to determine the center position of the substrate S relative to the reference position 395C of the end effector 395 in any suitable manner, such as in the manner described in: U.S. patent No. 4,819,167, published 4/1989, and 5,980,174, published 9/11/1999, the disclosures of which are incorporated herein by reference in their entirety.
As described above, the controller 11091 is also configured to determine the thermal expansion and/or contraction of the substrate transport apparatus 395 when automatically centering the substrate to pick and place the substrate at the substrate holding station 315. In one aspect, referring to fig. 4A, the end effector 395 is a self-centering end effector provided with one or more fiducials or central deterministic features 401 and 402, which one or more fiducials or central deterministic features 401 and 402 are integral with (formed as a one-piece, unitary member) or mounted to the end effector in any suitable manner for enabling determination of an end effector reference point location. Although the fiducial features 401 and 402 are described herein with reference to the end effector 395, it should be understood that in other aspects the fiducial features may be located on any suitable portion of the substrate transfer robot 330, such as on an arm link. The one or more fiducial features 401 and 402 are determined relative to a reference position 395C (also denoted as positions Xc and Yc in fig. 4A) of the end effector 395 and thus relative to each spatial position of the end effector. For example, one or more of the fiducial features 401 and 402 have a fixed, predetermined relationship with the reference position 395C independent of the temperature of the end effector 395 and the fiducial features 401 and 402, as will be described in more detail herein. In one aspect, the end effector 395 (including one or more datum features 401 and 402) is dimensionally stable at, for example, elevated temperatures, such as within the upper temperature ranges described above (e.g., about 850 ℃ or greater), because the end effector 395 material has substantially limited thermal expansion and contraction. In one aspect, the end effector 395 and one or more of the fiducial features 401 and 402 comprise alumina or other suitable material having substantially limited thermal expansion and contraction at high temperatures. It should also be understood that the end effector and fiducial feature materials are also dimensionally stable at temperatures below about 850 deg.C. It is noted that while high temperatures and thermal expansion of the end effector 395 are described, it is understood that aspects of the disclosed embodiments may be applied to, for example, moderate substrate processing temperatures of about 500 ℃ and low processing temperatures of about 200 ℃.
In one aspect, referring to fig. 3 and 4A, one or more fiducial features 401 and 402 are shaped and positioned, for example, on end effector 395 or at any other suitable location of substrate transport apparatus 330 for detection by an automated substrate or wafer centering sensor (such as one or more sensors 199A and 199B) during movement 499 of transfer arm 330A (e.g., in transit) of substrate transport apparatus 330 past sensors 199A and 199B, wherein the movement is one or more of: a radial extension/retraction motion (e.g., an R motion), a rotational motion (e.g., a theta motion), or any suitable linear or curved motion. In one aspect, the fiducial features 401 and 402 are also positioned relative to the substrate S held on the end effector 395 such that the fiducial features 401 and 402 are sensed by the sensors 199A and 199B while the substrate S is held or carried by the end effector 395. For example, the substrate holding station 395S of the end effector 395 is unobstructed by the one or more fiducial features 401 and 402. It is also noted that one or more of the fiducial features 401 and 402 are unobstructed by the substrate S held by the end effector 395. In one aspect, the fiducial features 401 and 402 are disposed on the substrate transport robot 330 separate and distinct from the substrate holding station 395S. In one aspect, as can be seen in fig. 3, one or more fiducial features 401' are located on the arm 330A of the substrate transport apparatus 330. For example, in one aspect, end effector 395 is coupled to arm 330A by a wrist strap 395WR, or other suitable mechanical interface, for coupling the end effector to the linkage of arm 330A. In one aspect, the wrist strap 395WR defines a wrist axis of the substrate transport apparatus arm 330A and includes a coupled support or base to which the end effector 395 is attached.
As can be appreciated, the substrate S can remain in a centered position on the end effector (e.g., the center of the substrate coincides with the end effector reference point 395C) or in an off-center position (e.g., the center of the substrate does not coincide with the end effector reference point). The sensors 199A and 199B are configured to detect the transition points 421 and 422 of the substrate past the respective sensors 199A and 199B and the transition points 425 and 428 of the fiducial features past the respective sensors 199A and 199B during transport of the end effector 395 during movement 499 of the end effector 395. As can be appreciated, the substrate S can rest on the end effector 395 with any eccentricity e between the substrate center WC and the end effector reference point 395C. As described herein, the predetermined determined relationship between the fiducial features 401 and 402 and the reference point 395C of the end effector 395 provides the following: identification of substrate center offset (e.g., eccentricity e) is provided independent of any teaching fixture, identification of end effector center or reference position 395C when the substrate transport robot 330 (e.g., at least arm 330A of the robot) is under thermal displacement (e.g., expansion or contraction), identification of end effector center or reference position 395C relative to sensors 199A and 199B is provided such that station holding position SC can be identified and taught, and hysteresis effects (e.g., sensor delay) minimized when detecting robot 395 positions associated with respective sensor transition points 421 and 428.
Each of fiducial features 401 and 402 has a known predetermined shape that defines a unique determination scheme for detecting a respective edge or transition point 425, 426, 427, 428 scanned by sensors 199A and 199B relative to end effector reference point 395C. This known predetermined shape is detected or sensed by sensors 199A and 199B to determine the position Xc and Yc of end effector reference point 395C prior to thermal expansion/contraction and the position of end effector reference point 395 prior to thermal expansion/contraction. In one aspect, the sensors 199A and 199B are positioned in the process tool 390 such that each sensor is offset to the longitudinal centerline CL of the end effector 395 as the end effector travels past the sensors 199A and 199B. Here, sensors 199A and 199B are located on opposite sides of centerline CL, while in other aspects there may be one or more sensors located on a common side of centerline CL.
In the aspect illustrated in fig. 4A, there are two fiducial features 401 and 402 extending or depending laterally from opposite sides of the end effector 395 (where the lateral direction is generally the X-direction and the longitudinal axis is defined by the end effector centerline CL), while in other aspects there may be more or less than two fiducial features 401 and 402. For example, referring to fig. 4B, in one aspect, there is only a single fiducial feature 401 disposed on (e.g., extending from or depending from) a single lateral side of the end effector 395. In other aspects, the end effector 395 includes supplemental datum features disposed on a common lateral side or on opposing lateral sides. For example, referring to fig. 4C, reference fiducials 401 and 402' are located on a common lateral side of the end effector 395, wherein the fiducial features 401 and 402' provide supplemental end effector reference point 395C position determination by using a pair of transition points 425', 426' and 427, 428, wherein each of the supplemental fiducial features 401 and 402' are used to supplement the other of the fiducial features and provide a respective unique determination scheme for determining the end effector reference point 395C positions Xc and Yc, which may be combined and averaged as described herein to increase the accuracy of the position determination. Although the supplemental reference features 401 and 402' are illustrated on a common lateral side of the end effector 395, in other aspects the supplemental reference features can be located on opposite lateral sides of the end effector. In other aspects, referring to fig. 4D and 4E, the end effector 395 includes one or more fiducial features 403, wherein the fiducial features 403 are formed as internal fiducial features, such as apertures (e.g., slots or holes) in the end effector 395. The one or more fiducial features 403 have any suitable shape and configuration to enable determination of the positions Xc and Yc of the end effector reference point 395C in a manner substantially similar to that described herein. For example, the one or more fiducial features 403 may be a single feature or a plurality of features 403A and 403B that are shaped to be scanned in one or more directions to determine the position and size of the feature, wherein the controller then uses its shape and size to determine the thermal expansion or contraction of the end effector and the position Xc and Yc of the end effector reference point 395C. In one aspect, the one or more fiducial features 403 are shaped and sized to be scanned during movement of the substrate transfer robot 330 in a manner similar to that described herein for the fiducial features 401 and 402. In one aspect, thermal effects as described herein are determined independently of the determination of wafer eccentricity and may be sensed using different reference features located anywhere on the arm 330A, end effector 395, and/or wrist 395 WR. For example, in one aspect, at least a portion of one or more internal reference features 403, 403A, 403B correspond to an external reference feature, such as an edge 395EG of an end effector 395. For example, edges 403E of fiducial features 403A and 403B have a predetermined relationship with edges 395EG such that edges 403E and 395EG are sensed/detected in the manner described for the edges of fiducial features 401 and 402 to enable determination of at least end effector reference point 395C. It should be understood that the shape and number of the fiducial features 403, 403A, 403B are representative, and in other aspects, any suitable number of fiducial features may be present, each having any suitable shape. In still other aspects, referring to fig. 4F, datum features 401 "and 402" coincide with one or more edges of end effector 395. For example, the end effector includes a wrist portion 395 and a substrate holding portion 395H. In this aspect, wrist 395W, or any other suitable portion of end effector 395, is shaped such that the edges of wrist 395W integrally form datum features 401 "and 402" that coincide with the edges of wrist 395W. In other aspects, the datum feature(s) described herein are included in or on one or more of the arm 330A of the substrate transport apparatus 330 and the mechanical interface between the end effector 395 and the arm 330A (e.g., a wrist plate that may be similar to wrist 395W).
In the aspect illustrated in FIG. 4A, the fiducial features 401 and 402 are illustrated as having a curved shape such that the leading and trailing edges (corresponding to the transitions 425 and 428) of each respective fiducial feature 401 and 402 have substantially constant radii, wherein the detection of the leading and trailing edges at the transition points 425 and 428 is accounted for by the controller 11091 using, for example, position data from the encoder 331, to establish the positions Xc and Yc of the end effector reference point 395C. In other aspects, fiducial features 401 and 402 have any suitable shape that has a unique scheme for end effector reference point 395C that will be used to identify the location Xc and Yc of end effector reference point 395C. For example, in one aspect, referring to fig. 5, datum features 501 and 502 comprise straight edges having predetermined offsets FS1 and FS2 and angle α relative to the positions Xc and Yc of end effector reference point 395C. In one aspect, each of the fiducial features described herein is configured to independently resolve an end effector reference point 395C of the substrate holding station 395S. In one aspect, the fiducial features described herein are provided on the end effector 395 or other suitable location of the arm 330A of the substrate transfer robot 330 such that detection of the fiducial features defines a change in the dimensions of the substrate transfer robot 330 independent of the end effector 395, wherein, in one aspect, the change is due to thermal effects on the substrate transfer robot 330.
In one aspect, still referring to fig. 4A, a plurality of fiducial features 401 and 402 are provided on the end effector in order to improve accuracy with respect to determining reference positions Xc and Yc of end effector reference point 395C. For example, the fiducial features 401 and 402 are substantially similar to each other and are disposed opposite each other such that the shape of each of the fiducial features 401 and 402 is aligned with one or more common virtual reference features, such as, for example, a first circle VRW1 (corresponding to transition points 425 and 427) and a second circle VRW2 (corresponding to transition points 426 and 428). Although two circles are illustrated, in other aspects, the transition point may correspond to a single circle and more than two circles. In other aspects, the fiducial features 401 and 402 can define any suitable geometric feature/shape, e.g., having a predetermined relationship to the end effector reference point 395C. Each circle VRW1 and VRW2 has a known diameter and thus each circle VRW1 and VRW2 (and the edges of the fiducial features 401 and 402 corresponding to the respective circles and transition points 425, 427 and 426, 428) has a respective determination scheme as to the positions Xc and Yc of the determined end effector reference point 395C. In one aspect, the controller 11091 may combine and average the various schemes for the circles VRW1 and VRW2 and the position data from the encoder 331 corresponding to the transition points 425, 427 and 426, 428 in any suitable manner, e.g., to substantially eliminate noise variations in the sensor 199A and 199B signals and the robot arm encoder data. In other aspects, approaches for each of the reference features, such as a single reference feature, reference features on opposite sides of the end effector, and/or reference features on a common side of the end effector (whether supplemental or non-supplemental) may be combined and averaged in order to eliminate noise variations in the sensor and encoder data.
As can be appreciated, hysteresis effects (e.g., in the sensor 199A and 199B signals) may exist, for example, due to the speed effects of the mobile transfer arm 330A and the end effector 395 in detecting one or more of the transition points 421 and 428. For example, a higher transport arm 330A speed may result in a greater change effect due to the delay between when the sensors 199A and 199B sense one or more of at least the transition points 425 and 428 and when the sensor signal is received by the controller 11091. In one aspect, this hysteresis effect is addressed by combining the radially extending position encoder values of the transmission arm 330A corresponding to the detection signals of the respective transition points 425 and 428, for example, at different speeds. The radial extension of the arm 330A is used as an example, and in other aspects the position information of the arm 330A obtained from the encoder 331 can be any suitable position data corresponding to any suitable coordinate system. For example, the transport arm 330A transports at a first speed extending into the substrate holding station 315 to place the substrate S and retracts at a second speed from the substrate holding station 315 after the substrate S is placed, the second speed being different from the first speed. The controller 11091 receives the transition point 425 and 428 data for the extension and retraction pass of the transport arm 330A, where, for example, the end effector passes the sensors 199A and 199B, and the encoder 331 sends the position values/data to the controller 11091 corresponding to the transition point 425 and 428 data. The controller 11091 is configured to combine and average the position values/data from the encoder 331 corresponding to the transition point 425 and 428 data for the extend and retract passes in order to compensate for hysteresis effects. As can be appreciated, multiple extension and retraction passes may be combined and averaged to substantially reduce or eliminate hysteresis effects. Although the radial extension of the arm 330A is described with respect to the hysteresis compensation example described above, in other aspects the arm 330A may pass the sensor at different speeds in different directions along any suitable path, wherein the position data corresponding to the sensor transition points are combined and averaged in order to compensate for the hysteresis effect.
Still referring to fig. 4A, exemplary operation of aspects of the disclosed embodiments will be described. As described above, conventional automated wafer or substrate centering algorithms use a substrate centering fixture to define the reference wafer position at zero eccentricity rate. In aspects of the disclosed embodiments, the fiducial features 401 and 402 have a predetermined deterministic relationship to the wafer position at zero eccentricity (e.g., the position of the end effector reference point 395C). Thus, the measurements taken from fiducial features 401 and 402 define end effector reference point 395 locations Xc and Yc. The transition points 425-428 detected by one or more of the sensors 199A and 199B as the fiducial features 401 and 402 pass by the respective sensors 199A and 199B are measured as the end effector position reported by the substrate transport robot 330 encoder 331 at the instant that the respective sensors 199A and 199B detected each of the transition points 425-428. In one aspect, the positions Xc and Yc of end effector reference point 395C are determined at substantially the same time as the eccentricity determination for substrate S held on end effector 395 (e.g., on the same pass of end effector, fiducial feature, and/or substrate past sensors 199A and 199B or on a single pass).
In one aspect, the automatic substrate centering station teaching apparatus 300 described herein is calibrated at a predetermined calibration or reference temperature TREF to correlate at least the encoder data of the substrate transport robot 330 with the end effector reference point 395C as the fiducial feature 401 and 402 pass the one or more sensors 199A and 199B. The calibration temperature may be any suitable temperature, such as, for example, a temperature that protects the substrate transport arm 330 from thermal expansion or contraction and/or a temperature that teaches the substrate holding station 315 to the substrate transport apparatus 330. In other aspects, the calibration temperature is a temperature at which the amount of thermal expansion or contraction is known to the substrate transport apparatus 330. In one aspect, to calibrate the automatic substrate centering station teaching apparatus 300, the environment in which the substrate transport robot is located is brought to a calibration temperature (fig. 6, block 600). For example, the substrate transfer robot 330 moves to the substrate holding station 315 position within the transfer chamber. As the substrate transport robot 330 moves to the substrate holding station 315, the end effector 395 (e.g., the datum feature (s)) moves past the one or more sensors 199A and 199B (fig. 6, block 605). For example, one or more sensors 199A and 199B detect the leading and trailing edges of one or more fiducial features 401 and 402 at transition points 425 and 428 (FIG. 6, block 610). At each transition point 425-428, the one or more sensors 199A and 199B send a signal to the controller 11091 indicating the occurrence of the corresponding transition point 425-428 (FIG. 6, block 615), and in response to the signal, the encoder of the substrate transfer robot 330 sends a signal to the controller 11091 indicating the position of the substrate transfer robot 330 (e.g., the controller 11091 receives encoder data in response to the transition point detection) (FIG. 6, block 620). The controller determines the position Xc and Yc of the end effector reference point 395C (e.g., the position of the substrate at zero offset rate) in any suitable manner based on the encoder data corresponding to the transition points 425 and 428 and the known determined relationship between the fiducial features 401 and 402 and the end effector reference point 395C to correlate the encoder data (and, for example, the radially extended position) of the substrate transport robot 330 with the end effector reference point 395C (e.g., the position Xc and Yc of the end effector reference point known to the controller) (fig. 6, block 625). In one aspect, the controller 11091 determines the positions Xc and Yc using, for example, the following equations for the transition points 427 and 428 and the reference datum 401, and with reference to fig. 4A and 7:
r1 sin gamma 1 ═ r2 sin gamma 2, where,
Figure BDA0003556263310000191
Figure BDA0003556263310000192
YC=RCT+ r2 cos γ 2, and
XC=r2 sinγ2
wherein r1 and r2 are the radii of the virtual circles VRW1 and VRW2 defined by the reference features 401 and 402, respectively; γ 1 and γ 2 are the respective angles to transition points 427 and 428 on virtual circles VRW1 and VRW 2; and ar is the difference between the radial extension of the arm at transition point 427 and transition point 428. Similar calculations may be performed for transition points 425 and 426 for reference datum 402 and averaged with the calculations for transition points 427 and 428 to increase the accuracy of the calibration.
Again, it should be understood that other schemes/equations may be applied depending on the geometric configuration of the reference features 401 and 402. As can be appreciated, calibration of the automatic substrate centering station teaching apparatus 300 is performed, for example, when the tool is set up or when the substrate transport device is replaced, and need not be performed once the substrate processing apparatus is in a process safe state (e.g., when the temperature within the sealed processing apparatus is brought to the substrate processing temperature). Furthermore, the radial extension R of the substrate transport arm 330A at the calibration temperature is determined for at least one of the transition points 425-428CTHowever, in other aspects, where the extension of the substrate transfer arm is not radial, the X and Y coordinates of the substrate transfer for at least one of the transition points 425-428 (or the coordinates of the substrate transfer robot in any other suitable coordinate system) are recorded and correlated by the controller 11091 with the transition points 425-428.
As noted above, temperature effects on substrate processing equipment (such as, for example, the substrate transport robot 330) may be a source of accuracy errors in, for example, placing and picking substrates S at the substrate holding station 315. For example, thermal expansion and/or contraction of the substrate transfer robot is compensated for synchronously (in one aspect substantially simultaneously with substrate processing) with position data of the arm 330A of the substrate transfer robot 330 corresponding to transition data of the fiducial features 401 and 402 during picking and placing of the substrate S from and to a substrate holding station, such as the substrate holding station 315 (fig. 3). In one aspect, thermal effects on the substrate processing module 325 (and its components, such as the substrate holding station 315) are also compensated for by: for example, a configurable scale is stored in the controller 11091 that correlates the thermal expansion/contraction of the substrate processing module 325 with the thermal expansion/contraction of the substrate transport apparatus 330. In one aspect, the controller estimates the value of the thermal expansion/contraction of the substrate processing module 325 based on known values of thermal expansion/contraction of the substrate transport apparatus 330 as described herein. For example, the thermal expansion/contraction of the arm 330A may be used as a temperature sensor to estimate the expansion/contraction of the substrate processing module 325, and the correlation therebetween may be indicated in a configurable scale.
As can be appreciated, as the process temperature of the substrate processing equipment changes, the detected transition points 425 and 428 of the fiducial features 401 and 402 drift relative to the position of the substrate transfer robot 330 due to thermal expansion or contraction of the substrate transfer apparatus 330 (such as the transfer arm 395). In this way, the resulting thermal expansion or contraction effect can be measured by comparing the position data of the substrate transport apparatus 330 with its relative value at the calibration temperature TREF. Referring to fig. 9, the position of the end effector reference point 395C should be unique except when there is deformation, for example, due to temperature effects. If desired, the calibration temperature T can be measured, for example, to quantify the effect of temperature-sensitive deformation of the substrate transport arm 330AREFThe lower measurement positions Xc and Yc are stored as part of the calibration procedure (as indicated above). When the substrate transport robot extends to or retracts from the substrate holding station 315 of the substrate processing module 325 at any temperature T, the effect of the temperature can be calculated as follows:
(ΔX(T),ΔY(T))=(Xc(T)-Xc(TREP)),(Yc(T)-Yc(TREF))
wherein the measured deformation is included in the wafer offset measurement and calibration values in any suitable manner so as to place the wafer center WC in alignment with the station position SC. In one aspect, Δ x (t), Δ y (t) may be used to determine Thermal effects on the substrate processing module 325 as described herein. For example, in one aspect, the substrate transport robot 330 picks the substrate S from any suitable substrate holding station using the end effector 395 (fig. 8, block 800). It is noted that in one aspect, the thermal compensation is performed without the end effector holding the substrate S (e.g., block 800 of fig. 8 is optional) and may be performed before or during substrate processing. For example, the substrate transfer robot 330 moves within a transfer chamber or other controlled environment to the substrate holding station 315 location. As the substrate transport robot 330 moves toward the substrate holding station 315 of the substrate processing module 325 (e.g., to place the substrate S or move the fiducial features 401 and 402 past the sensors 199A and 199B), the substrate S and/or the end effector 395 (e.g., fiducial features 401 and 402) move past one or more of the sensors 199A and 199B (fig. 8, block 805). In aspects where the end effector 395 holds the substrate, one or more sensors 199A and 199B synchronously detect the leading and trailing edges of the substrate S, for example, at transition points 421 and 424 (FIG. 8, Block 810). In one aspect, for example, in addition to or in lieu of detection of transition points 421 and 424 (e.g., detection of substrate in block 810 of FIG. 8 is optional in one aspect), one or more sensors 199A and 199B synchronously detect the leading and trailing edges of one or more reference features 401 and 402 at transition points 425 and 428 (FIG. 8, block 815). At each transition point 421- & 428, one or more sensors 199A & 199B send a signal to the controller 11091 to indicate the occurrence of the corresponding transition point 421- & 428 (FIG. 8, blocks 820 & 821, note that block 820 will only occur if the end effector is holding the substrate), and in response to this signal, the encoder of the substrate transfer robot 330 sends a signal to the controller 11091 to indicate the position of the substrate transfer robot 330 (e.g., the controller 11091 receives encoder data in response to the transition point detection) (FIG. 8, block 825). In one aspect, thermal compensation for the end effector reference position 395C at process temperature (e.g., at Δ T) is determined based on: for example, the substrate transfer robot 330 may have a radially extending position at one or more of transition points 425-428 (such as, for example, transition point 428) Put RΔT. For example, when the sensor 199A detects the transition point 428 and the encoder 331 of the substrate transport apparatus 330 sends a position signal to the controller 11091 to indicate the position of the substrate transport apparatus 330, R is determined by the controller 11091ΔT. It is to be noted that RΔTCorresponds to or otherwise reacts to a dimensional change in the arm 330A of the substrate transport robot 330 from the sensor 199A to the shoulder axis Z due to, for example, thermal expansion or contraction. Thus, for example, the position X of the end effector reference point 395C at the process temperature is determined from the following equation substantially simultaneously with substrate processingCΔTAnd YCΔT(FIG. 8, block 835):
YCΔT=RΔT+ r2cos γ 2, and
XCΔT=r2 sinγ2=Xc
wherein, for example, r2cos γ 2 has not changed from the calibrated value r2cos γ 2 due to the thermal stability of the material of the end effector 395, and X again due to the thermal stability of the material of the end effector 395CΔTSubstantially constant (e.g., equal to XC) in one aspect, can be as desired, such as by being in contact with YCΔTAnd XCΔTThe summation combines the process module size factors (e.g., due to thermal effects) to include the effect of the process module 325 station 315 dimensional change for moving the transport robot to and from to place the substrate S at the process module station 315. In one aspect, since the temperature compensation effect is achieved by, for example, naturally detecting the relative change in the transition points 425-428 at the process temperature from the corresponding location values of the transition points 425-428 at the calibration temperature, the actual values of the process temperature need not be known in order to compensate for thermal expansion of the substrate transport arm 330A. Controller 11091 is based on position X of end effector reference point 395C at process temperature CΔTAnd YCΔTTo control the movement of the substrate transfer robot 330 so as to synchronously compensate for thermal expansion and/or contraction of the substrate transfer robot 330, e.g., based on R at the process temperatureΔTTo synchronously adjust the positions Xc and Yc.
Although the aboveIs the position X from the transition point 428 taken to the end effector reference point 395C at the process temperatureCΔTAnd YCΔTBut in other aspects, location XCΔTAnd YCΔTIs determined by using more than one point, for example, on the first circle VRW1 and the second circle VRW2, where the multiple points correspond to a common point on the circles VRW1 and VRW 2. For example, two points (such as transition points 426 and 428) (or in other aspects, transition points 425 and 427) are used to determine position XCΔTAnd YCΔT. For example, referring to FIG. 9, position location X may be found by sensing, for example, transition points 426 and 428 using the following equationCΔTAnd YCΔT
Figure BDA0003556263310000221
Figure BDA0003556263310000222
Figure BDA0003556263310000223
B3-X1=Rcos(αSSSS)→X3=X1+R cos(αSSSS)
Y3-Y1=Rsin(αSSSS)→Y3=Y1+R sin(αSSSS)
Wherein these equations use one or more virtual circles VRW1 and VRW2 to position end effector reference point 395C, the one or more virtual circles VRW1 and VRW2 representing the centering substrate held by end effector 395. As can be appreciated, an equation using two points on a circle may be applied to two circles VRW1 and VRW2, where each respective resulting position for end effector reference point 395C is averaged to increase the pair position X CΔTAnd YCΔTThe accuracy of the determination of (a). Here, as with other aspects of the disclosed embodiments, each timeThe position of end effector reference point 395C may be determined independently of the position of substrate S held on end effector 395 as substrate transport device 330 extends arm 330A to and from substrate processing module/station 325. Again, in one aspect, the size of the processing module 325 may be varied from the location X as described hereinCΔTAnd YCΔTAre combined to effect placement of the substrate S at the process module station 315.
In one aspect, with the end effector holding the substrate S, if desired, the controller 11091 is configured to base the substrate sensor transition data for the transition points 421 and 424 and the position X of the end effector reference point 395 at the process temperatureCΔTAnd YCΔTTo determine the eccentricity e of the substrate S (fig. 8, block 840). In one aspect, the eccentricity e of the substrate S is determined synchronously in any suitable manner, such as, for example, in the manner described in: U.S. patent nos. 6,990,430, 7,925,378, 4,819,167, and 5,980,194, which were previously incorporated by reference in their entirety. For example, referring to FIG. 4A, the positions XW and YW of the substrate center WC, the positions Xc and Yc of the end effector reference point 395C, and the eccentricity e of the substrate S are illustrated. It should be understood that the positions XW and YW of the base center WC and the positions Xc and Yc of the end effector reference point 395C represent positions at a calibration temperature or at a process temperature Δ T, where the positions XW and YW and the positions Xc and Yc (X) CΔT、YCΔT) Is determined as described herein. In one aspect, the eccentricity, e, can be determined using the equation:
e=(ΔX,ΔY)=(Xw-Xc,Yw-Yc)
although the above indicates that the position of the substrate holding station 315 is taught to the substrate transport robot 330 at a calibrated temperature, in one aspect, the position is based on, for example, a reference point position X at the processing temperatureCΔTAnd YCΔTAnd/or the eccentricity e of the substrate as determined at the processing temperature teaches the position(s) of the substrate holding station 315 again to (or in a contemporary generation) in any suitable mannerTaught to the substrate transport robot in addition to teaching at the calibration temperature (fig. 8, block 845). For example, the controller 11091 is configured to learn the center position SC of the substrate processing module/station 325 of the substrate processing tool 390 from the detection of the fiducial features described herein. In one aspect, the controller 11091 is configured to identify and learn the center position SC of the substrate processing module 325 of the substrate processing tool 390 from sensor data corresponding to the detection of at least one edge of at least one fiducial feature described herein. In one aspect, the location(s) of the substrate processing station(s) 315 are taught to the substrate processing robot 330 at processing temperatures in a manner generally similar to that described in the following documents: U.S. patent application No. 14/937,676 entitled "Tool Auto-Teach Method and Apparatus", filed 11/10/2015 (attorney docket No. 390P015016-US (PAR)), the disclosure of which is incorporated herein by reference in its entirety.
In one aspect, referring to FIGS. 4A and 10, the position 395C of the end effector 395 of the substrate transfer robot 330 is determined and the station center SC is taught to the substrate transport apparatus 330 in one pass or step without teaching a wafer. For example, the sensors 199A and 199B (which are oppositely disposed on opposite sides of the centerline CL, but need not be symmetrically disposed) have a predetermined spatial relationship with the station center SC such that sensing fiducial features, such as fiducial features 401 and 402, are used both to determine the end effector center or reference position 395C and to know the station center SC. For example, the teaching of the station center SC will be described with reference to the virtual circle VRW1 defined by the reference features 401 and 402 of the end effector 395, but it should be understood that the station center SC may also be taught in a similar manner using the circle VRW2 defined by the reference features and/or the substrate S. In one aspect, the wafer S and/or the fiducial features 401 and 402 are moved toward the sensors 199A and 199B by the end effector 395 (fig. 11, block 1100). The wafer S and/or the fiducial features 401 and 402 are sensed using sensors (fig. 11, block 1110) and the position of one or more wafer centers WC and the substrate transport apparatus (i.e., reference position 395C) are determined (fig. 11, block 1120). For example, as described herein, reference location 395C is determined by using circle VRW 1. As can be appreciated, since the positions of sensors 199A and 199B relative to station center SC are known and since wafer center WC substantially coincides with end effector center reference position 395C, the position of the substrate holding station relative to end effector center reference point 395C is also known and taught to the substrate transport apparatus wherein sensing wafer S and/or fiducial features 401 and 402 effects a registration of end effector reference point 395C (i.e., the position of the substrate transport apparatus) relative to the station center in one pass (or step) of the end effector from sensors 199A and 199B (fig. 11, block 1130).
In one aspect, referring to fig. 1A and 3, the controller 11091 is programmed with a motion model and/or algorithm that relates or otherwise describes the position of the arm 330A (or the position of a predetermined reference point on the arm 330A, such as, for example, the center 395C (XC, YC) of the end effector 395/substrate S or other suitable reference point) and the motion of the arm 330A to the frame of reference of the transport module 11025 or processing station 11030. In one aspect, the motion model and/or algorithm is based on the dimensions of arm 330A (such as the dimension L of each arm link 330AU and 330 AF)UiAnd LFiSee fig. 12) and the geometry of the arm 330A (e.g., fixed pivot, SCARA, frog leg, leapfrog arm, bilaterally symmetrical arm, linear slide, etc.). In one aspect, the motion model or algorithm correlates arm reference points or fiducials (such as, for example, fiducial features 401 and 402) to the position of the end effector 395 (such as the center 395C of the end effector 395), wherein the distance from the shoulder axis Z to the center 395C of the end effector 395 or to the arm reference points or fiducials (such as center determining/fiducial features 401 and 402) is generally referred to as the radial position or distance R of the arm 330A, as illustrated in fig. 4A, 9, 12, and 13.
Referring to fig. 12, a schematic representation of a SCARA arm (which is also applicable to a bilaterally symmetric arm configuration) is illustrated for exemplary purposes. In other aspects, the arm can be, for example, as described above with respect to fig. 2A-2EOne of the arms described above or any other suitable robotic arm. With respect to the SCARA arm illustrated in fig. 12, the upper arm 330AU has a length LUiThe front arm 330AF has a length LFiAnd the end effector 395 can have one or more reference point dimensions LEiSuch as, for example, the dimension L of the wrist of a SCARA armEWiDimension (L) (e.g., from wrist) to one or more reference or central deterministic features 401 and 402 (similar to features 401 and 402 shown in fig. 4A-4C and/or central deterministic features shown in fig. 4D-5 as described above)EFi) And/or a dimension L (e.g., from the wrist) to the center of the end effector 395 or substrate SECi. The links of the upper arm 330AU and the forearm 330AF also have link angles epsilon, and beta, where the angle of the end effector 395 is approximately equal to zero (0) for radial extension of the SCARA arm 330A (e.g., along axis Y extending through pivot axis Z).
The motion model and/or algorithm generates a value for the radial position R of SCARA arm 330A as a dimension to describe the extended/retracted position of a predetermined reference point or a reference on SCARA arm 330A. For example, referring to fig. 4 and 7, the radial position R of the arm 330A at process temperature ΔTAnd at the calibration temperature TREFRadial position R of lower arm 330ACTDetermined as one or more reference or central deterministic features 401 and 402 on a robot end effector 395, whereas in FIG. 9, the radial position R of the arm 330A at process temperatureΔTAnd at the calibration temperature TREFRadial position R of lower arm 330ACTIs identified as the center 395C of the end effector 395. Thus, for SCARA arm 330A (for each arm, in the case of bilaterally symmetrical arms), RiIs LUi、LFi、LEiE.g. R, of ε i and β ii=f(LUi,LFi,LEi,∈i,βi). For at the calibration temperature TREFRadial position RCT of lower SCARA arm 330A, upper arm LUiLength L ofUIAnd the forearm LFiLength L ofFiIs known, and the link angleThe degrees ε i and β i are determined from the motor encoder data (for the respective arm) using known initial values. End effector reference point dimension LEi(whether it is in the wrist LEWiOr at one or more reference or central deterministic features 401 and 402 (L)EFi) Again in the center L of the end effector or substrateECi) May be considered constant as described above. Thus, during movement of the arm 330A, the radial position R of the arm 330A at the processing temperatureΔTAnd at a calibration temperature TREFRadial position R of lower arm 330A CTIs generated by motion models and/or algorithms from the geometric relationship between arm links 330AU, 330AF, and 395 and predetermined reference points on arm 330A at any predetermined location, such as when the sensor signals are from one or more Automatic Wafer Centering (AWC) sensors 199A and 199B that are used to detect a characteristic or substrate characteristic of arm 330A (as described above with respect to fig. 3). In one aspect, the predetermined reference points on the arm are determined for a reference frame of the arm 330A (e.g., in a radial R, θ coordinate system) and for a reference frame of the transfer or processing chamber (e.g., in a cartesian coordinate system).
Referring to fig. 3, 9, and 13, wherein the radial extension position R of the arm 330A is set to the center position of the end effector 395, for example, in a motion model and/or algorithm, wherein:
Figure BDA0003556263310000251
wherein, the first and the second end of the pipe are connected with each other,
Y2-Y1=RC2-RC1and, and
X2-X1=Dc
where DC is a known dimension between sensors 199A and 199B, and Y3, Y2, Y1, X2、X1Similar to that described above.
In addition, as previously described herein, the radial position R of the arm 330A varies with thermal variations of the transfer chamber 11025 and/or the processing station 11030, and the radial position R due to thermal effectsΔTIs detected by sensors 199A and 199B (as previously described herein) and input into a motion model and/or algorithm to cause the radial position r (y) of arm 330A at process temperature ΔT(i.e., radial arm position over a range of motion along its axis as determined by a motion model or algorithm) and at a calibration temperature TREFRadial position of lower arm R (Y)CTAre related to each other (as also previously described herein). Generally, and as will be described in more detail, at the process temperature and at the calibration temperature TREFRadial position (R) of lower armΔTAnd RCT) Defining a scaling or expansion factor KSThe scale factor or expansion factor KSFor determining radial position R (Y) of arm 330A in a motion model or algorithmΔT
In one aspect, the controller 11091 includes a kinematic effects resolver 11091R (see fig. 3), the kinematic effects resolver 11091R configured to resolve the effects of kinematic models and/or algorithm sensitivities as a function of the temperature change Δ T of the transfer chamber 11025 and/or the processing station 11030. In one aspect, resolver 11091R is configured to determine a change in arm by determining a relationship between a change in expansion factor from the detection of at least one edge of central deterministic features 401 and 402 and the detection of at least one edge by sensors 199A and 199B in transit in the event of movement of the substrate transport apparatus (such as radial movement), and further resolving the effect of the determined change in expansion factor on the expansion factor. For example, resolver 11091R is configured to resolve a kinematically defined dimension of arm 330A (such as, for example, R) ΔT) Expansion factor KSAnd a change in the size of the arm 330A, such as due to thermal effects, as described further below. For example, the parser 11091R may implement factorization through motion models and/or algorithms (e.g., through expansion factors or scaling factors K)S) Such that the radial position R of SCARA arm 330A at the processing temperatureΔTAnd at the calibration temperature TREFRadial position R of lower SCARA arm 330ACTRelated of, wherein KSCan be expressed generally as:
Figure BDA0003556263310000261
in one aspect, the swelling factor KSCan always be applied to the temperature T for calibrationREFRadial position R (Y) of lower arm 330ACT(e.g. at a calibration temperature TREFLower radial position of arm 330A along the Y-axis, or stated differently, no thermal effect) to calibrate radial position R of arm 330A in the motion model and/or algorithm over the range of radial motion of arm 330A, such as radial position R (Y) at process temperature or any other temperatureΔT
In other aspects, the swelling factor KSCan be determined to remove the effect of arm members that contribute little to the thermal effect of the arm 330A, such as when the end effector 395 is thermally stable and XCΔTAnd YCΔT(see fig. 4A) is substantially constant, as described herein. Wherein, K SDetermined to remove the influence of the arm member that contributes little to the thermal effect of the arm 330A, KSCan be expressed as:
Figure BDA0003556263310000262
and wherein the radial position R of the arm 330A is set to the end effector center 395C (Xc and Yc), as shown in fig. 9, 12, and 13, LEiIs equal to LECi
In one aspect, the parser 11091R may be applied directly to the expansion factor K in the motion model and/or algorithmSTo filter or compensate for thermal variations in the dimension L of the arm 330AUiAnd LFiThe non-linear effect generated by non-linear variations in the arm 330A and substrate processing system and other non-linear factors. Non-linear variations include, but are not limited to: variable expansion in each arm link of arm 330A (such as upper arms 330AU andthe forearm 330AF expands at a different rate), variable expansion of different arms 216A, 216B, 218A, 218B, 219A, 219B of a common substrate transport apparatus (such as in a frog-leg robot configuration, in a two-sided symmetric robot configuration, or where the substrate transport apparatus has multiple independent arms, e.g., see fig. 2A, 2C, and 2E), variable temperatures experienced by different arm links or different arms of the substrate transport apparatus (e.g., a portion of the arm (or first arm) is subjected to a different temperature than another different portion of the arm (or second arm). Examples when one arm may be subjected to a different temperature than another, different arm of the substrate transport apparatus are: when the substrate transport apparatus has multiple arms stacked on top of each other, so that the uppermost arm may experience a higher temperature (e.g., due to a thermal gradient) than the lowermost arm. Another example when one arm of a multi-arm substrate transport apparatus may be subjected to a different temperature than another different arm is: when one arm is always used to pick up a hot substrate and the other arm is always used to pick up a cold substrate. Yet another example is: when the forearm 330AF extends into the processing module while the upper arm 330UA remains within the transfer chamber so that the forearm 330AF experiences a higher temperature than the upper arm 330 AU.
In one aspect, the resolver 11091R may be history-based and configured to apply a suitable filter (such as a finite impulse filter or a moving average filter) that compensates for thermal variations in the dimension L of the arm 330AUiAnd LFiWherein the dimension L isUiAnd LFiIs generated by non-linear changes in the substrate processing system and the resulting non-linear effects, using a motion model or algorithm to establish the radial dimension r (y) of arm 330AΔT. In one aspect, resolver 11091R is applied directly to expansion factor K in both a heated environment and a cooled environmentSWherein the swelling factor KS(t)iIs determined with each pass of arm 330A (or a determined portion thereof, such as a predetermined reference point/datum as described herein) as it passes over sensors 199A and 199B during a thermal transient. The expansion factor K to be applied in each passS(t)iIs input into the parser 11091R and the expansion factor K is updated after each passS(t) and applied to the movement of arm 330A by controller 11091. The expansion factor K of the analysisS(t) can be expressed generally as a finite impulse filter in the following form:
Figure BDA0003556263310000271
Where i is Δ t between successive arm 330A movements and n is any suitable measurement window value (such as any suitable integer value). Resolved swelling factor KS(t) may be more specifically expressed as:
Figure BDA0003556263310000272
wherein, NsamplesIs KSThe number of times it has been sampled.
Thus, for the range of radial motion of arm 330A (at the determined calibration temperature T)REFAny given movement time (i ═ 1, 2, 3,. eta.) after calibration under, the radial dimension r (y) for that radial movement determined by the motion model or algorithm for arm 330AΔTCan be expressed as:
Ri=G*KS(t)(RCTK-LEi)+LEi
wherein R isCTKIs measured by a motion model or algorithm at a predetermined calibration temperature TREFA corresponding radial motion of the arm 330A is determined, and G is a gain or scaling factor, which may be included to compensate for predetermined changes (such as steady state changes) identified at the respective position of the arm 330A (e.g., substrate holding station, processing module, etc.). Thus, RiIs the radial distance R of a motion model that is compensated for thermal variation effects (such as when the different links of the arm are not at an equalized steady state temperature) and is applied to determine Automatic Wafer Centering (AWC) and substrate holding/processing station positions, as previously described and with Other ways are known.
In one aspect, the swelling factor KSMay have a configurable threshold where the parser 11091R of the controller 11091 is configured to be at KSAbove a predetermined threshold, a moving average filter is continuously applied. When K isSBelow a predetermined threshold, errors in the motion of the arm 330A due to thermal expansion of the upper arm 330AU and the forearm 330AF of the arm 330A may be considered steady-state, and a moving average filter may not be applied to the expansion factor KSSuch that the dilation factor K is applied by the controller 11091 in a motion model or algorithm substantially without filteringSTo the movement of the arm. In one aspect, the parser 11091R may be configured to set the initial threshold K based on needS(t-i) (e.g., the initial threshold may be KS1.0001) to start and/or apply the resolved dilation factor KS(t)。
The resolver 11091R for the motion model or algorithm may be configured to apply the backfill value K during periods when the arm 330A is idleS(B) In that respect For example, resolver 11091R may filter inflation value K for one or more previous pick/place movements of arm 330A (e.g., a previous arm movement run before the arm becomes idle) S(t) use as initial swelling factor K in unfiltered measurement windowS(B) .1. the In one aspect, the backfill value KS(B) May depend on the period of inactivity between the last time arm 330A was moved into operation and the restart of arm 330A movement. In an aspect, any suitable backfill value K can be usedS(B) Including a predetermined decay function based on idle periods. The resolver may be configured such that the resolving expansion factor K may be determined for each arm individuallyS(t), determining the analytic expansion factor K individually for each AWC sensor (e.g., for each sensor 199A and 199B or each sensor group 199A1-199A7 corresponding to a respective substrate holding/processing station 11030, see FIG. 1B)S(t) determining the analytic expansion factor K separately for thermal growth of one or more arms 330AS(t) and determining the solution separately for the thermal contraction of one or more arms 330AExpansion factor KS(t)。
As an example of an implementation of the parsed and unresolved expansion factors, referring to fig. 3, in one aspect, the substrate transport robot 330 utilizes a self-centering end effector 395 to pick up a substrate S from any suitable substrate holding station (fig. 14, block 1400). As noted above, in one aspect, thermal compensation is performed without the end effector holding the substrate S (e.g., block 1400 of fig. 14 is optional) and may be performed before or during substrate processing. In other aspects, the thermal compensation can be performed synchronously as the substrate transport robot 330 transports one or more substrates S on the end effector 395. The substrate transfer robot 330 moves within, for example, a transfer chamber or other controlled environment to the location of the substrate holding station 315. As the substrate transport robot 330 moves toward the substrate holding station 315 of the substrate processing module 325 (e.g., to place the substrate S or move the fiducial features 401 and 402 past the sensors 199A and 199B), the substrate S and/or the end effector 395 (e.g., fiducial features 401 and 402) move past one or more of the sensors 199A and 199B (fig. 14, block 1405). Referring also to FIG. 4A, in aspects where the end effector 395 holds the substrate, one or more sensors 199A and 199B synchronously detect the leading and trailing edges of the substrate S, for example, at the transition points 421 and 424 (FIG. 14, block 1410). In one aspect, for example, in addition to or in lieu of the detection of the transition point 421 and 424 (e.g., the detection of the substrate in block 1410 of FIG. 14 is optional in one aspect), the one or more sensors 199A and 199B also synchronously detect the leading and trailing edges of the one or more reference features 401 and 402 at the transition point 425 and 428 (FIG. 14, block 1415). At each transition point 421- Data) (fig. 14, block 1425). This simultaneously informs of the effects of thermal variations in the end effector center position and arm dimensions. The controller 11091 is configured to identify a change in a dimension of the arm 330A of the substrate transport robot 330 based on the encoder data and determine an expansion factor K that relates the change in the dimension to the dimension of the arm 330AS. In one aspect, thermal compensation of the end effector reference position (which in one aspect is the center 395C of the end effector 395) or its expansion factor K at process temperature (e.g., at Δ T)SThe determination is based on: for example, the substrate transfer robot 330 may have a radially extended position R at one or more transition points 425 and 428 (such as, for example, the transition point 428)ΔT. For example, when the sensor 199A detects the transition point 428 and the encoder 331 of the substrate transport apparatus 330 sends a position signal to the controller 11091 to indicate the position of the substrate transport apparatus 330, R is determined by the controller 11091ΔT(FIG. 14, block 1430). As indicated above, RΔTCorresponds to or otherwise reacts to a dimensional change in the arm 330A of the substrate transfer robot 330 from the sensor 199A to the shoulder axis Z due to, for example, thermal expansion or contraction. Thus, as described above, the position XC of the end effector reference point 395C at the process temperature is determined substantially simultaneously with substrate processing, for example ΔTAnd YCΔTOr RΔT(FIG. 14, block 1435). The controller 11091 also adjusts the expansion factor KSCompared with a predetermined threshold and if the expansion factor K isSIs higher than the predetermined threshold, the moving average filter described above is applied directly to the expansion factor K when determining the movement of the arm 330A in the manner described aboveS(FIG. 14, block 1440). If K isSIs below a predetermined threshold, then the expansion factor K is applied substantially without filtering when determining the movement of the arm 330AS
Another aspect of the systems and methods described herein provides a method for estimating the temperature and corresponding thermal expansion of each individual manipulator/arm link of the transfer robot described herein, as well as accounting for non-linear effects of transfer robot arm motion. As an example, the method explicitly calibrates the transfer robot motion equation to report a more accurate transfer robot position. In addition, once the transport robot reaches a steady state, the method, described in more detail below, reports similar results to the current embodiment.
As noted above, in exemplary aspects of the disclosed embodiments, referring to fig. 12 and 13, the controller 11091 is configured to identify the non-linear effect on the thermal effect relationship model from the following parameters:
The KS parameter, which depends on the link angle (e.g., epsilon i and beta i as shown in fig. 12), and the temperature of the upper arm and forearm may be different (see fig. 15) and may change over time at a different rate than calculating KS.
Referring also to fig. 15, a representative upper arm temperature curve 1500 versus time and a representative forearm temperature curve 1501 versus time are shown. The representative upper arm temperature profile 1500 and the representative forearm temperature profile 1501 are observed on an exemplary client application having a thermal processing module (e.g., the processing module is at an operating temperature). As can be seen in fig. 15, even when the transport robot reaches a steady state (e.g., a thermal steady state), there is a temperature gradient between the upper arm and forearm of a transfer arm, such as, for example, the transfer arm 330A illustrated in fig. 12.
Fig. 16 illustrates another exemplary embodiment of an end effector 395 in accordance with aspects of the disclosed embodiments, e.g., the end effector 395 has two datum features 1600 and 1601 (similar to those described above) located at different motion locations on the end effector 395. As indicated above, the reference features 1600 and 1601 are of representative configuration and are shown on only one side of the end effector 395 for exemplary purposes, however, in other aspects, the reference features may be provided on the opposite side of the end effector 395 (as described above) for use in causing K as described above SThe values are averaged. Also, in other aspects, the reference characteristicOne or both of 1600 and 1601 may be deterministic characteristics of the center positions Xc and Yc, or any other suitable position configured to determine the transfer arm (as described above). In other aspects, the fiducial features 1600 and 1601 may be provided with other fiducial features that are used to determine, for example, the center positions Xc and Yc (or other positions of the transfer arm). In one aspect, the reference features 1600 and 1601 are linearly displaced along a direction 499 of radial extension/retraction (e.g., a predetermined extension dimension as generated by a motion model, see fig. 15 and 17) so as to cause a corresponding K for each reference feature 1600 and 1601SThe values (KS1 and KS2) enable a distinction to be made between the above-indicated contributions of the nonlinear effects of the upper arm and forearm for a given temperature setting. Since the motion of each reference feature 1600 and 1601 is different when each reference feature 1600 and 1601 is detected, for example, the corresponding KSValues, such as KS1 and KS2 associated with detection by the sensors 199A and 199B of the reference features 1600 and 1601, respectively, are substantially different in the same pass of the sensors 199A and 199B (e.g., in a co-extending or retracting movement past the sensor (s)). Accordingly, corresponding to K SA value (such as K)S1 and KS2) And thus may be used to provide an indication of the temperature gradient between the upper arm link and the forearm link. Thus, the transfer robot motion may be calibrated to report the position of the end effector 395 with improved accuracy as compared to conventional thermal compensation algorithms.
In one aspect, reference is made to fig. 16 and 17 (which illustrate a frog-leg arm configuration for exemplary purposes, but it should be understood that aspects of the disclosed embodiments are equally applicable to SCARA arm configurations, bilaterally symmetric arm configurations, and other arm configurations described above, such as, for example, reference to fig. 2A-2E), KS1And KS2Relationships between-otherwise expressed as KS1:KS2(wherein, KS1And KS2Is the respective K associated with each reference feature 1600 and 1601SValue, as previously described above) is dependent on the upper arm link 330AU (see also L)Ui) And forearm link 330AF(see also LFi) Corresponding thermal expansion/contraction. Thermal expansion/contraction L of upper arm linkUiThermal expansion/contraction L with forearm linkFiAny difference between, and correspondingly between the temperature of the upper arm link and forearm link causing this expansion/contraction difference will be represented by K S1:KS2A corresponding change in the relationship is reflected or identified from the change. Thus, as the arm 330A cycles (e.g., extends and/or contracts) during the thermal transient through different passes, the respective relative thermal expansion value K corresponding to the corresponding reference features 1600 and 1601 at each pass (i)S1(i)And KS2(i)(ii) determining upper arm link temperature T for a given pass (i) as a function of each otherUiTemperature T of forearm linkFiThermal difference Δ T therebetweenU/Ai. It is to be noted that the respective arm temperatures TUiAnd TFiCan be regarded as being directed to the respective arm links LUiAnd LFiAre averaged with corresponding lengths L1 and L2. In other aspects, the respective arm temperature TUiAnd TFiMay correspond to a predetermined position somewhere on the arm (such as at the end of the arm link, in the middle of the arm link, or along the respective arm link L)UiAnd LFiL1 and any other location or locations of L2). It is also noted that although the corresponding arm temperature T will beUiAnd TFiThe relationship between is described as a difference, but the corresponding arm temperature T may also be usedUiAnd TFiAny suitable relationship therebetween (e.g., T)Ui: TFi)。
According to another aspect of the disclosed embodiment, the upper arm link L may be configured asUiAnd forearm link lever LFiDifferent respective arm temperatures (T) UiAnd TFi) Unique relationship between them, and different corresponding swelling factors KSi1And KSi2The unique relationships between (associated with each of the reference features 1600 and 1601) are expressed in a look-up table, or any suitable algorithm, stored in the controller 11091 (see fig. 1 and 3). The relationship embodied by the look-up table/algorithm may be empirically or otherwise suitably embodiedModeling, or a combination thereof.
Referring also to fig. 19, a schematic diagram illustrating a non-linear change resolver 1950 (which may be part of the controller 11091) for determining a calibration manipulator position in accordance with aspects of the disclosed embodiments is shown. In accordance with aspects of the disclosed embodiments, K is calculated for each of the reference features 1600 and 1601 as described above with respect to, for example, fig. 12 and 13S1And KS2Value of (fig. 20, box 2000). In one aspect, K isS1And KS2Is input into, for example, a look-up table or any suitable algorithm 1900 (fig. 20, block 2005) that outputs the upper arm links 330AU, L, respectivelyUiAnd forearm link 330AF, LFiIs modeled on the temperature TUiAnd TFi(FIG. 20, block 2010) in which the upper arm link 330AU, LUiAnd forearm link 330AF, L FiAt a predetermined calibration temperature, have respective link lengths L1i and L2 i. In one aspect, the modeled temperature information is input into a thermal expansion model 1910 (fig. 20, block 2015), which thermal expansion model 1910 predicts the expanded (or contracted) link length (e.g., L1i + Δ L1i and L2i + Δ L2i, represented in fig. 17 by links 330AU 'and 330AF', which are caused by thermal effects), and calculates the calibrated transport hand position using a motion model 1920 calibrated for the expanded link lengths L1+ Δ L1 and L2+ Δ L2 (fig. 20, block 2020), noting that the length L3 of the end effector 395 is substantially constant, as described above.
In one aspect of the disclosed embodiment, in a manner similar to that described above, referring again to fig. 16, the datum features 1600 and 1601 provide a centering determination of the substrate S (see fig. 4A) and a centering determination of the end effector 395 (e.g., no teaching of the wafer for recording the end effector center relative to the station center — the station center SC is taught to the substrate transport apparatus 330) in one pass of the substrate/end effector past the sensors 199A and 199B. For example, as described above, the sensors 199A and 199B (see also fig. 4A, which are oppositely disposed on opposite sides of the end effector centerline CL, but need not be symmetrically disposed) have a predetermined spatial relationship with the station center SC (see also fig. 4) such that the sensing fiducial features, such as fiducial features 1600 and 1601, are used to both determine the end effector center or reference position 395C, the substrate/wafer center WC (see also fig. 4), and to know the station center SC. For example, the teaching of the station center SC (and wafer center determination) will be described with reference to the datum features 1600 and 1601 of the end effector 395, but it should be understood that the station center SC may also be taught in a similar manner using the substrate/wafer S. In one aspect, the end effector 395 is moved (fig. 21, block 2100) to move the substrate S and/or the datum features 1600 and 1601 toward the sensors 199A and 199B. The substrate S is sensed with a sensor (fig. 21, block 2105), and the fiducial features 1600 and 1601 are sensed with a sensor (fig. 21, block 2110), and the determination of the position of the substrate center WC and the substrate transport apparatus (i.e., the reference position 395C) is determined in any suitable manner, such as described above (e.g., such as by using circle VRW1 as described herein) (fig. 21, blocks 2115 and 2120). As can be appreciated, since the position of the sensors 199A and 199B relative to the station center SC is known and since the substrate center WC is generally coincident with the end effector center reference point 395C, the position of the substrate holding station relative to the end effector center reference point 395C is also known and taught to the substrate transport apparatus wherein sensing the substrate S and/or fiducial features 1600 and 1601 effects a record of the end effector reference point 395C relative to the station center (i.e., the position of the substrate transport apparatus) in one pass (or step) of the end effector from the sensors 199A and 199B (fig. 21, block 2125).
In another aspect, the recording of the end effector center 395C relative to the station center SC and the determination of the base center WC may be determined using one or more passes. For example, still referring to FIG. 16, with both the substrate center 395C and the station position SC to be taught, the end effector 395 is moved (FIG. 22, block 2200) to move the substrate S and/or the reference features 1600 and 1601 toward the sensors 199A and 199B. The substrate S is sensed with a sensor (fig. 22, block 2205), and the fiducial features 1600 and 1601 are sensed with a sensor (fig. 22, block 2215), and the determination of the substrate center WC and the position of the substrate transport apparatus (i.e., the reference position 395C) is determined in any suitable manner, such as described above (e.g., such as by using circle VRW1 as described herein) (fig. 22, blocks 2220 and 2225). The recording of the end effector center reference point 395C with respect to the station center SC is accomplished in the manner described above (fig. 22, block 2230).
With only the substrate center 395C determined, the end effector is moved (fig. 22, block 2200) to move the substrate toward the sensors 199A and 199B and to sense the substrate as described above (fig. 22, block 2205). The center of the substrate is determined (fig. 22, block 2210) so that the substrate can be placed at the station location SC. In one aspect, any suitable number of substrates may be transferred to or from the station location SC before the station location SC is taught again (with or without the substrates being held on the end effector 395). For example, the station location SC may be taught after 10 substrates, 20 substrates, or any other suitable number of substrates are placed. In other aspects, the station location SC may be taught after any suitable predetermined time interval (e.g., 30 minutes, 60 minutes, or any other suitable time interval). The base station SC may be taught (fig. 22, block 2200) by moving the end effector toward the sensors 199A and 199B so that the datum features 1600 and 1601 are sensed (fig. 22, block 2215). The end effector hub 395C is determined in the manner described above (fig. 22, block 2220) and recording of the end effector hub relative to the station hub SC is performed in the manner described above (fig. 22, block 2230). If the end effector is holding the base while the station center SC is taught, the center WC of the base may be determined in the same pass of the end effector over sensors 199A and 199B (e.g., in one pass) or in a second pass of the end effector over sensors 199A and 199B, such that the base center WC and the station center SC are taught over different passes.
Referring also to fig. 18, an exemplary diagram illustrates a comparison between thermal compensation 1810 for a transfer arm (such as the transfer arm described herein) in accordance with aspects of the disclosed embodiments and thermal compensation 1800 for the transfer arm in accordance with conventional prior art thermal compensation techniques. In the exemplary graph of fig. 18, the positioning error of the transfer arm is plotted against time, where it can be seen that thermal compensation in accordance with aspects of the disclosed embodiments provides increased position accuracy when compared to conventional thermal compensation algorithms.
Referring to fig. 23, in one aspect of the disclosed embodiment, thermal compensation of the transfer arm is provided in a manner similar to that described above, wherein during transients when the temperature of the arm rises and once the arm reaches a steady state temperature condition, the discrete effects of length changes as a function of temperature due to the different temperatures of each arm link are resolved/determined for each arm link of the transfer robot (e.g.,
Figure BDA0003556263310000331
). This aspect of the disclosed embodiments is described with respect to determining and compensating for thermal variations of the transport device 2300 having SCARA arm 2300A, however, this aspect of the disclosed embodiments is equally applicable to any suitable transport arm, such as those described above, and includes, but is not limited to, a frog-jump arm configuration, a bilaterally symmetric arm configuration, and an articulated wrist configuration. Generally, transport apparatus 2300 includes a SCARA arm 2300A having an upper arm 23201, a forearm 23202, a substrate holder 23203, and a drive section 23204. A controller 11091 may be connected to transfer apparatus 2300 to move the arm segments of SCARA arm 2300A as needed. In other aspects, the arm assembly may have any other desired general SCARA configuration. For example, the assembly may have a plurality of forearms and/or a plurality of substrate holders.
Substrate holder 23203 is rotatably coupled to forearm 23202 by shaft assembly 23754 at wrist 23755 of transfer apparatus 2300. The base holder 23203 may be rotatably connected to the front arm 23202 by a support shaft 23698. In one aspect, the substrate holder 23202 may be a forked end effector. The substrate holder 23203 may have a primary mechanical clamp or a passive edge clamp. In other aspects, the substrate holder 23202 may be a paddle-shaped end effector having a vacuum chuck. Forearm 23202 is rotatably connected to upper arm 23201 at elbow 23646 of transfer apparatus 2300 by coaxial shaft assembly 23675. The substrate holder 23203 has a predetermined center, where the end effector is configured to hold the substrate such that the center of the substrate coincides with the predetermined center of the end effector used to transport the substrate within a substrate processing apparatus, such as those described herein. Upper arm 23201 is rotatably connected to drive section 23204 at shoulder 23652. In this aspect, the upper arm 23201 and forearm 23202 are of equal length, but in other aspects, for example, the upper arm 23201 may be shorter in length than the forearm 23202 or vice versa.
In the illustrated aspect, the drive section 23204 can have an outer housing 23634H that houses a coaxial shaft assembly 23660, as well as three motors 23662, 23664, 23666. In other aspects, the drive section may have more or less than three motors. Drive shaft assembly 23660 has three drive shafts 23668a, 23668b, 23668 c. In other aspects, more or less than three drive shafts may be provided. The first motor 23662 includes a stator 23678a and a rotor 23680a, the rotor 23680a is connected to the inner shaft 23668 a. The second motor 23662 includes a stator 23678b and a rotor 23680b, the rotor 23680b being connected to an intermediate shaft 23668 b. The third motor 23666 includes a stator 23678c and a rotor 23680c, which rotor 23680c is connected to the outer shaft 23668 c. The three stators 23678a, 23678b, 23678c are fixedly attached to the housing 23634H at different vertical heights or positions along the housing. In this aspect, the first stator 23678a is a bottom stator, the second stator 23678b is a middle stator, and the third stator 23678c is a top stator. Each stator typically includes an electromagnetic coil. Three shafts 23668a, 23668b, and 23668c are arranged as coaxial shafts. The three rotors 23680a, 23680b, 23680c are preferably comprised of permanent magnets, but may alternatively comprise magnetic induction rotors without permanent magnets. The sleeve 23663 is located between the rotor 23680 and the stator 23678 to allow the transfer apparatus 2300 to be used in a vacuum environment, where the drive shaft assembly 23660 is located within the vacuum environment and the stator 23678 is located outside of the vacuum environment. However, if the transfer device 2300 is intended for use only in an atmospheric environment, the sleeve 23663 need not be provided.
The first shaft 23668a is an inner shaft and extends from the bottom stator 23678 a. The inner shaft has a first rotor 23680a that is aligned with a bottom stator 23678 a. The middle shaft 23668b extends upward from the middle stator 23678 b. The intermediate shaft has a second rotor 23680b aligned with a second stator 23678 b. The outer shaft 23668c extends upward from the top stator 23678 c. The outer shaft has a third rotor 23680c that is aligned with the upper stator 23678 c. A plurality of bearings are provided around the shaft 23668 and the housing 23634H to allow each shaft to be independently rotatable relative to each other and the housing 23634H. Each shaft 23668 can be provided with a suitable position sensor to send signals to the controller 11091 regarding the rotational position of the shafts 23668 relative to each other and/or relative to the housing 23634H. Any suitable sensor may be used, such as an optical or inductive sensor.
The outer shaft 23668c is fixedly connected to the upper arm 23201 such that the shaft 23668c and the upper arm 23201 rotate together as a unit about the axis Z1. The intermediate shaft 23668b is connected to the first transmission 23620 in the upper arm 23201 and the inner shaft 23668a is connected to the second transmission 23610 in the upper arm 23201 as shown in fig. 23. First drive 23620 preferably includes a drive pulley 23622, an idler pulley 23624, and a drive cable or belt 23626. A drive pulley 23622 is fixedly mounted to the top of the intermediate shaft 23668b and is connected to an idler pulley 23624 by a drive belt 23626. Idler 23624 is fixedly mounted to the bottom of inner shaft 23672 of coaxial shaft assembly 23675 to connect forearm 23202 to upper arm 23201. The second transmission 23610 in the upper arm 23201 preferably includes a drive pulley 23612, an idler pulley 23614, and a drive belt or cable 23616. Drive wheel 23612 is fixedly mounted to the top of inner shaft 23668a of coaxial shaft assembly 23660 in drive section 23204. An idler pulley 23614 is fixedly mounted to the bottom of the outer shaft 23674 of the coaxial shaft assembly, thereby connecting the forearm 23202 to the upper arm 23201. Drive belt 23616 connects drive pulley 23612 to idler pulley 23614. The ratio of diameters (e.g., ratio of ratios) between the idler 23624 and the drive wheel 23622 of the first transmission 23626, and between the idler 23614 and the drive wheel 23612 of the second transmission 23610 may be any suitable ratio, such as those ratios described herein. Drive belts 23616 and 23626 are configured to rotate the respective idler wheels 23614 and 23624 in the same direction as the corresponding drive wheels 23612 and 23622 (e.g., clockwise rotation of the drive wheels 23612 and 23622 causes clockwise rotation of the idler wheels 23614 and 23624).
A coaxial shaft assembly 23675 connecting the front arm 23202 to the upper arm 23201 is rotatably supported on the upper arm 23201 by suitable bearings that allow the outer and inner shafts 23674, 23672 of the shaft assembly to rotate relative to each other and the upper arm 23201 about the axis Z2. The outer shaft 23674 of the coaxial shaft assembly 23675 is fixedly mounted to the front arm 23202 such that the shaft 23674 and the front arm 23202 rotate together as a unit about Z2. When the idler wheel 23614 of the second transmission 23610 in the upper arm 23201 is rotated by the inner shaft 23668a of the drive section 23204, the front arm 23202 rotates about the axis Z2. Thus, the inner shaft 23668a of the drive section 23204 is used to independently rotate the forearm 23202 relative to the upper arm 23201.
The inner shaft 23672 of the coaxial shaft assembly is fixedly attached to the drive wheel 23753 of the third transmission 23752 in the forearm 23202. A third transmission 23752 in the forearm 23202 preferably includes a drive wheel 23753, an idler wheel 23750, and a drive belt or cable 23751. An idler 23750 is fixedly mounted to the shaft 23698. A drive belt 23751 connects the drive wheel 23753 to the idler wheel 23750. The shaft 23698 is rotatably supported on the front arms 23202 by suitable bearings that allow the shaft 23698 to rotate about axis Z3 relative to the front arms 23202. In this aspect, the ratio of diameters between the idler pulley 23750 and the drive pulley 23753 of the third transmission 23752 is any suitable gear ratio, such as those described herein. The drive belt 23751 is configured to rotate the idler 23750 in the same direction as the drive wheel 23753 (e.g., clockwise rotation of the drive wheel 23753 causes clockwise rotation of the idler 23750).
The shaft 23698 is fixedly mounted to the base holder 23203. Thus, the shaft 23698 and the substrate holder 23203 rotate together as a unit about the axis Z3. When the idler 23750 of the third transmission 23752 is rotated by the drive wheel 23753, the substrate holder 23203 rotates about the axis Z3. Which in turn rotates the drive wheel 23753 via the inner shaft 23672 of the coaxial shaft assembly 23675. When the idler gear 23624 of the first transmission 23626 in the upper arm 23201 is rotated by the intermediate shaft 23268b of the drive section 23204, the inner shaft 23672 rotates. Thus, the substrate holder 23203 may be independently rotated about axis Z3 relative to the forearm 23202 and the upper arm 23201.
Referring also to fig. 24, in one aspect, the transport apparatus 2300 may include two end effectors 23203A and 23203B, the two end effectors 23203A and 23203B being positioned side-by-side so as to cause substantially simultaneous transfer and removal of a substrate S to and from a process module PM that is also positioned side-by-side. In one aspect, the two end effectors 23203A and 23203B may be independently movable relative to each other about the wrist axis Z3. For example, the driver 23204 may include additional drive shafts and motors for effecting movement of one end effector 23203A and 23203B relative to the other end effector 23203A and 23203B.
Referring to fig. 25, in one aspect, transport apparatus 2300 may include two SCARA arms 25155A and 25155B, which arms 25155A and 25155B are substantially similar to arm 2300A. For example, each SCARA arm 25155A and 25155B includes an upper arm link 25155UA and 25155UB, a forearm link 25155FA and 25155FB, and an end effector 25155EA and 25155 EB. In this aspect, the end effectors 25155EA and 25155EB are dominated by the upper arm, but in other aspects the end effectors may be independently driven. Arms 25155A and 25155B are shown as three-link SCARA arms and may be coaxially coupled to drive section 23204 and may be stacked vertically on top of each other so as to allow independent theta motion (e.g., using a four-axis drive — see drive shaft 23668d) or linked theta motion (e.g., using a three-axis drive), where the linked theta motion is rotation of the robotic arm as a whole about shoulder axis Z1, substantially without extension or retraction. Each arm 25155A and 25155B is driven by a pair of motors and may have any suitable drive wheel arrangement. In one aspect, for non-limiting exemplary purposes, the diameter ratio between the shoulder wheel, elbow wheel, and wrist wheel for each arm may be a 1:1:2 ratio or a 2:1:2 ratio. For example, using a 1:1:2 ratio to extend each arm, each motor of a pair of motors rotates in substantially equal and opposite directions. For example, using a 2:1:2 ratio to extend each arm, the shoulder wheels are generally held stationary (e.g., do not generally rotate) and a motor coupled to the upper arm is rotated to extend the arms. Theta motion is controlled by rotating each motor in the same direction, substantially at the same speed. When the end effector is on the same plane, theta motion of the various arms relative to each other is limited, however, if the arms move together, the arms can move indefinitely in theta. As can be appreciated, where the end effector is not on the same plane, each arm can move infinitely in θ when each arm is driven independently of the other arm (such as when a four-axis drive is used).
As noted above, in this aspect, during transients when the temperature of the arm rises and once the arm reaches a steady state temperature state, the discrete effects of length changes as a function of temperature due to different temperatures of each arm link are resolved/determined for each arm link of the SCARA arm illustrated in figures 23-25 (e.g.,
Figure BDA0003556263310000371
). Here, there may be a temperature gradient along each SCARA arm, wherein the temperature T of the end effectorEE(and the temperature T of the wrist axisW) Greater than the temperature T of the forearm linkF(and the temperature T of the elbow axisEL) And temperature T of forearm linkF(and the temperature T of the elbow axisEL) Greater than the temperature T of the upper arm linkU(and temperature T of shoulder axis)S) (i.e., T)EE/TW>TF/TEL>TU/TS). It is to be understood that a temperature gradient may exist in each arm link, wherein the temperature of the distal end of the arm link (e.g., the end of the arm link furthest from shoulder axis Z1) is higher than the temperature of the proximal end of the same arm link. Referring to fig. 26 and 27, graphs of simulated offsets at the end effector wafer center point EEC are illustrated, and the half angle values for the SCARA arm are illustrated in fig. 27. The graph in fig. 27 illustrates the error along both the X-axis and the Y-axis, particularly around the sensor trigger position (e.g., around an included angle of 100 degrees).
In this aspect, the length change Δ L of the end effector is taken into accountEEAnd the effect of temperature on the wrist axis Z3. Also in this aspect, Δ L due to, for example, a change in the arm link length is taken into accounti(where i ═ upper arm link, forearm link, and end effector) and wheel effect Δ Vi(where i ═ shoulder wheel, elbow wheel, and wrist wheel) sum (i.e., Σ Δ L)i,ΔVi) And a resulting wheel effect Δ V that generates nonlinear effects Δ X and Δ Y at the location of the end effector center. In this aspect, also referring to fig. 26, the SCARA arm variations between the shoulder axis Z1 (which is fixed in the X-Y plane) and the end effector wafer center point EEC due to, for example, thermal expansion of the SCARA arm component are thermal linear expansion from the SCARA arm links (e.g., upper arm, forearm, and end effector) and uneven temperature distribution from the different SCARA arm links. As an example, the uneven temperature distribution of the different SCARA arm links is due to one or more decaying thermal state paths from the end effector to the shoulder axis Z1 and uneven temperatures experienced by the SCARA arm links, where, for example, the end effector is exposed to higher temperatures than the forearm and upper arm. In addition, the uneven temperatures experienced by the corresponding SCARA arm links can cause thermal expansion of the wheels, which can cause a change in the diameter of the wheels, which can cause a change in the wheel gear ratios between the shoulder, elbow, and wrist wheels of the SCARA arm.
Still referring to fig. 26, in this aspect, the at least one SCARA arm 2300A includesAt least one attitude determinative feature or marker F1-F4 (which may also be referred to herein as a fiducial feature, which in one aspect may be similar to the markers described above, or in a subsequent aspect may be similar to an edge of a portion of an end effector or other link of a SCARA arm), the at least one attitude determinative feature or marker F1-F4 being integral with the SCARA arm 2300A, wherein each marker F1-F4 has a determinative configuration, e.g., a discrete change Δ L in the length of a SCARA arm link 23201, 23202, 23203 is determined upon sensing of the at least one marker F1-F4 by one or more sensors 199 (see FIG. 24)iAnd a wheel effect Δ V due to temperature changes of each respective SCARA arm link 23201, 23202, 23203i. For example, at least one of the markers F1-F4 is disposed on the SCARA arm such that a static detection sensor of the substrate processing apparatus (such as sensor 199 similar to sensors 199A and 199B) simultaneously detects at least one edge of the at least one marker F1-F4 as the SCARA arm 2300 is moved radially. Here, the configuration of markers F1-F4 determines Δ T due to different temperature changes at each SCARA arm link 23201, 23202, 23203 iA respective different discrete change (e.g., Δ L) induced in each different SCARA arm link 23201, 23202, 23203i) The difference between, and thus the difference between, the respective different discrete variations applied to determine the respective wheel variations Δ ViAnd corresponding non-linear effects (contributions) to the changes of the SCARA arms. The discrete variation may utilize a corresponding scale factor or expansion factor (K)S(i)) Expressed as the above-described scaling or expansion factor (K)S(i)) Comparing the variation with a predetermined reference (e.g. reference temperature T)REFAnd an initial connecting rod length L at a reference temperaturei) Related, as indicated above and described below.
The configuration of the indicia F1-F4 is used to determine the difference (or, depending on the above, the deterministic difference between the various discrete variations) of a 3-link SCARA arm 2300A having an upper arm link 23201, a forearm link 23202, and an end effector 23203, but in other aspects the indicia F1-F4 may have a configuration for determining nAny suitable configuration of link arms (e.g., arms having any suitable number of arm links) to distinguish. The configuration of the markers F1-F4 is used to determine the different discrete variations (Δ L) by simultaneously sensing at least one edge of one or more of the markers F1-F4 in one pass with a single sensor 199 i、ΔVi) Or swelling factor KS(i)As described below with respect to equation [1 ]]-[4]As described in more detail.
In one aspect, the controller 11091 (or the motion resolver 11091K of the controller) is configured to determine a different discrete change Δ L in each arm link 23201, 23202, 23203, respectively, in transit from the detection of at least one edge of markers F1-F4 by sensor 199iAnd to distinguish between different discrete variations in determining SCARA arm variations (e.g., ax, ay or R, theta) from the shoulder axis Z1 to the reference position EEC of the end effector 23203 (i.e., the wafer/end effector center position). As previously noted, this variation is expressed as an expansion factor K corresponding to each arm link 23201, 23202, 23203S(i)In this case, the controller 11091 is configured to determine a different expansion factor K for each corresponding arm link 23201, 23202, 23203 in transit from the detection of the markers F1-F4S(i)Thereby determining a variation in the reference position EEC of the end effector 23203 by different dispersion factors K at different respective arm links 23201, 23202, 23203S(i)A distinction is made between. In other words, the controller comprises a kinematic effect resolver configured to determine the determined scaling factor K in transmission upon radial movement of the SCARA arm 2300 from the detection of at least one edge of the markers F1-F4 by the static detection sensor 199 upon radial movement of the SCARA arm 2300 S(i)A different discrete change Δ L from each of the different arm links 23201, 23202, 23203, respectively, of the SCARA arm 2300AiThereby synchronously determining the change in the SCARA arm 2300A as it moves radially. The controller 11091 is configured to determine the position of the SCARA arm 2300A from the detection of at least one edge of one or more markers F1-F4 in one pass of the SCARA arm 2300 over the sensor 199Changes Δ X, Δ Y. Additionally, the controller 11091 (or the motion resolver 11091K) is configured to resolve the Δ T due to temperature changeiResulting in a non-linear motion effect av of the respective wheel (see, for example, wheels 23750, 23753, 23264, 23612, 23622 in fig. 23)iSo that different respective nonlinear movement effects av of the respective wheels due to different temperatures at the arm joint or wheel axes Z1, Z2, Z3iA distinction is made between. And effect of non-linear motion Δ ViThe corresponding wheel variation may be expressed as a wheel gear ratio between the wheels at opposite ends of each respective arm link 23201, 23202, 23203.
Referring to fig. 26, for exemplary purposes and convenience, the transport apparatus is illustrated as having a single SCARA arm, with the upper arm link 23201 and forearm link 23202 of SCARA arm 2300A illustrated at a reference temperature T REFThe lower arm has the same length L, however, in other aspects the upper arm link and the forearm link may have unequal lengths. In other aspects, the disclosed embodiments can be applied to each arm of a multi-arm transfer robot (e.g., as illustrated in fig. 25) and/or to each end effector of a multi-end effector arm (as illustrated in fig. 24). In addition, for exemplary purposes and convenience, the SCARA arm links are constructed of similar materials so as to have similar coefficients of thermal expansion, but in other aspects the arm links may be constructed of different materials so as to have different coefficients of thermal expansion. In one aspect, for exemplary purposes only, the upper arm link 23201 and forearm link 23202 are driven by respective motor axes, while the end effector 23203 is commanded by the upper arm link 23201. The SCARA arm 2300A is illustrated in fig. 26 as being in the same motor position before and after thermal expansion (the thermally expanded arm is illustrated by the dashed lines). The general motion of the SCARA arm can be expressed as:
at a reference temperature:
Y(θ)=2L cos(θ)+LEE0
X(θ)=0
after temperature rise and thermal expansion:
Y(θ)=L1 cos(θ)+L2 ccos(θ1)+(LEE0++ΔLEE)cos(θ2)
X(θ)=L2 sin(θ1)-L1 sin(θ)+(LEE0+ΔLEE)sin(θ2)
wherein:
θ1=(G1-1)θ
Figure BDA0003556263310000401
and G1 and G2 are wheel gear ratios for upper arm to elbow and wrist to elbow.
At the calibration temperature TREFLower, the upper arm link 23201 and the forearm link 23202 each have a length L. After the temperature change, the length of the upper arm link 23201 is represented as L1 and the length of the forearm link 23202 is represented as length L2.
At the same motor position, assuming that the upper arm temperature is changed by Δ T1, and the forearm temperature is changed by Δ T2, and the thermal expansion coefficient for the upper arm link 23201 is α 1 and the thermal expansion coefficient for the forearm link 23202 is α 2, the upper arm length L1 and forearm length L2 after thermal expansion are:
L1=L+α1*ΔT1*L=(1+α1*ΔT1)*L=Ks1*L; [1]
L2=L+α2*ΔT2*L=(1+α2*ΔT2)*L=Ks2*L; [2]
wherein the swelling factor is defined as:
Ks1=(1+α1*ΔT1); [3]
Ks2=(1+α2*ΔT2); [4]。
since the temperature is distributed from the end effector 23203 to the shoulder axis Z1 of the SCARA arm 2300A, especially during temperature increases to steady state, the distributed temperature changes the ratio of magnifications of the wheels at the SCARA arm joints (e.g., axes Z1, Z2, Z3) due to thermal expansion of the wheels at different rates. This thermal expansion of the wheels can change the included angle and the end effector orientation. Referring again to fig. 27, an example of a simulation result showing the effect of the wheel gear ratio varies across the end effector center EEC, assuming that the wheels are at different temperatures, but the link length is unchanged.
The following table illustrates exemplary wheel gear ratios for each wheel of SCARA arm 2300A, where the position of the wheel is identified and the diameter is expressed in universal units of measure:
position of Diameter of
UA (Upper arm) shoulder 2
Elbow of UA (upper arm) 1
Elbow (forearm) by FA 1
FA (forearm) wrist 2
For the SCARA arm 2300A, the shoulder axis Z1 is connected to the elbow axis Z2, wherein the transmission comprises a plurality of wheels having a 2: 1 gear ratio, and the wrist axis Z3 is connected to the elbow axis Z2, wherein the transmission comprises a plurality of wheels having a 2: 1 gear ratio.
Assuming that the temperature change at the shoulder axis Z1 is Δ T1 and the temperature change at the elbow axis is Δ T2, and α is the thermal coefficient of the arm link material, the ratio of the magnifications of the shoulder axis Z1 to the elbow axis Z2 can be expressed as:
G1=2*(1+α*ΔT1)/(1+α*ΔT2);
using equations [3] and [4 ]:
G1=2*Ks1/Ks2; [5]
therefore, the angle after the change of the magnification ratio is:
θ1=((2*Ks1/Ks2-1)*θ; [6]
assuming a temperature change across the end effector of Δ T3, the ratio of magnifications between the wrist axis Z3 and the forearm axis Z2 can be expressed as:
G2=2*(1+α*ΔT3)/(1+α*ΔT2)
and the inflation factor can be defined as:
Ks3=(1+α*ΔT3);
then:
G2=2*Ks3/Ks2
wherein the angular change of the end effector 23203 may be expressed as:
θ2=θ*(G1/G2-G1+1)=θ*(Ks1/Ks3-2Ks1/Ks2+1); [7]。
in one aspect, the arm pose certainty feature or marker F1-F4 is integral with the end effector 23203 and may be located at any suitable location on the end effector 23203, as noted above. Also as noted above, the indicia F1-F4 are deterministic such that at each different discrete change Δ L of the different arm links 23201, 23202, 23203 iAnd between different wheels of the SCARA arm 2300A, or determining each different respective expansion factor K for the respective arm link and/or wheel of the at least 3-link SCARA arm 2300AS(i)And distinguishes it. Referring to fig. 28, an exemplary end effector 23203 is illustrated. In one aspect, to account for the thermal expansion of the end effector 23203, the end effector is constructed from multiple segments L3-L7, wherein each segment L3-L7 can be constructed from the same or different materials as the other segments L3-L7 of the end effector 23203. In this aspect, the end effector 23203 includes four markers F1-F4, but in other aspects the end effector 23203 can have any suitable number of arm pose determinative features. The following is an exemplary table illustrating the length and coefficient of thermal expansion of each segment L3-L7, but in other aspects the segments can have any suitable length and coefficient of thermal expansion, and the markings F1-F4 can be placed at any suitable location on the end effector 23203.
Figure BDA0003556263310000411
Figure BDA0003556263310000421
Assuming that the end effector is composed of different material segments each having a respective coefficient of thermal expansion, the following is provided:
Ks4=(1+α1*ΔT3); [9]
Ks5=(1+α2*ΔT3); [10]
The thermal expansion of each segment for the end effector 23203 is:
marker F4: Δ L4=(Ks3-1)*L3 [11]
Marker F3: Δ L3=ΔL4+(Ks4-1)*L4=(Ks3-1)*(L3+L4*α1/α) [12]
Marker F2: Δ L2=ΔL3+(Ks5-1)*L5=(Ks3-1)*(L3+L4*α1/α+L5*α2/α [13]
Marker F1: Δ L1=ΔL2+(Ks5-1)*L6=(Ks3-1)*(L3+L4*α1/α+(L5+L6)*α2/α) [14]
End effector center EEC: Δ LEE=ΔL1+(Ks5+1)*L7=(Ks3-1)*(L3+L4*α1/α+(L5+L6+L7)*α2/α) [15]。
The combination of the edges of the markers F1-F4 defines an arm attitude determinative feature or determinative configuration of the markers F1-F4, determining the different discrete changes Δ L to achieve for the respective SCARA arm linksi(and of each wheel)ΔVi) To determine (c), at least one of the edges of the markers F1-F4 is angled (e.g., at a non-zero angle, see the angles of markers F2, F2 ', F3, F3', F4, F4 ', see fig. 29-31, such as at angle β) relative to the same marker (note that markers F3, F3' in fig. 29 have two angled edges) or other edges of different markers F1-F42Note that each angled edge of the mark(s) has a possible angle β with the angle2The same or different corresponding angles). It is noted that any of the markers described herein (including those illustrated and described above with respect to fig. 4A-5) may be combined on a common robotic arm (such as on the end effector 23203) in any order such that the end effector includes a combination of angled markers (e.g., at a non-zero angle) and straight markers (e.g., markers substantially perpendicular to the direction of extension/retraction of the arm, see, e.g., markers F1, F1 ', F4, F4' in fig. 29-31). Differentiation of different discrete variations Δ Li of respective SCARA arm links, and for each different respective expansion factor K of respective SCARA arm links/wheels S(i)(e.g., due to temperature change Δ T of each SCARA arm link/wheeli) The corresponding determinations and distinctions made will enable a determination of changes in the SCARA arm, including non-linear effects due to wheel changes. Here, as noted above, the arm configuration shown in fig. 26 includes three SCARA arm links (e.g., upper arm 23201, forearm 23202, and end effector 23203), and the markers F1-F4 each have at least one edge that is detectable by the static detection sensor 199. At least one edge of the markers F1-F4 (or at least one marker F1-F4) may be redundant and used to filter or "smooth" the sensor signal noise provided by the static detection sensor 199 and to resolve the path (e.g., R or radial motion of the SCARA arm) "shake (able)" as described below.
Referring to fig. 1A, 26, and 27, as indicated above, the controller 11091 may be configured to interpret the temperature change Δ Τ due to SCARA arm links and wheelsiInduced arm change Δ Li(and. DELTA.V)i). For example, to determine the total offset or change in SCARA arm 2300A caused by thermal expansion, a local X-Y coordinate system is defined as the rotational change (e.g., R- θ) of the manipulator frame such that the Y-axis is at room temperature T REFAnd in the radial extension and retraction directions. For at room temperature TREFThe following equation for the position of the end effector 23203 may be expressed as:
Y0=2*L*Cos(θ)+LEE0; [16]
X0=0; [17]
wherein L isEE0Is the disc offset from wrist Z3 to the end effector center EEC (the term "disc" is used herein for convenience only and is not intended to describe or limit the end effector structure configuration, which may have any suitable configuration), and θ is half the angle determined by the motor T1 position and T2 position (e.g., the position of the drive shaft for driving the upper arm 23201 and forearm 23203, with the end effector 23203 being dominated by the upper arm 23201). The Y position is the same as the R position in the radial coordinate (R-theta).
After the temperature rises, the equation for the position of wrist joint Z3 may be expressed as:
Y1=L1*Cos(θ)+L2*Cos((G1-1)θ) [18]
X1=L2*Sin((G1-1)θ)-L1*Sin(θ) [19]。
according to the swelling factor KS(i)The position of wrist joint Z3 may be expressed as:
Y1=Ks1*L*Cos(θ)+Ks2*L*Cos((2Ks1/Ks2-1)θ) [20]
X1=Ks1*L*Sin((2Ks1/Ks2-1)θ)-Ks1*L*Sin(θ) [21]。
the total expansion of the end effector 23203 due to thermal expansion can be expressed as (using equation [15 ]):
panTotal=LEE0+ΔLEE
which when converted to an X-Y coordinate system can be expressed as:
yPan=panTotal*Cos(θ2); [22]
xPan=panTotal*Sin(θ2); [23]
and according to the swelling factor KS(i)The value of (c) expands the above equation:
Figure BDA0003556263310000441
Figure BDA0003556263310000442
knowing KSThe offset of the wafer and end effector 23203 due to thermal expansion can be calculated for any given half angle θ using the above equation, factor (or temperature at all SCARA arm links).
Referring now to fig. 29-31 (each showing a different example of a suitable marker configuration that is otherwise schematically illustrated in fig. 26 and 28, in accordance with different aspects of the disclosed embodiments), the edges of markers F1-F4 will be detected and different expansion factors K determinedS(i)And at different swelling factors KS(i)A distinction is made between them. In one aspect, to calculate the thermal offset, equation [24 ] is determined]And [25 ]]Swelling factor K in (1)S(i). As previously described, this may be accomplished by: the edge of the end effector 23203 and the change in trigger position of the wrist markers F1-F4 are detected using a static detection sensor 199. This amounts to placing a known target in the system and measuring the signal change in order to calculate the expansion factor K in reverseS(i)The value of (c). In one aspect, the signal variation may be caused by: thermal linear expansion of links, change in magnification ratio of wheels of SCARA arms due to, for example, joint expansion under non-uniform temperature distribution, and/or change in marker/end effector edge alignment due to change in magnification ratio。
Thermal expansion in a SCARA arm (such as arm 2300A) can cause linear expansion and rate ratio changes, and can create complex non-linear equations between position capture (such as when a marker is sensed or when an edge of the end effector is sensed) and thermal expansion. Thus, the configuration of the markers F1-F4 is deterministic for determining the respective different expansion factor K for each SCARA arm link 23201, 23202, 23203 S(i)And the total arm expansion is resolved by synchronous detection in no more than one (or only one) pass of the end effector 23203 past the static detection sensor 199.
Representative sensor 199 and wrist flag configurations are illustrated in fig. 29, but it should be understood that sensor 199 and flags F1-F4 may have any suitable configuration, including those illustrated in fig. 30 and 31. In this aspect, as above, markers F1-F5 are positioned along the end effector 23203 such that markers F1-F4 generate trigger points for capturing the position of the SCARA arm 2300A as markers F1-F5 pass by a sensor 199 (such as one of static wafer detection sensors 199A and 199B). The position of the markers F1-F4 sensed by only one sensor 199A and 199B is used as an input to an analytical equation of SCARA arm motion in order to determine the associated thermal expansion of the respective SCARA arm link 23201, 23202, 23203, as noted above. In one aspect, the shape of markers F1-F4 may be selected to generate an appropriate number of sensor/marker transition points to provide a solution to the unknown variable (i.e., the expansion factor K of each respective SCARA arm link 23201, 23202, 23203) S(i)Or a discrete factor (e.g., expansion factor K for the upper arm link 23201S1Swelling factor K for the forearmS2And an expansion factor K for the end effector 23203S3) ) the minimum set of equations required. Equation [34 ] described below]、[36]And [39 ]]An exemplary set of equations is shown. In this aspect, the equations are generated using the labeled transition points labeled F1-F3 in FIGS. 26 and 28.
In one aspect, as shown in fig. 31, a sensor transition point is illustrated that crosses circular markers F5 and F6, wherein the centers of the radii of markers F5 and F6 coincide with the center of the end effector EEC. In this aspect, the sensor transition points provided by at least markers F5 and F6 may be used to mimic a reference wafer centered at the end effector center EEC (which has a center with zero offset from the end effector center EEC) in a manner similar to that described above with reference to, for example, fig. 4A-4C, 4F, 7. As noted above, locating the centers of the markers F5 and F6 co-located with the end effector center EEC can eliminate the need for a zero offset fixture for Active Wafer Center (AWC) calibration. It is also noted that the shapes of markers F1-F5 illustrated in fig. 29-31 are exemplary and that other marker shapes, such as those described above, may also be used. Further, the marker shapes described herein may be combined on a single end effector in a manner similar to that illustrated in fig. 30 and 31 to enable determination of thermal expansion and/or automatic wafer centering of the SCARA arm with only one pass of the end effector 23203 past only one static detection sensor 199.
In one aspect, the markers F1-F6 (or other fiducial features described herein) can be integrally formed on, for example, the end effector 23203 or any other suitable location on the SCARA arm (such as on the upper arm 23201 or the front arm 23202). In other aspects, one or more markers F1-F6 may be mounted to the end effector 23203 (or other portion of the SCARA arm) in any suitable manner and at any suitable location so as to enable only one static-detection sensor 199 (or at least one static-detection sensor, as noted above) to sense markers F1-F6.
As noted above, aspects of the disclosed embodiments and the marker F1-F6 concepts described herein may be used with only one static detection sensor 199. However, in other aspects, more than one sensor 199A and 199B may be used to generate redundant information and improve signal-to-noise ratio, such as described above. In one aspect, the sensors 199A and 199B are mounted to the transfer chamber 11025 (see FIGS. 1A and 24) where the SCARA arm 2300 is located, on the process chamber PM, on a gate valve GV between the transfer chamber 11025 and the process chamber PM, or at any other suitable location of the processing system to enable the sensor trigger position to be considered a fixed position within the SCARA arm reference frame (e.g., R- θ or X-Y). In one aspect, the trigger transition points from the two sensors 199A and 199B are stored as known position references during sensor calibration.
In one aspect, by detection of marker F1 (e.g., a flat edge on the wrist of end effector 23203) using sensor 199A, the position of wrist joint Z3 (using equations [20] - [21]) can be expressed as:
y1=Ks1*L*Cos(θ)+Ks2*L*Cos((2Ks1/Ks2-1)θ); [27]
x1=Ks2*L*Sin((((2Ks1/Ks2-1)θ)-Ks1*L*Sin(θ); [28]
where θ is the half angle of the original motion, as noted above, which in one aspect may be obtained from a position capture such as marker F1 due to the original motion and corresponding report, e.g., for the motion plan of SCARA arm 2300A.
Assume R is the radial value of the position capture (the point along the radially extending axis at which the marker F1 is sensed):
θ=Cos-1((R-LEE0)/(2*L)); [29]
the shift due to the change in trigger edge orientation in the Y direction can be expressed as:
δy=d1*tan(θ2); [30]
assume the trigger position of the flat edge of the flag F1 is S during calibration4Then, after inflation, the trigger position of the flat edge of the flag F1 may be expressed as:
s4=y1+((Ks3-1)*L3)*Cos(θ2)+LEE0-d1*tan(θ2); [32]
wherein (using equation [7 ]):
θ2=θ*(Ks1/Ks3-2Ks1/Ks2+1); [33]
and assuming that the radial position capture on the marker is R4 after thermal expansion, the half angle is:
q4=Cos-1((R4-LEE0)/(2*L));
and expands equation [32 ]:
Ks1*L*Cos(q4)+Ks2*L*Cos((2Ks1/Ks2-1)q4)+ ((Ks3-1)*L3)*Cos(q4*(Ks1/Ks3-2Ks1/Ks2+1))- d1*tan(q4*(Ks1/Ks3-2Ks1/Ks2+1))-(s4-LEE0)=0; [34]
using the same approach, the transition point of the flat edge of the mark F1 passing through the sensor 199A can be represented as:
s1=y1 +((Ks3-1)*(L1+L4*α1/α+(L5+L6)*α2/α))*Cos(θ2) A+LEE0-d1*tan(θ2); [35]
where d1 is the Y distance from the end effector center EEC to sensor 199A.
Assuming the radial position of the marker F1 is captured as R1, the half angle is:
q1=Css((R1-LEE0)/(2*L));
and expands equation [35 ]:
Ks1*L*Cos(q1)+Ks2*L*Cos((2Ks1/Ks2-1)q1))+((Ks3-1)* (L3+L4*α1/α+(L5+L6)*α2/α))*Cos(q1*(Ks1/Ks3-2Ks1/ks2+1))- d1*tan(q1*(Ks1/Ks3-2Ks1/Ks2+1))-(s1-LEE0)=0; [36]
for the angled edge of the flag F2, there is an additional displacement in the Y direction due to thermal expansion in the X direction:
dy=(Ks2 Sin(θ1)-Ks1*Sin(θ))*L*Tan(β2) [37]
wherein beta is2Is the edge angle illustrated in fig. 29. Although β is illustrated with reference to edge F22However, it should be understood that the angle of any angled edge, such as the labels F2, F2 ', F3, F3' illustrated in fig. 29-31, may also be used. In a manner similar to that described above, by using the stored trigger values, S2 can be expressed as:
s2=y1+((Ks3-1)*(L3+L4*α/α+L5*α2/α))*Cos(θ2)+LEE0-d1*tan(θ2)+dy; [38]
assuming the radial position capture of marker F2 is R2, the half angle is:
q2=Cos-1((R2-LEE0)/(2*L));
and expands equation [38 ]:
Figure BDA0003556263310000471
equation [34 ]]、[36]And [39 ]]Is provided with three variables KS1、KS2And KS3Describing the changes due to thermal expansion of the 3-link SCARA arm. In other aspects, additional markers/edges may be provided to distinguish/resolve the expansion factor (K) of a 4-link SCARA arm or a SCARA arm having any suitable number of links (e.g., an n-link SCARA arm)S(i)). In one aspect, the set of non-linear equations may be solved using, for example, a Newton-Raphson method, however, in other aspects, any suitable method may be used to solve the non-linear equations. In one aspect, any suitable Newton-Laplacian algorithm may be used to find positive Half the angle theta of motion. As the initial value approaches the solution scheme, the newton-raphson method converges rapidly and in one aspect enables the scheme to determine consistency with a single pass of the end effector 23203 past the static detection sensor 199, wherein the sensor 199 detects/senses at least one edge of at least one of the indicia F1-F4. The original inverse motion provides a suitable starting point. If desired, the solution from the previous time can be stored and used as the initial value for the next time the same target location is used. In an exemplary newton-raphson method, for example, three variables are defined as:
x=KS1,y=KS2and z is KS3
The corresponding function is defined as:
f1(x, y, z) equation [34]
f2(x, y, z) equation [36]
f3(x, y, z) equation [39]
Creating a nonlinear system:
Figure BDA0003556263310000481
and the jacobian matrix of partial derivatives:
Figure BDA0003556263310000482
the solution to the set of non-linear equations can be found by iterating as follows:
Figure BDA0003556263310000483
in one aspect, at lower temperatures, for example, due to the dominant effect of the linear expansion of the respective SCARA arm links 23201, 23202, 23203 in the above equations, changes in the rate ratio due to thermal expansion may be considered as small perturbations to the system. At higher temperatures, the last solution value may be used as an initial value for the same target position, for example, due to the slower thermal expansion process of SCARA arm links 23201, 23202, 23203.
Without a change in the magnification ratio, the thermal expansion can be reduced to three linear equations. Assuming that the magnification ratio is constant, equation [34] can be changed to:
Ks1*L*Cos(q4)+Ks2*L*Cos(q4)+((Ks3-1)*L3)-(s4- LEE0)=0; [41]
equation [36] can also be changed to:
Ks1*L*Cos(q1)+Ks2*L*Cos(q1)+((Ks3-1)*(L3+L4*α1/α +(L5+L6)*α2/α))-(s1-LEE0)-0; [42]
and equation [39] would be:
Ks1*L*Cos(q2)+Ks2*L*Cos(q2)+((Ks3-1)*(L3+L4*α1/α+L5*α2/α))-(s2-LEE0)+(Ks2-Ks1)*L*Sin(q2)*Tan(β2)=0; [43]。
due to the linear equation [41 ]]、[42]And [43]Can have a closed analysis scheme so it can provide initial values to feed the non-linear equation [40]To perform fast iterations (e.g., to enable finding a solution to the set of non-linear equations in one pass of the sensor 199 with simultaneous sensing of at least one marker F1-F6) to find an acceptable solution for the set of non-linear equations in one pass with simultaneous sensing/detection of at least one edge/marker F1-F6. Once K iss(i) The values are known for each respective SCARA arm link, and an equation [24 ] can be used, for example]And [25 ]]To calculate the thermal expansion offset for any given position of the SCARA arm. Thus, the control motion (which controls arm motion throughout the arm range of motion) is modified to compensate for the entire range of motion of the arm (and more specifically the end effector)A determined thermal expansion offset. As can be appreciated, the determination of the offset/change, and thus the compensation, is accomplished with the arm in synchronization (substantially real-time) when the arm passes no more than one pass (or, in other words, only one pass) of the sensor 199.
With only the substrate center EEC determined, the end effector is moved (fig. 32, block 3200) to move the substrate toward the at least one sensor 199A and 199B and the substrate is sensed as described above (fig. 32, block 3205). The center of the substrate is determined (fig. 32, block 3210) to enable the substrate to be placed at the station location SC. In one aspect, any suitable number of substrates may be transferred to or from the station location SC before the station location SC is taught again (with or without the substrates being held on the end effector 23203). For example, the station location SC may be taught after 10 substrates, 20 substrates, or any other suitable number of substrates are placed. In other aspects, the station location SC may be taught after any suitable predetermined time interval (e.g., 30 minutes, 60 minutes, or any other suitable time interval). The base station SC (fig. 32, block 3200) may be taught by moving the end effector 23203 toward the at least one sensor 199A and 199B (again, note that only a single sensor may be used) so as to cause at least one indicia F1-F4 (fig. 32, block 3215) to be sensed. The end effector center EEC is determined in the manner described above (fig. 32, block 3220) and recording of the end effector center with respect to the station center SC is performed in the manner described above (fig. 32, block 3230). If the end effector is holding the base while the station center SC is taught, the center WC of the base may be determined in the same pass of the end effector over sensors 199A and 199B (e.g., in one pass) or in a second pass of the end effector over sensors 199A and 199B, such that the base center WC and the station center SC are taught over different passes.
In one aspect, referring again to FIG. 29, an end effector center EEC is referenced to a station center SC (which is substantially similar to that in the end effector above)A center 395C) and a determination of a base center WC (which is shown as being offset from the end effector center EEC of fig. 29) may be determined with only one pass of the end effector past only one sensor 199A and 199B, however, in other aspects this includes locating peripheral features not belonging to a given arm, multiple passes of the end effector past at least one sensor 199A and 199B may be accomplished in a manner similar to that described in the following document: U.S. patent application No. 14/937,676 entitled "Tool Auto-Teach Method and Apparatus", filed 11/10/2015, the disclosure of which is incorporated herein by reference in its entirety. For example, with both the substrate center EEC and the station position SC to be taught, the end effector 23203 is moved (FIG. 32, block 3200) to move the substrate S and/or the markers F1-F4 (e.g., fiducial features) toward the at least one sensor 199A and 199B. The substrate S is sensed by at least one sensor 199A and 199B (fig. 32, block 3205), and the indicia F1-F4 is sensed by at least one sensor 199A and 199B (fig. 32, block 3215), again note that only a single sensor 199A and a single pass or no more than one pass is sufficient to record the end effector center EEC relative to the station center SC. As described above with respect to, for example, fig. 23-31, the change Δ L of the SCARA arm is determined for each SCARA arm link 23201, 23202, 23203 (and each wheel of the SCARA arm) with thermal expansion differentiation for linear and non-linear effects i(and. DELTA.V)i) (FIG. 32, block 3216). The location of the base center WC and the base transfer device (i.e., the reference location EEC) is determined by using the expansion factor K of each linkS(i)Determined in any suitable manner, such as in the manner described above (e.g., by using curved or otherwise shaped features (see, e.g., labels F5, F5', F6, F6' in FIG. 31), which, as described above, have a known relationship to the center of the end effector, e.g., similar to VRW1 (see FIG. 10) defined by labels F5, F5', F6, and/or F6' (see FIG. 31)), and/or, e.g., by using equation [24 ] 24 above]And [25 ]]). End effector for a station center SCThe recording of the central reference point EEC is effected in the manner described above (fig. 32, block 3230).
Referring again to fig. 29-31, in one aspect, the controller 11091 (or the motion resolver 11091K of the controller 11091) may be configured to account for disturbances and/or transients that may induce changes in the radial axis R of the SCARA arm 2300A, and may otherwise be referred to as wobble or changes in the R-axis (e.g., joint friction, wheel friction, non-linear effects of drive belt friction, wobbling motion of the pivot axes of the SCARA arm links and wheels due to uneven heat distribution on the pivots and pivot bearings, etc.). As can be appreciated, such a change or wobble in the R-axis may result in a signal S that will be at a radial position iThe resulting non-thermal change component (achieved by the sensor sensing markers F1-F6 during arm motion) is communicated to the controller 11091 and determines the thermal changes of the different links and wheels of the SCARA arm 2300A. In one aspect, the aforementioned error effects (e.g., variations or wobble) can be addressed by appropriate signal averaging (or other suitable weighted combination), such as from redundancy marks F1-F6 and sensors 199A and 199B on opposite or mirror-image sides of SCARA arm 2300A as shown in FIG. 29 (FIG. 29 illustrates sensors 199A and 199B on opposite sides of end effector 23203; see also FIGS. 30 and 31, which illustrate symmetrical end effectors). In the illustrated aspect, the sensors 199A and 199B and markers F1-F6 may be disposed symmetrically with respect to the axis of symmetry of the SCARA arm 2300A (which is generally aligned with the R-axis except for error effects), and averaging (as expressed in motion) the Si signals from opposing sensors 199A and 199B for sensing opposing corresponding markers (see markers F1-F6 and F1'-F6') will account for error components due to R-axis variations or wobble. In other aspects, the controller 11091 may be configured to: at a calibration temperature T of the SCARA arm 2300A REFEach successive pass is made (e.g. caused by curves similar to those shown in fig. 27, which are recorded in a suitable form) as a thermal transient occurs between the steady-state operating temperaturesIn the processor and memory of the controller 11091) SiThe signal (which may be expressed in a motion) is linearized. Then, for each pass of the end effector 23203 and indicia F1-F6, F1'-F6' past the sensors 199A and 199B, curves (e.g., similar to those shown in FIG. 27) may be applied to adjust SiSignal so as to make each SiThe signal follows the corresponding curve for a given pass. Accordingly, an error component such as that previously described, which results in S from the sensors, may be resolved from, for example, the signals of no more than one sensor 199A and 199BiThe difference between the signal and the graph. In addition, the curve of each pass may be compared to successive previous curves of previous passes to identify trends indicative of axis changes or wobble.
As can be seen above, aspects of the disclosed embodiments utilize a controller to compensate for the determined changes in the transfer arm as illustrated, for example, in fig. 23, 24, and 25 to position the transfer arm and reference feature(s) or marker F1-F6, F1'-F6' of the end effector 23203, 23203A, 25155EA, 25155 EB. In addition, aspects of the disclosed embodiments utilize a controller to compensate for determined variations of another independent end effector 23203B (see, e.g., fig. 24), the other independent end effector 23203B sharing at least one or more arm links 23201, 23202 with the end effectors 23203A, 23203B, 25155E, the other independent end effector 23203B having at least one independent degree of freedom relative to the end effector 23203A.
In accordance with one or more aspects of the disclosed embodiment, a substrate processing apparatus includes: a substrate transport apparatus having a self-centering end effector having a wafer holding station with a predetermined center, the end effector configured to hold a wafer at the wafer holding station and transport the wafer within the substrate processing apparatus; and at least one center deterministic feature integral with the substrate transport apparatus and arranged such that a static detection sensor of the substrate processing apparatus detects at least one edge of the at least one center deterministic feature during transport with radial movement of the substrate transport apparatus, the detection of the at least one edge enabling determination of a predetermined center of a wafer holding station on an end effector with the at least one center deterministic feature passing only once past the static detection sensor.
In accordance with one or more aspects of the disclosed embodiments, wherein the wafer holding station is unobstructed by at least one central deterministic feature.
In accordance with one or more aspects of the disclosed embodiments, at least one central deterministic feature is not blocked by a wafer held by a wafer holding station.
In accordance with one or more aspects of the disclosed embodiments, at least one deterministic feature is provided on the substrate transport apparatus separate and distinct from the wafer holding station.
In accordance with one or more aspects of the disclosed embodiments, wherein the end effector comprises a longitudinal centerline and the at least one central deterministic feature comprises at least two central deterministic features disposed on opposite sides of the longitudinal centerline.
In accordance with one or more aspects of the disclosed embodiments, wherein the end effector comprises a longitudinal centerline and the at least one central deterministic feature is disposed on a common side of the longitudinal centerline.
In accordance with one or more aspects of the disclosed embodiments, the at least one central deterministic feature comprises at least two oppositely disposed central deterministic features.
According to one or more aspects of the disclosed embodiments, wherein the at least one central deterministic feature comprises at least two central deterministic features, wherein at least one of the at least two central deterministic features is complementary with respect to another of the at least two central deterministic features.
In accordance with one or more aspects of the disclosed embodiment, each of the at least one central deterministic feature is configured to independently resolve a predetermined center of a wafer holding station on the end effector.
In accordance with one or more aspects of the disclosed embodiment, each of the central deterministic features has a corresponding shape having a predetermined relationship to a predetermined center of a wafer holding station on the end effector such that each corresponding shape independently determines the predetermined center of the wafer holding station on the end effector.
In accordance with one or more aspects of the disclosed embodiment, at least one central deterministic feature is integral to the end effector.
In accordance with one or more aspects of the disclosed embodiment, at least one central deterministic feature extends from a side of the end effector.
In accordance with one or more aspects of the disclosed embodiment, at least one central deterministic feature depends from a side of the end effector.
In accordance with one or more aspects of the disclosed embodiments, the at least one central deterministic feature is arranged such that detection of the at least one central deterministic feature is independent of the end effector defining a change in a dimension of the substrate transport apparatus.
In accordance with one or more aspects of the disclosed embodiments, wherein the change in dimension is due to a thermal effect.
In accordance with one or more aspects of the disclosed embodiment, the controller is configured to receive sensor data from the static detection sensor corresponding to the detection of the at least one edge and control the substrate transport apparatus to adjust the position of the predetermined center based on a thermal dimensional change of the substrate transport apparatus as determined from the sensor data.
In accordance with one or more aspects of the disclosed embodiment, the controller is further configured to learn a central location of a substrate processing station of the substrate processing apparatus from the detection of the at least one central deterministic feature.
In accordance with one or more aspects of the disclosed embodiment, the static detection sensor comprises an automatic in-wafer sensor.
In accordance with one or more aspects of the disclosed embodiment, the controller is configured to identify and learn a central location of a substrate processing station of the substrate processing apparatus from sensor data corresponding to detection of at least one edge of at least one central deterministic feature.
In accordance with one or more aspects of the disclosed embodiment, a method comprises: providing a substrate transport apparatus having a self-centering end effector having a wafer holding station with a predetermined center, wherein the end effector holds a wafer at the wafer holding station and transports the wafer within the substrate processing apparatus, and providing at least one central deterministic feature integral to the substrate transport apparatus; and detecting at least one edge of the at least one central deterministic feature during transport with the substrate transport apparatus moving with a static detection sensor of the substrate processing apparatus, wherein detection of the at least one edge enables determination of a predetermined center of the wafer holding station on the end effector with the at least one central deterministic feature passing only one time past the static detection sensor.
In accordance with one or more aspects of the disclosed embodiments, wherein the wafer holding station is unobstructed by at least one central deterministic feature.
In accordance with one or more aspects of the disclosed embodiments, at least one central deterministic feature is not blocked by a wafer held by an end effector.
In accordance with one or more aspects of the disclosed embodiment, further comprising: an eccentricity of a wafer held by the end effector relative to a predetermined center of a wafer holding station on the end effector is determined using a static detection sensor.
In accordance with one or more aspects of the disclosed embodiments, wherein the predetermined center of the wafer holding station on the end effector and the eccentricity are determined on-the-fly with the at least one center deterministic feature passing only once through the static detection sensor.
In accordance with one or more aspects of the disclosed embodiment, wherein the static detection sensor detects an edge of the wafer.
In accordance with one or more aspects of the disclosed embodiment, further comprising: receiving, with a controller, sensor data from a static detection sensor corresponding to detection of at least one edge; and controlling the substrate transport apparatus with the controller to adjust the position of the predetermined center based on a thermal dimensional change of the substrate transport apparatus as determined from the sensor data.
In accordance with one or more aspects of the disclosed embodiment, further comprising: a central location of a wafer processing station of the substrate processing apparatus is identified and understood from the detection of the at least one edge of the at least one central deterministic feature with the controller.
In accordance with one or more aspects of the disclosed embodiment, further comprising: defining, with the controller, a dimensional change of the substrate transport apparatus independent of the end effector from the detection of the at least one edge of the at least one central deterministic feature.
In accordance with one or more aspects of the disclosed embodiments, wherein the dimensional change is due to a thermal effect.
In accordance with one or more aspects of the disclosed embodiment, a substrate processing apparatus includes: a frame; a substrate transport apparatus connected to the frame and having an end effector having a wafer holding station with a predetermined center, the end effector configured to hold a wafer at the wafer holding station and transport the wafer within the substrate processing apparatus; an automatic wafer centering sensor connected to the frame and configured to effect sensing of an edge of a wafer held on the end effector during transport with movement of the substrate transport apparatus; and at least one center deterministic feature integral to the substrate transport apparatus and arranged such that the automatic wafer centering sensor detects at least one edge of the at least one center deterministic feature during transport, the detection of the at least one edge effecting detection of a predetermined center of a wafer holding station on the end effector.
In accordance with one or more aspects of the disclosed embodiments, wherein the wafer holding station is unobstructed by at least one central deterministic feature.
In accordance with one or more aspects of the disclosed embodiments, at least one central deterministic feature is not blocked by a wafer held by an end effector.
In accordance with one or more aspects of the disclosed embodiments, at least one deterministic feature is provided on the substrate transport apparatus separate and distinct from the wafer holding station.
In accordance with one or more aspects of the disclosed embodiments, wherein the end effector comprises a longitudinal centerline and the at least one central deterministic feature comprises at least two central deterministic features disposed on opposite sides of the longitudinal centerline.
In accordance with one or more aspects of the disclosed embodiments, wherein the end effector includes a longitudinal centerline and the at least one central deterministic feature is disposed on a common side of the longitudinal centerline.
In accordance with one or more aspects of the disclosed embodiments, wherein the at least one central deterministic feature comprises at least two oppositely arranged central deterministic features.
In accordance with one or more aspects of the disclosed embodiments, wherein the at least one central deterministic feature comprises at least two central deterministic features, wherein at least one of the at least two central deterministic features is complementary with respect to another of the at least two central deterministic features.
In accordance with one or more aspects of the disclosed embodiment, each of the at least one central deterministic feature is configured to independently resolve a predetermined center of a wafer holding station on the end effector.
In accordance with one or more aspects of the disclosed embodiments, each central deterministic feature has a corresponding shape having a predetermined relationship to a predetermined center of a wafer-holding station on the end effector such that each corresponding shape independently determines the predetermined center of the wafer-holding station on the end effector.
In accordance with one or more aspects of the disclosed embodiment, at least one central deterministic feature is integral to the end effector.
In accordance with one or more aspects of the disclosed embodiments, wherein the substrate transport apparatus comprises an arm connected to the end effector, and the at least one central deterministic feature is integral with the arm of the substrate transport apparatus.
In accordance with one or more aspects of the disclosed embodiments, wherein the substrate transport apparatus includes an arm connected to the end effector at a mechanical interface, and the at least one central deterministic feature is integral with the mechanical interface.
In accordance with one or more aspects of the disclosed embodiment, at least one central deterministic feature extends from a side of the end effector.
In accordance with one or more aspects of the disclosed embodiment, at least one central deterministic feature depends from a side of the end effector.
In accordance with one or more aspects of the disclosed embodiments, the at least one central deterministic feature is arranged such that detection of the at least one central deterministic feature defines dimensional changes of the substrate transport apparatus independent of the end effector.
In accordance with one or more aspects of the disclosed embodiments, wherein the dimensional change is due to a thermal effect.
In accordance with one or more aspects of the disclosed embodiment, the controller is configured to receive sensor data from the automated wafer centering sensor corresponding to detection of the at least one edge and control the substrate transport apparatus to adjust the position of the predetermined center based on a thermal dimensional change of the substrate transport apparatus as determined from the sensor data.
In accordance with one or more aspects of the disclosed embodiment, the controller is further configured to learn a central location of a substrate processing station of the substrate processing apparatus from the detection of the at least one central deterministic feature.
In accordance with one or more aspects of the disclosed embodiment, a substrate processing apparatus includes: a frame; a substrate transport apparatus connected to the frame and having an end effector having a wafer holding station with a predetermined center, the end effector configured to hold a wafer at the wafer holding station and transport the wafer within the substrate processing apparatus; an automatic wafer centering sensor connected to the frame; and at least one central deterministic feature integral with the substrate transport apparatus and arranged such that the automatic wafer centering sensor detects the at least one central deterministic feature in transit with movement of the substrate transport apparatus, detection of the at least one central deterministic feature enabling detection of a predetermined center of a wafer holding station on the end effector with the at least one central deterministic feature passing only once by the automatic wafer centering sensor.
In accordance with one or more aspects of the disclosed embodiments, wherein the wafer holding station is unobstructed by at least one central deterministic feature.
In accordance with one or more aspects of the disclosed embodiments, at least one central deterministic feature is not blocked by a wafer held by an end effector.
In accordance with one or more aspects of the disclosed embodiments, at least one deterministic feature is provided on the substrate transport apparatus separate and distinct from the wafer holding station.
In accordance with one or more aspects of the disclosed embodiments, wherein the end effector comprises a longitudinal centerline and the at least one central deterministic feature comprises at least two central deterministic features disposed on opposite sides of the longitudinal centerline.
In accordance with one or more aspects of the disclosed embodiments, wherein the end effector comprises a longitudinal centerline and the at least one central deterministic feature is disposed on a common side of the longitudinal centerline.
In accordance with one or more aspects of the disclosed embodiments, the at least one central deterministic feature comprises at least two oppositely arranged central deterministic features.
According to one or more aspects of the disclosed embodiments, wherein the at least one central deterministic feature comprises at least two central deterministic features, wherein at least one of the at least two central deterministic features is complementary with respect to another of the at least two central deterministic features.
In accordance with one or more aspects of the disclosed embodiment, each of the at least one central deterministic feature is configured to independently resolve a predetermined center of a wafer holding station on the end effector.
In accordance with one or more aspects of the disclosed embodiment, each central deterministic feature has a corresponding shape having a predetermined relationship to a predetermined center of a wafer holding station on the end effector such that each corresponding shape independently determines the predetermined center of the wafer holding station on the end effector.
In accordance with one or more aspects of the disclosed embodiment, at least one central deterministic feature is integral to the end effector.
In accordance with one or more aspects of the disclosed embodiment, at least one central deterministic feature extends from a side of the end effector.
In accordance with one or more aspects of the disclosed embodiment, at least one central deterministic feature depends from a side of the end effector.
In accordance with one or more aspects of the disclosed embodiments, the at least one central deterministic feature is arranged such that detection of the at least one central deterministic feature defines dimensional changes of the substrate transport apparatus independent of the end effector.
In accordance with one or more aspects of the disclosed embodiments, wherein the dimensional change is due to a thermal effect.
In accordance with one or more aspects of the disclosed embodiment, the controller is configured to receive sensor data from the automated wafer centering sensor corresponding to detection of the at least one edge and control the substrate transport apparatus to adjust the position of the predetermined center based on a thermal dimensional change of the substrate transport apparatus as determined from the sensor data.
In accordance with one or more aspects of the disclosed embodiment, the controller is further configured to learn a central location of a substrate processing station of the substrate processing apparatus from the detection of the at least one central deterministic feature.
In accordance with one or more aspects of the disclosed embodiment, a substrate processing apparatus includes:
a substrate transport apparatus having a self-centering end effector having a wafer holding station with a predetermined center, the end effector configured to hold a wafer at the wafer holding station and transport the wafer within the substrate processing apparatus;
at least one central deterministic feature integral with the substrate transport apparatus and arranged such that a static detection sensor of the substrate processing apparatus detects at least one edge of the at least one central deterministic feature in transit with radial motion of the substrate transport apparatus; and
A controller communicatively coupled to the substrate transport apparatus, the controller configured such that detection of the at least one edge effects a determination of a scaling factor that identifies a change in an arm of the substrate transport apparatus in a transport with radial motion of the substrate transport apparatus;
wherein the controller comprises a kinematic effects resolver configured to determine from the detection of the at least one edge a relationship between the change in the scale factor and the detection of the at least one edge, the detection being made in transit with radial movement of the substrate transport apparatus by a static detection sensor of the substrate transport apparatus, and further to resolve the effect of the determined change in the scale factor on determining the change in the arm.
In accordance with one or more aspects of the disclosed embodiments, the detection of the at least one edge enables determination of a predetermined center of a wafer holding station on the end effector with the at least one center deterministic feature passing only once through the static detection sensor.
In accordance with one or more aspects of the disclosed embodiments, the wafer holding station is unobstructed by at least one central deterministic feature.
In accordance with one or more aspects of the disclosed embodiments, at least one central deterministic feature is not blocked by a wafer held by a wafer holding station.
In accordance with one or more aspects of the disclosed embodiments, at least one central deterministic feature is provided on the substrate transport apparatus separate and distinct from the wafer holding station.
In accordance with one or more aspects of the disclosed embodiments, the end effector includes a longitudinal centerline, and the at least one central deterministic feature includes at least two central deterministic features disposed on opposite sides of the longitudinal centerline.
In accordance with one or more aspects of the disclosed embodiments, the end effector includes a longitudinal centerline, and the at least one central deterministic feature is disposed on a common side of the longitudinal centerline.
In accordance with one or more aspects of the disclosed embodiments, the at least one central deterministic feature comprises at least two oppositely disposed central deterministic features.
In accordance with one or more aspects of the disclosed embodiments, the at least one central deterministic feature comprises at least two central deterministic features, wherein at least one of the at least two central deterministic features is complementary with respect to another of the at least two central deterministic features.
In accordance with one or more aspects of the disclosed embodiment, each of the at least one central deterministic feature is configured to independently resolve a predetermined center of a wafer holding station on the end effector.
In accordance with one or more aspects of the disclosed embodiments, each central deterministic feature has a corresponding shape having a predetermined relationship to a predetermined center of a wafer-holding station on the end effector such that each corresponding shape independently determines the predetermined center of the wafer-holding station on the end effector.
In accordance with one or more aspects of the disclosed embodiments, the at least one central deterministic feature is integral to the end effector.
In accordance with one or more aspects of the disclosed embodiments, at least one central deterministic feature extends from a side of the end effector.
In accordance with one or more aspects of the disclosed embodiments, at least one central deterministic feature depends from a side of the end effector.
In accordance with one or more aspects of the disclosed embodiments, the at least one central deterministic feature is arranged such that detection of the at least one central deterministic feature defines a dimensional change of the substrate transport apparatus independent of the end effector.
In accordance with one or more aspects of the disclosed embodiments, the dimensional change is due to thermal effects.
In accordance with one or more aspects of the disclosed embodiment, the controller is further configured to:
receiving sensor data from the static detection sensor corresponding to the detection of the at least one edge, and controlling the substrate transport apparatus to adjust the position of the pre-centering based on a thermal dimensional change of the substrate transport apparatus as determined from the sensor data.
In accordance with one or more aspects of the disclosed embodiment, the controller is further configured to learn a central location of a substrate processing station of the substrate processing apparatus from the detection of the at least one central deterministic feature.
In accordance with one or more aspects of the disclosed embodiments, the static detection sensor comprises an automatic wafer centering sensor.
In accordance with one or more aspects of the disclosed embodiments, the controller is configured to identify and learn a central location of a substrate processing station of the substrate processing apparatus from sensor data corresponding to detection of at least one edge of at least one central deterministic feature.
In accordance with one or more aspects of the disclosed embodiment, the controller is further configured to:
Receiving sensor data from a static detection sensor, the sensor data corresponding to detection of at least one central deterministic feature,
a change in a dimension of the substrate transport apparatus is identified based on the sensor data and a scaling factor relating the change to the dimension of the substrate transport apparatus is determined.
In accordance with one or more aspects of the disclosed embodiments, the kinematic effects resolver is configured to resolve a relationship between kinematically defined dimensions, scale factors, and variations of an arm of the substrate transport apparatus.
In accordance with one or more aspects of the disclosed embodiments, the kinematic effects resolver includes a filter of scale factors.
In accordance with one or more aspects of the disclosed embodiment, a method comprises:
providing a substrate transport apparatus having:
a self-centering end effector having a wafer holding station with a predetermined center, wherein the end effector holds the wafer at the wafer holding station and transports the wafer within the substrate processing apparatus, and at least one central deterministic feature integral to the substrate transport apparatus;
Detecting at least one edge of at least one central deterministic feature in the transport with a static detection sensor of the substrate processing apparatus with the substrate transport apparatus moving, the detection of the at least one edge enabling determination of a scaling factor that identifies a change in an arm of the substrate transport apparatus in the transport with the substrate transport apparatus moving; and
a kinematic effects resolver is utilized to determine a relationship between the change in scale factor and the detection of the at least one edge by the static detection sensor from the detection of the at least one edge and further resolve an effect of the determined change in scale factor on the scale factor that determines the change in the arm.
In accordance with one or more aspects of the disclosed embodiments, the detection of the at least one edge enables determination of a predetermined center of a wafer holding station on an end effector with the at least one center determining feature passing the static detection sensor only once.
In accordance with one or more aspects of the disclosed embodiments, the wafer holding station is unobstructed by at least one central deterministic feature.
In accordance with one or more aspects of the disclosed embodiments, the at least one central deterministic feature is unobstructed by wafers held by the end effector.
In accordance with one or more aspects of the disclosed embodiment, the method further comprises: a static detection sensor is utilized to determine an eccentricity of a wafer held by the end effector relative to a predetermined center of a wafer holding station on the end effector.
In accordance with one or more aspects of the disclosed embodiments, the predetermined center and eccentricity of the wafer holding station on the end effector is determined on-the-fly with at least one center deterministic feature passing only once through the static detection sensor.
In accordance with one or more aspects of the disclosed embodiments, a static detection sensor detects an edge of a wafer.
In accordance with one or more aspects of the disclosed embodiment, the method further comprises:
receiving, with a controller, sensor data from a static detection sensor corresponding to detection of at least one edge; and controlling the substrate transport apparatus with the controller to adjust the position of the predetermined center based on a thermal dimensional change of the substrate transport apparatus as determined from the sensor data.
In accordance with one or more aspects of the disclosed embodiment, the method further comprises: a central location of a wafer processing station of the substrate processing apparatus is identified and understood from the detection of the at least one edge of the at least one central deterministic feature with the controller.
In accordance with one or more aspects of the disclosed embodiment, the method further comprises: a dimensional change of the substrate transport apparatus is defined independently of the end effector from detection of the at least one edge of the at least one central deterministic feature with the controller.
In accordance with one or more aspects of the disclosed embodiments, the dimensional change is due to thermal effects.
According to one or more aspects of the disclosed embodiments, a substrate processing apparatus includes:
a substrate transport apparatus having an end effector having a wafer holding station with a predetermined center, the end effector configured to hold a wafer at the wafer holding station and transport the wafer within the substrate processing apparatus;
more than one feature disposed on the substrate transport apparatus such that a static detection sensor of the substrate processing apparatus detects at least one edge of each respective more than one feature in the transport with radial movement of the substrate transport apparatus; and
a controller communicatively coupled to the substrate transport apparatus, the controller configured such that the detection of the at least one edge correspondingly effects a determination of different scaling factors that identify different changes in the common arm of the substrate transport apparatus in a transport with only one common radial motion of the substrate transport apparatus;
Wherein the controller comprises a kinematic effects resolver configured to resolve respective changes of different corresponding links of the common arm from different determined scale factors in the transport with only one common motion of the substrate transport apparatus and determine an effective change of the common arm for the wafer position.
In accordance with one or more aspects of the disclosed embodiment, the controller is configured to further interpret the effect of changes in different scale factors on effective changes in the arm.
In accordance with one or more aspects of the disclosed embodiments, the detection of the at least one edge enables determination of a predetermined center of a wafer holding station on the end effector with more than one feature passing only once by the static detection sensor.
In accordance with one or more aspects of the disclosed embodiments, the wafer holding station is not blocked by more than one feature.
In accordance with one or more aspects of the disclosed embodiments, more than one feature is unobstructed by a wafer held by the wafer holding station.
In accordance with one or more aspects of the disclosed embodiments, more than one feature is provided on the substrate transport apparatus separate and distinct from the wafer holding station.
In accordance with one or more aspects of the disclosed embodiment, a substrate processing apparatus includes:
a substrate transport apparatus having a transport arm, the transport arm including an end effector having a reference feature with a predetermined center, the end effector configured to hold a wafer and transport the wafer within the substrate processing apparatus based on the predetermined center; at least one arm pose deterministic feature integral with the substrate transport apparatus and arranged such that a static detection sensor of the substrate processing apparatus detects at least one edge of the at least one arm pose deterministic feature in transport with radial motion of the transport arm; and
a controller communicatively coupled to the substrate transport apparatus, the controller configured such that detection of the at least one edge effects a determination of a scaling factor that identifies a change in the transport arm in the transport with radial movement of the transport arm;
wherein the controller comprises a kinematic effects resolver configured to determine, in the event of radial movement of the transfer arm, a discrete relationship between the determined scale factor and each different discrete variation corresponding to each different link of the transfer arm from detection of the at least one edge by the static detection sensor in the transfer, thereby determining in the transfer a variation of the transfer arm in the event of radial movement of the transfer arm.
In accordance with one or more aspects of the disclosed embodiment, the kinematic effects resolver is configured to effect a distinction between each different discrete variation corresponding to each different link in a determined relationship with the determined scaling factor.
In accordance with one or more aspects of the disclosed embodiments, the kinematic effects resolver is configured such that distinguishing between each of the different discrete variations is achieved based on detection of the at least one edge.
In accordance with one or more aspects of the disclosed embodiments, the kinematic effects resolver is configured to determine, from the detection of the at least one edge, in transit by a static detection sensor, a contribution of a different discretely varying nonlinear kinematic effect corresponding to each different link of the transfer arm in the event of radial movement of the transfer arm, thereby synchronously determining a variation of the arm as the transfer arm moves radially.
In accordance with one or more aspects of the disclosed embodiments, the kinematic effects resolver is configured such that in determining the contribution of the varying nonlinear kinematic effects of the arm, a distinction is made between the nonlinear kinematic effects of different contributions corresponding to each different link or different wheel of the transfer arm.
In accordance with one or more aspects of the disclosed embodiments, the kinematic effects resolver is configured such that distinguishing between non-linear kinematic effects of different contributions of at least one different link or different wheel of the transfer arm is achieved in determining the determined contribution of the varying non-linear kinematic effect of the arm.
In accordance with one or more aspects of the disclosed embodiments, the kinematic effects parser is configured such that a distinction between non-linear kinematic effects of different contributions is achieved based on a detection of at least one edge.
In accordance with one or more aspects of the disclosed embodiments, the at least one arm pose certainty feature has a certainty configuration to enable distinguishing between each different discrete variation corresponding to each different link in a determined relationship with the determined scale factor.
In accordance with one or more aspects of the disclosed embodiments, the at least one attitude deterministic feature is configured such that distinguishing between each different discrete variation corresponding to each different link of the transfer arm is achieved if the at least one edge passes the static detection sensor only once in the transfer arm radial motion during transfer, such that the static detection sensor detects the at least one edge during transfer.
In accordance with one or more aspects of the disclosed embodiments, the transfer arm is a 3-link SCARA arm, and the at least one attitude deterministic feature is configured such that a distinction is made between each different discrete variation corresponding to each different link of the 3-link SCARA arm.
In accordance with one or more aspects of the disclosed embodiments, the end effector is a self-centering end effector having a wafer holding station with a predetermined center, the end effector configured to hold a wafer at the wafer holding station and transport the wafer within the substrate processing apparatus.
In accordance with one or more aspects of the disclosed embodiments, the at least one arm pose deterministic feature comprises at least one center deterministic feature that enables determination of a predetermined center of a wafer holding station on the end effector with the at least one center deterministic feature passing only once through the static detection sensor.
In accordance with one or more aspects of the disclosed embodiments, the at least one central deterministic feature is unobstructed by wafers held by the end effector and is arranged such that the static detection sensor detects the at least one central deterministic feature in transit with movement of the substrate transport apparatus, detection of the at least one central deterministic feature enabling determination of a predetermined center of a wafer holding station on the end effector with the at least one central deterministic feature passing the static detection sensor only once.
In accordance with one or more aspects of the disclosed embodiments, the controller is configured to effect determination of the predetermined center of the wafer holding station substantially simultaneously with detection of a change in the transport arm in the event of a radial movement of the transport arm based on detection of the at least one edge.
In accordance with one or more aspects of the disclosed embodiments, the transfer arm includes an upper arm link, a forearm link, and more than one end effector that typically depends from the upper arm link and the forearm link such that the upper arm link and the forearm link are common to each of the more than one end effector.
In accordance with one or more aspects of the disclosed embodiments, at least one of the more than one co-pending end effectors has independent degrees of freedom, such that the at least one co-pending end effector is independently movable relative to the common upper arm link and forearm link, and has corresponding arm pose determinative characteristics, the corresponding arm pose certainty feature is different from another arm pose certainty feature corresponding to another of the more than one co-pending end effectors, such that changes in the transport arm of the at least one independently movable end effector are determined individually based on changes in the transport arm of another of the more than one co-pending end effector based on sensing of at least one edge of the corresponding arm pose certainty feature of the at least one independently movable end effector by the static detection sensor.
In accordance with one or more aspects of the disclosed embodiments, the transport arm is a SCARA arm.
In accordance with one or more aspects of the disclosed embodiment, the controller is configured to position the transfer arm and the reference feature of the end effector to compensate for determined variations in the transfer arm.
According to one or more aspects of the disclosed embodiments, a substrate treating method includes:
transporting the wafer within a substrate processing apparatus having a substrate transport apparatus with a transport arm comprising an end effector having a reference feature with a predetermined center, wherein the wafer is held on the end effector at a wafer holding station of the end effector;
detecting at least one edge of at least one arm pose certainty feature integral with the substrate transport apparatus during transport with radial movement of the transport arm using a static detection sensor;
determining, with a controller communicably coupled to the substrate transport apparatus, a scaling factor based on the detection of the at least one edge, the scaling factor identifying a change in the transport arm in the transport with radial motion of the transport arm; and
A kinematic effect resolver using the controller determines a discrete relationship between the determined scale factor and each of the different discrete variations corresponding to each of the different links of the transfer arm from the detection of the at least one edge by the static detection sensor during the transfer in the event of radial movement of the transfer arm to determine a change in the transfer arm during the transfer in the event of radial movement of the transfer arm.
In accordance with one or more aspects of the disclosed embodiment, the method further comprises: a kinematic effects resolver is utilized to distinguish between each of the different discrete variations corresponding to each of the different links in a determined relationship with the determined scale factor.
In accordance with one or more aspects of the disclosed embodiment, the method further comprises: distinguishing between each different discrete change is accomplished based on the detection of the at least one edge using a kinematic effect resolver.
In accordance with one or more aspects of the disclosed embodiment, the method further comprises: the contribution of the non-linear movement effect of the different discrete variations corresponding to each different link of the transfer arm is determined from the detection of the at least one edge by means of a static detection sensor in the transfer with a radial movement of the transfer arm by means of a movement effect resolver, so that the variation of the arm is determined synchronously upon the radial movement of the transfer arm.
In accordance with one or more aspects of the disclosed embodiments, the transport arm is a SCARA arm.
In accordance with one or more aspects of the disclosed embodiment, the method further comprises: the controller is used to compensate for the determined change in the transfer arm in order to locate the transfer arm and the reference feature of the end effector.
In accordance with one or more aspects of the disclosed embodiments, the method further comprises: the controller is utilized to compensate for determined changes in another independent end effector sharing at least one or more arm links with the end effector, the another independent end effector having at least one independent degree of freedom relative to the end effector.
It should be understood that the foregoing description is only illustrative of aspects of the disclosed embodiments. Various alternatives and modifications can be devised by those skilled in the art without departing from aspects of the disclosed embodiments. Accordingly, the disclosed aspects of the embodiments are intended to embrace all such alternatives, modifications and variances that fall within the scope of the appended claims. Furthermore, the mere fact that different features are recited in mutually different dependent or independent claims does not indicate that a combination of these features cannot be used to advantage and is still included in the scope of the various aspects of the present invention.

Claims (47)

1. One method comprises the following steps:
providing a substrate transport apparatus having: a self-centering end effector having a wafer holding station with a predetermined center, wherein the end effector holds a wafer at the wafer holding station and transports the wafer within a substrate processing apparatus; and at least one central deterministic feature integral with said substrate transport apparatus;
detecting, with a static detection sensor of the substrate processing apparatus, at least one edge of the at least one central deterministic feature in transit with movement of the substrate transport apparatus;
wherein detection of the at least one edge enables determination of a predetermined center of the wafer holding station on the end effector with the at least one central deterministic feature passing the static detection sensor only once.
2. The method of claim 1, wherein the wafer holding station is unobstructed by the at least one central deterministic feature.
3. The method of claim 2, wherein the at least one central deterministic feature is not blocked by a wafer held by the end effector.
4. The method of claim 1, further comprising determining an eccentricity of a wafer held by the end effector relative to a predetermined center of the wafer holding station on the end effector using the static detection sensor.
5. The method of claim 1, wherein the predetermined center of the wafer holding station on the end effector and the eccentricity are determined on-the-fly with the at least one center deterministic feature passing the static detection sensor only once.
6. The method of claim 1, wherein the static detection sensor detects an edge of the wafer.
7. The method of claim 1, the method further comprising:
receiving, with a controller, sensor data from the static detection sensor corresponding to detection of the at least one edge; and
controlling the substrate transport apparatus with the controller to adjust the position of the predetermined center based on a thermal dimensional change of the substrate transport apparatus as determined from the sensor data.
8. The method of claim 1, further comprising identifying and learning, with a controller, a center location of a wafer processing station of the substrate processing apparatus from detection of at least one edge of the at least one central deterministic feature.
9. The method of claim 1, further comprising defining, with a controller, a dimensional change of the substrate transport apparatus independent of the end effector from detection of at least one edge of the at least one central deterministic feature.
10. The method of claim 9, wherein the dimensional change is due to thermal effects.
11. A substrate processing system comprising:
a frame;
a substrate transport apparatus connected to the frame and having an end effector having a wafer holding station with a predetermined center, the end effector configured to hold a wafer at the wafer holding station and transport the wafer within the substrate processing apparatus;
an automatic wafer centering sensor connected to the frame and configured to effect sensing of an edge of a wafer held on the end effector during transport with movement of the substrate transport apparatus; and
at least one central deterministic feature integral with said substrate transport apparatus and arranged such that said automatic wafer centering sensor detects at least one edge of said at least one central deterministic feature in transit, detection of said at least one edge effecting determination of a predetermined center of said wafer holding station on said end effector.
12. The substrate transport apparatus of claim 11, wherein the at least one deterministic feature is provided on the substrate transport apparatus separate and distinct from the wafer holding station.
13. The substrate transport apparatus of claim 11, wherein the end effector comprises a longitudinal centerline and the at least one central deterministic feature comprises at least two central deterministic features disposed on opposite sides of the longitudinal centerline.
14. The substrate transport apparatus of claim 11, wherein the end effector comprises a longitudinal centerline and the at least one central deterministic feature is disposed on a common side of the longitudinal centerline.
15. The substrate transport apparatus of claim 11, wherein the at least one central deterministic feature comprises at least two oppositely arranged central deterministic features.
16. The substrate transport apparatus of claim 11, wherein the at least one central deterministic feature comprises at least two central deterministic features, wherein at least one of the at least two central deterministic features is complementary with respect to another of the at least two central deterministic features.
17. The substrate transport apparatus of claim 11, wherein each of the at least one central deterministic feature is configured to independently resolve a predetermined center of the wafer holding station on the end effector.
18. The substrate transport apparatus of claim 17, wherein each of the central deterministic features has a corresponding shape having a predetermined relationship to a predetermined center of the wafer holding station on the end effector such that each corresponding shape independently determines the predetermined center of the wafer holding station on the end effector.
19. The substrate transport apparatus of claim 11, wherein the substrate transport apparatus comprises an arm connected to the end effector, and the at least one central deterministic feature is integral with the arm of the substrate transport apparatus.
20. The substrate transport apparatus of claim 11, wherein the substrate transport apparatus comprises an arm connected to the end effector at a mechanical interface, and the at least one central deterministic feature is integral with the mechanical interface.
21. The substrate transport apparatus of claim 11, wherein the at least one central deterministic feature is disposed such that detection of the at least one central deterministic feature defines a dimensional change of the substrate transport apparatus independent of the end effector.
22. The substrate transport apparatus of claim 11, further comprising a controller configured to receive sensor data from the automatic wafer centering sensor corresponding to detection of the at least one edge and to control the substrate transport apparatus to adjust the location of the predetermined center based on a thermal dimensional change of the substrate transport apparatus as determined from the sensor data.
23. The substrate transport apparatus of claim 11, further comprising a controller configured to learn a central location of a substrate processing station of the substrate processing apparatus from the detection of the at least one central deterministic feature.
24. A substrate processing apparatus comprising:
a frame;
a substrate transport apparatus connected to the frame and having an end effector having a wafer holding station with a predetermined center, the end effector configured to hold the wafer at the wafer holding station and transport the wafer within the substrate processing apparatus;
an automatic wafer centering sensor connected to the frame; and
At least one central deterministic feature integral with said substrate transport apparatus and arranged such that said automatic wafer centering sensor detects said at least one central deterministic feature in transit with movement of said substrate transport apparatus; and
the detection of the at least one central deterministic feature enables determination of a predetermined center of the wafer holding station on the end effector with the at least one central deterministic feature passing the automated wafer centering sensor only once.
25. The substrate transport apparatus of claim 24, wherein the at least one deterministic feature is provided on the substrate transport apparatus separate and distinct from the wafer holding station.
26. The substrate transport apparatus of claim 24, wherein the at least one central deterministic feature comprises at least two central deterministic features, wherein at least one of the at least two central deterministic features is complementary with respect to another of the at least two central deterministic features.
27. The substrate transport apparatus of claim 24, wherein each of the at least one central deterministic feature is configured to independently resolve a predetermined center of the wafer holding station on the end effector.
28. The substrate transport apparatus of claim 27, wherein each of the central deterministic features has a corresponding shape having a predetermined relationship to a predetermined center of the wafer holding station on the end effector such that each corresponding shape independently determines the predetermined center of the wafer holding station on the end effector.
29. The substrate transport apparatus of claim 24, further comprising a controller configured to receive sensor data from the automatic wafer-centering sensor corresponding to detection of the at least one central deterministic feature, and to control the substrate transport apparatus so as to adjust the position of the predetermined center based on thermal dimensional changes of the substrate transport apparatus as determined from the sensor data.
30. The substrate transport apparatus of claim 24, further comprising a controller configured to learn a central location of a substrate processing station of the substrate processing apparatus from the detection of the at least one central deterministic feature.
31. One method comprises the following steps:
Providing a substrate transport apparatus having: a self-centering end effector having a wafer holding station with a predetermined center, wherein the end effector holds a wafer at the wafer holding station and transports the wafer within a substrate processing apparatus; and at least one central deterministic feature integral to said substrate transport apparatus;
receiving, with a controller, sensor data embodying detection in transit of a predetermined position of at least one edge of the at least one central deterministic feature in the event of motion of the substrate transport apparatus within the substrate processing apparatus; and
determining, with the controller, a predetermined center of the wafer holding station on the end effector from only one pass movement of the at least one central deterministic feature through the predetermined position in the substrate processing apparatus.
32. The method of claim 1, wherein the wafer holding station is unobstructed by the at least one central deterministic feature.
33. The method of claim 2, wherein the at least one central deterministic feature is not blocked by a wafer held by the end effector.
34. The method of claim 1, further comprising determining an eccentricity of a wafer held by the end effector relative to a predetermined center of the wafer holding station on the end effector using the static detection sensor.
35. The method of claim 1, wherein the predetermined center of the wafer holding station on the end effector and the eccentricity are determined on-the-fly with the at least one center deterministic feature passing the static detection sensor only once.
36. The method of claim 1, wherein the static detection sensor detects an edge of the wafer.
37. The method of claim 1, further comprising receiving, with a controller, sensor data from the static detection sensor corresponding to detection of the at least one edge; and
controlling the substrate transport apparatus with the controller to adjust the position of the predetermined center based on a thermal dimensional change of the substrate transport apparatus as determined from the sensor data.
38. The method of claim 1, further comprising identifying and learning, with a controller, a center location of a wafer processing station of the substrate processing apparatus from detection of at least one edge of the at least one center deterministic feature.
39. The method of claim 1, further comprising defining, with a controller, a dimensional change of the substrate transport apparatus independent of the end effector from detection of at least one edge of the at least one central deterministic feature.
40. The method of claim 9, wherein the dimensional change is due to thermal effects.
41. A substrate processing apparatus comprising:
a frame;
a substrate transport apparatus connected to the frame and having an end effector having a wafer holding station with a predetermined center, the end effector configured to hold a wafer at the wafer holding station and transport the wafer within the substrate processing apparatus; and
a controller configured to receive data from an automatic wafer centering sensor connected to the frame, the data embodying detection of a predetermined position of at least one central deterministic feature integral to the substrate transport apparatus in transit with movement of the substrate transport apparatus within the substrate transport apparatus, wherein the controller effects determination of a predetermined center of the wafer holding station on the end effector from the at least one central deterministic feature in only one pass of the predetermined position in the substrate processing apparatus.
42. The substrate transport apparatus of claim 11, wherein the at least one deterministic feature is provided on the substrate transport apparatus separate and distinct from the wafer holding station.
43. The substrate transport apparatus of claim 11, wherein the at least one central deterministic feature comprises at least two central deterministic features, wherein at least one of the at least two central deterministic features is complementary with respect to another of the at least two central deterministic features.
44. The substrate transport apparatus of claim 11, wherein each of the at least one central deterministic feature is configured to independently resolve a predetermined center of the wafer holding station on the end effector.
45. The substrate transport apparatus of claim 14, wherein each of the central deterministic features has a corresponding shape having a predetermined relationship to a predetermined center of the wafer holding station on the end effector such that each corresponding shape independently determines the predetermined center of the wafer holding station on the end effector.
46. The substrate transport apparatus of claim 11, wherein the controller receives sensor data from the automatic wafer centering sensor corresponding to detection of the at least one central deterministic feature and controls the substrate transport apparatus to adjust a position of a predetermined center based on thermal dimensional changes of the substrate transport apparatus as determined from the sensor data.
47. The substrate transport apparatus of claim 11, wherein the controller is configured to learn a central location of a substrate processing station of the substrate processing apparatus from the detection of the at least one central deterministic feature.
CN202210281765.1A 2015-07-13 2016-07-13 Method and apparatus for automatic wafer centering during transport Pending CN114758975A (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562191863P 2015-07-13 2015-07-13
US62/191863 2015-07-13
US201662320142P 2016-04-08 2016-04-08
US62/320142 2016-04-08
PCT/US2016/042142 WO2017011581A1 (en) 2015-07-13 2016-07-13 On the fly automatic wafer centering method and apparatus
CN201680053111.6A CN108027718B (en) 2015-07-13 2016-07-13 Method and apparatus for automatic wafer centering during transport

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201680053111.6A Division CN108027718B (en) 2015-07-13 2016-07-13 Method and apparatus for automatic wafer centering during transport

Publications (1)

Publication Number Publication Date
CN114758975A true CN114758975A (en) 2022-07-15

Family

ID=58774474

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201680053111.6A Active CN108027718B (en) 2015-07-13 2016-07-13 Method and apparatus for automatic wafer centering during transport
CN202210281765.1A Pending CN114758975A (en) 2015-07-13 2016-07-13 Method and apparatus for automatic wafer centering during transport

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201680053111.6A Active CN108027718B (en) 2015-07-13 2016-07-13 Method and apparatus for automatic wafer centering during transport

Country Status (3)

Country Link
EP (1) EP3341831A1 (en)
CN (2) CN108027718B (en)
TW (1) TWI752910B (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10903107B2 (en) 2017-07-11 2021-01-26 Brooks Automation, Inc. Semiconductor process transport apparatus comprising an adapter pendant
TWI677774B (en) * 2018-12-03 2019-11-21 鴻勁精密股份有限公司 Electronic component transfer mechanism and operation equipment applied thereto
JP2021019145A (en) * 2019-07-23 2021-02-15 川崎重工業株式会社 Blade spacing adjustment device
CN115295464A (en) * 2022-08-08 2022-11-04 魅杰光电科技(上海)有限公司 Wafer conveying system
CN116313873B (en) * 2023-05-11 2023-07-25 深圳市森美协尔科技有限公司 Full-automatic wafer testing equipment and method

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2071662A1 (en) * 1991-06-26 1992-12-27 Jon J. Gulick Integrated socket-type package for flip-chip semiconductor devices and circuits
KR20040010280A (en) * 2002-07-22 2004-01-31 어플라이드 머티어리얼스, 인코포레이티드 High temperature substrate transfer robot
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
TWI397969B (en) * 2005-07-11 2013-06-01 Brooks Automation Inc Process apparatus with on-the-fly workpiece centering
US7479236B2 (en) * 2006-09-29 2009-01-20 Lam Research Corporation Offset correction techniques for positioning substrates
JP4607848B2 (en) * 2006-10-27 2011-01-05 東京エレクトロン株式会社 Substrate processing apparatus, substrate delivery position adjusting method, and storage medium
JP4989398B2 (en) * 2007-09-27 2012-08-01 大日本スクリーン製造株式会社 Substrate processing equipment
US20090110532A1 (en) * 2007-10-29 2009-04-30 Sokudo Co., Ltd. Method and apparatus for providing wafer centering on a track lithography tool
CN101640181A (en) * 2008-07-31 2010-02-03 佳能安内华股份有限公司 Substrate alignment apparatus and substrate processing apparatus
US10137576B2 (en) * 2011-12-16 2018-11-27 Brooks Automation, Inc. Transport apparatus
WO2014197537A1 (en) * 2013-06-05 2014-12-11 Persimmon Technologies, Corp. Robot and adaptive placement system and method

Also Published As

Publication number Publication date
TW202224078A (en) 2022-06-16
EP3341831A1 (en) 2018-07-04
CN108027718B (en) 2022-04-08
TW201707900A (en) 2017-03-01
TWI752910B (en) 2022-01-21
CN108027718A (en) 2018-05-11

Similar Documents

Publication Publication Date Title
JP7430668B2 (en) On-the-fly automatic wafer centering method and apparatus
US11908721B2 (en) Tool auto-teach method and apparatus
CN108027718B (en) Method and apparatus for automatic wafer centering during transport
US11810801B2 (en) Robot embedded vision apparatus
JP7412534B2 (en) Calibration of the processing system aligner station
TWI832130B (en) On the fly automatic wafer centering method and apparatus
TW202110602A (en) Robot embedded vision apparatus

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination