CN114631062A - 光致抗蚀剂膜的干式室清洁 - Google Patents

光致抗蚀剂膜的干式室清洁 Download PDF

Info

Publication number
CN114631062A
CN114631062A CN202080058274.XA CN202080058274A CN114631062A CN 114631062 A CN114631062 A CN 114631062A CN 202080058274 A CN202080058274 A CN 202080058274A CN 114631062 A CN114631062 A CN 114631062A
Authority
CN
China
Prior art keywords
chamber
process chamber
dry
gas
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080058274.XA
Other languages
English (en)
Inventor
丹尼尔·彼得
李达
蒂莫西·威廉·威德曼
鲍里斯·沃洛斯基
吴呈昊
卡蒂·林恩·纳尔迪
凯文·利·顾
利昂·塔莱
萨曼莎·西亚姆华·坦
游正义
薛萌
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN114631062A publication Critical patent/CN114631062A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70933Purge, e.g. exchanging fluid or gas to remove pollutants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Atmospheric Sciences (AREA)
  • Metallurgy (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

含金属光致抗蚀剂膜可使用干式沉积技术沉积在半导体衬底上。在沉积、斜面和背侧清洁、烘烤、显影或蚀刻操作期间,非预期的含金属光致抗蚀剂材料可能形成在处理室的内表面上。原位干式室清洁可通过暴露于蚀刻气体来执行,以去除非预期的含金属光致抗蚀剂材料。干式室清洁可以在升高的温度且未激励等离子体下执行。在一些实施方案中,干式室清洁可包括抽排/清扫及调节操作。

Description

光致抗蚀剂膜的干式室清洁
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
诸如集成电路之类的半导体设备的加工是涉及光刻术的多步骤处理。一般来说,该处理包括在晶片上沉积材料、以及经由光刻技术对该材料图案化,以形成半导体设备的结构特征(例如,晶体管和电路系统)。在本领域中公知的典型光刻处理的步骤包括:准备衬底;例如通过旋转涂布以施加光致抗蚀剂;将该光致抗蚀剂暴露于所期望的图案的光线,使得该光致抗蚀剂的经暴露区域变得更加溶于或更加不溶于显影剂溶液中;通过施加显影剂溶液进行显影,以移除光致抗蚀剂的经暴露区域或者未暴露区域;以及后续处理,例如通过蚀刻或材料沉积以在光致抗蚀剂所移除的衬底区域上创造特征。
半导体设计的演进形成在半导体衬底材料上创造越来越小的特征的需求,并由在半导体衬底材料上创造越来越小的特征的能力驱使半导体设计的演进。在“摩尔定律”中,这种技术进程的特征在于每两年使密集集成电路中的晶体管密度加倍。确实,芯片设计及制造已有进展,使得先进微处理器可在单一芯片上包含数十亿个晶体管和其他电路特征。在这种芯片上的独立特征可为22纳米(nm)或更小的数量级,在一些情况下小于10nm。
在制造具有这种微小特征的设备中的一个挑战在于:能够可靠地且可再现地创造具有足够分辨率的光刻掩模的能力。当前的光刻处理通常使用193nm的紫外(UV)光以使光致抗蚀剂暴露。光的波长明显大于待在半导体衬底上制造的期望特征尺寸的事实造成了内在的问题。要实现特征尺寸小于光的波长需要使用复杂的分辨率增强技术,例如多重图案化。因此,对于研发使用较短波长的光(例如极紫外(EUV)辐射,其具有10nm至15nm的波长(例如13.5nm))的光刻技术存在着重大关注与研究的努力。
然而,EUV光刻处理可能存在挑战,包括在图案化期间的低功率输出与光损失。当在EUV光刻术中使用时,传统的有机化学放大抗蚀剂(CAR)(类似于在193nm UV光刻术中使用的那些)具有潜在的缺点,特别是因为它们在EUV区域中具有低吸收系数,且光活化性化学物质的扩散可能会造成模糊或线边缘粗糙。此外,为了提供将下伏设备层图案化所需的抗蚀刻性,在传统CAR材料中经图案化的微小特征可能会在图案崩塌的风险下形成高深宽比。因此,仍然存在着对改善EUV光致抗蚀剂材料的需求,所述改善EUV光致抗蚀剂材料具有例如较低厚度、较高吸收率、以及较高抗蚀刻性之类的性质。
这里提供的背景描述是为了总体呈现本技术的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本技术的现有技术。
发明内容
在光致抗蚀剂图案化的背景下,可发生干式室清洁。光致抗蚀剂材料在处理室中干式沉积之后,不希望的光致抗蚀剂材料可能会积聚在处理室的内表面上。光致抗蚀剂材料可为例如含金属EUV抗蚀剂材料。干式室清洁可通过暴露于蚀刻气体来执行,以去除不希望的光致抗蚀剂材料。在一些实施方案中,蚀刻气体可以是卤化氢、氢气以及卤素气体、三氯化硼、或其组合。可进行清扫以从处理室去除残留蚀刻气体。在一些实施方案中,可通过在内表面上沉积膜(例如,光致抗蚀剂材料)涂层来进行处理室的调节或修整,以恢复内表面。
本文公开了清洁处理室的方法和系统。所述方法包括:在处理室中提供半导体衬底,在所述半导体衬底的衬底层上具有干式沉积的含金属抗蚀剂膜;以及将蚀刻气体引入所述处理室中,其中在所述处理室的一或更多个表面上形成第一厚度的干式沉积的含金属抗蚀剂材料,其中所述蚀刻气体去除所述第一厚度的所述干式沉积的含金属抗蚀剂材料。
在一些实现方案中,所述方法还包括:通过在所述处理室的所述一或更多个表面上形成第二厚度的所述干式沉积的含金属抗蚀剂材料来调节所述处理室的所述一或更多个表面。在一些实现方案中,所述方法还包括:在引入所述蚀刻气体后清扫所述处理室,以从所述处理室去除残留蚀刻气体。在一些实施方案中,清扫所述处理室包括使惰性气体和/或反应性气体流入所述处理室,其中所述处理室被加热至介于约20℃与约140℃之间的升高的温度,其中所述处理室被抽排至介于约0.1托与约6托之间的室压强。在一些实现方案中,清扫所述处理室包括使所述处理室的所述一或更多个表面暴露于基于远程等离子体的处理,以去除所述残留蚀刻气体,其中所述基于远程等离子体的处理包括基于氟的化学物质。在一些实现方案中,清扫所述处理室包括使所述处理室的所述一或更多个表面暴露于臭氧和/或氧气,以去除所述残留蚀刻气体。在一些实现方案中,所述方法还包括:在引入所述蚀刻气体之前,将所述处理室的所述一或更多个表面加热至升高的温度,其中所述升高的温度介于约20℃与约140℃之间。在一些实现方案中,所述蚀刻气体包括卤化氢、氢气和卤素气体、三氯化硼、或其组合。在一些实现方案中,所述第一厚度的所述干式沉积的含金属抗蚀剂材料的去除在未暴露于等离子体的情况下发生。在一些实现方案中,所述方法还包括:抽排所述处理室至介于约0.1托与约6托之间的室压强;将所述处理室的所述一或更多个表面加热至介于约20℃与约140℃之间的升高的温度;以及在引入所述蚀刻气体之前,将虚拟晶片引入所述处理室中。在一些实现方案中,所述干式沉积的含金属抗蚀剂材料是有机-金属氧化物或含有机-金属薄膜。
本文公开了一种用于沉积抗蚀剂的装置。所述装置包括:处理室,其具有衬底支撑件;真空管线,其耦合至所述处理室;以及蚀刻气体管线,其耦合至所述处理室。所述装置还包括控制器,其配置有用于清洁所述处理室的包括代码的指令,所述代码用于:在所述处理室中,在半导体衬底的衬底层上气相沉积含金属抗蚀剂膜;以及通过使蚀刻气体流入所述处理室中来清洁所述处理室,其中所述蚀刻气体去除在所述处理室的一或更多个表面上形成的第一厚度的干式沉积的含金属抗蚀剂材料。
在一些实现方案中,所述装置还包括:一或更多个加热器,其耦合至所述处理室的所述一或更多个表面,其中所述控制器进一步配置有包含代码的指令,所述代码用于:将所述处理室的所述一或更多个表面加热至升高的温度,其中所述升高的温度介于约20℃与约140℃之间。在一些实现方案中,所述控制器被配置有包含代码的指令,所述代码用于:通过在所述处理室的所述一或更多个表面上形成第二厚度的所述干式沉积的含金属抗蚀剂材料来调节所述处理室的所述一或更多个表面。在一些实现方案中,所述控制器被配置有包含代码的指令,所述代码用于:在引入所述蚀刻气体后清扫所述处理室,以从所述处理室去除残留蚀刻气体。
所公开的实施方案的这些及其他特征将参照相关附图而在下文详细描述。
附图说明
图1呈现了根据一些实施方案在沉积并显影光致抗蚀剂时执行干式室清洁的示例性方法的流程图。
图2呈现了根据一些实施方案执行干式室清洁的示例性方法的流程图。
图3A-3D示出了根据一些实施方案的干式室清洁的诸多处理阶段的横截面示意图。
图4示出了根据一些实施方案的氯化氢或溴化氢与涂覆在处理室内表面上的有机锡氧化物/氢氧化物抗蚀剂材料的化学反应的示例性干式室清洁机制。
图5示出了在清洁操作中比较HCl、HBr以及BCl3蚀刻含金属EUV抗蚀剂与时间的关系图。
图6示出了比较使用HBr作为蚀刻气体时的不同含金属EUV抗蚀剂材料的蚀刻速率的图。
图7示出了说明温度对去除光图案化含金属EUV抗蚀剂的暴露区域以及未暴露区域的蚀刻选择性的影响的图。
图8A示出了说明温度对去除EUV抗蚀剂的选择性的影响的图。
图8B示出了说明温度对去除EUV抗蚀剂的蚀刻速率的影响的条状图。
图9示出了说明压强对去除光图案化含金属EUV抗蚀剂的暴露以及未暴露区域的蚀刻选择性的影响的图。
图10A示出了说明压强对去除EUV抗蚀剂的选择性的影响的图。
图10B示出了说明压强对去除EUV抗蚀剂的蚀刻速率的影响的条状图。
图11A-11E示出了说明HCl作为蚀刻气体用于不同压强和温度的性能的图。
图12示出了说明清扫时处理室内溴含量随时间推移变化的图。
图13描绘了根据一些实施方案用于维持适于执行干式室清洁的低压环境的示例性处理站的示意图。
图14描绘了示例性多站处理工具的示意图,该多站处理工具适合实施本文所述的多种显影、干式清洁、再加工、去残渣与平滑化操作。
图15示出了用于实施本文所述的某些实施方案及操作的示例性感应耦合等离子体装置的横截面示意图。
图16描绘了半导体处理群集工具架构,该半导体处理群集工具架构具有与真空转移模块对接的真空集成沉积和图案化模块,其适合用于实施本文所述的处理。
具体实施方式
本公开总体上涉及半导体处理的领域。在特定方面中,本公开涉及在EUV图案化和EUV图案化膜显影的背景下处理EUV光致抗蚀剂(例如,含EUV-敏感性金属和/或金属氧化物的光致抗蚀剂膜)以形成图案化掩模的处理和装置。
在本文中详细地参照本公开的特定实施方案。这些特定实施方案的示例在附图中说明。虽然将结合这些特定实施方案来描述本公开,但应理解的是,这并非意在使本公开受限于这些特定实施方案。相反,其意指可涵盖可包括在本公开的精神和范围内的变更、修正和等同方案。在下列描述中,许多具体细节被阐述以便提供对本公开的透彻理解。本公开可在不具有这些具体细节中的一些或全部的情况下实施。在其他情况下,并未详细描述公知的处理操作以免不必要地模糊本公开。
引言
在半导体处理中,薄膜的图案化经常是半导体加工中的重要步骤。图案化涉及光刻术。在常规的光刻术(例如,193nm光刻术)中,通过以下方式印出图案:将光子从光子源发射至掩模上并将图案印在感光光致抗蚀剂上,从而在该光致抗蚀剂中产生化学反应,其使得在显影后移除光致抗蚀剂的某些部分以形成图案。
先进技术节点(如国际半导体技术发展蓝图(International TechnologyRoadmap for Semiconductors)所定义的)包括22nm、16nm和以上节点。例如,在16nm节点中,镶嵌结构中的典型通孔或线路的宽度通常不大于约30nm。将先进半导体集成电路(IC)及其他设备上的特征进行缩放驱使光刻术改善分辨率。
比起常规的光刻方法所能实现的,极紫外(EUV)光刻术可通过移动至较小的成像源波长来扩展光刻技术。可将位于约10-20nm、或11-14nm波长(例如,13.5nm波长)的EUV光源用于前缘光刻工具,其也称为扫描器。EUV辐射被各种固体和流体材料(包括石英和水蒸气)强烈吸收,因此在真空中操作。
EUV光刻术使用经图案化的EUV抗蚀剂,以形成在蚀刻下伏层中所使用的掩模。EUV抗蚀剂可以是通过基于液体的旋涂技术所制造的基于聚合物的化学放大抗蚀剂(CAR)。CAR的替代品是可直接光图案化的含金属氧化物膜,例如可从Inpria,Corvallis,OR得到的那些;以及例如在美国专利公布US 2017/0102612、US 2016/021660和US 2016/0116839中描述的那些,至少它们对于可光图案化的含金属氧化物膜的公开内容通过引用并入本文中。这种膜可通过旋涂技术或干式气相沉积进行制造。含金属氧化物膜可通过在真空环境中的EUV暴露而直接进行图案化(即,不使用单独的光致抗蚀剂),以提供低于30nm(sub-30nm)的图案化分辨率,例如在2018年6月12日授权的且名称为“EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS”的美国专利9,996,004中,和/或2019年5月9日所申请的且名称为“METHODS FOR MAKING EUV PATTERNABLE HARD MASKS”的申请PCT/US19/31618中所描述的那些,其公开内容(其至少涉及可直接光图案化的金属氧化物膜的组成、沉积与图案化以形成EUV光致抗蚀剂掩模)通过引用并入本文中。一般而言,图案化涉及利用EUV辐射来使EUV抗蚀剂暴露以在抗蚀剂中形成光学图案,随后进行显影以根据该光学图案而移除光致抗蚀剂的一部分,以形成掩模。
还应理解,虽然本公开涉及以EUV光刻术作为示例的光刻图案化技术及材料,然而其也可应用于其他的下一代光刻技术。除了在当前使用和研发中包括标准13.5nm的EUV波长的EUV之外,与这种光刻术最相关的辐射源为DUV(深UV),其一般是指使用248nm或193nm的准分子激光源;X光,其形式上在X光范围的较低能量范围处包括EUV;以及电子束,其可涵盖广泛的能量范围。这些特定方法可取决于在半导体衬底及最终半导体设备中所使用的特定材料和应用。因此,在本申请中所描述的方法仅是可在本技术中所使用的示例性方法和材料。
直接可光图案化的EUV抗蚀剂可由在有机成分内混合的金属和/或金属氧化物所构成、或者包含在有机成分内混合的金属和/或金属氧化物。金属/金属氧化物是非常具有前景的,因为它们可增强EUV光子的吸收率并且产生二次电子、和/或显示对于下伏膜堆叠件和设备层的增强蚀刻选择性。
当制造半导体设备时,对制造处理而言精确且可重复是重要的。不幸的是,当半导体制造反应室随时间推移处理多个衬底,反应室内的处理条件和化学物质发生变化。在含金属EUV抗蚀剂膜沉积并施加于半导体衬底上期间,例如,如本文所述的干式沉积期间,室表面上可能会有一些非预期的含金属EUV抗蚀剂材料沉积。在处理室中执行若干处理操作后,室表面上非预期的含金属EUV抗蚀剂材料的形成可能会达到使EUV抗蚀剂材料更容易剥落和剥离的程度。在一些情况中,源自处理室内表面上的EUV抗蚀剂材料的颗粒和膜杂质可能会在处理期间掉落在衬底表面上。例如,颗粒和膜杂质可能源自室内壁、顶板、喷头、衬底支撑件、升降销、气体管线、喷嘴等。从处理室内表面剥落或剥离的这些颗粒和膜杂质可能会导致半导体衬底中的污染和缺陷问题。该污染不仅导致半导体衬底本身的污染,还可能导致下游处理工具(例如图案化(扫描仪)和显影工具)的污染。
通常,可通过手动打开处理室并且使用一或更多种清洁剂机械擦洗/擦拭内表面来去除处理室内表面上的非预期沉积物。在一些情况中,这些方法可能涉及部件的更换,且可能需要多于一天的时间来进行室维护。这些方法可能是耗时、昂贵且无效率的。
处理室中EUV抗蚀剂的干式清洁
本公开内容提供从处理室的内表面干式清洁EUV抗蚀剂材料。干式清洁可使用含卤化物的化学物质来进行。在一些实施方案中,含卤化物的化学物质可包括卤化氢,例如HCl、HBr或HI。例如,卤化氢可以是HCl。在一些实施方案中,含卤化物的化学物质可以包括氢气和卤素气体。干式清洁可以是非等离子体的基于热的清洁操作。例如,室壁温度可介于约20℃与约140℃之间。干式清洁之后可执行脱卤步骤以从处理室去除过量卤化物和/或调节步骤以保护处理室的内表面。虽然干式清洁在用于EUV干式沉积的处理室中特别有用,但干式清洁可在用于斜面边缘和/或背侧清洁、烘烤、显影或蚀刻操作中的任何处理室中执行。目前的原位干式清洁降低了清洁操作之间的频率,改善了颗粒表现(particleperformance),并且实现更高的工具可利用性。
图1呈现了根据一些实施方案,当将光致抗蚀剂进行沉积与显影时执行干式室清洁的示例性方法的流程图。处理100的操作可在不同顺序和/或利用不同、更少、或附加的操作执行。该处理100的方面可参照图2和图3A-3D进行描述。该处理100的一或多个操作可使用图13-16中的任一者中所描述的装置而执行。在一些实施方案中,该处理100的操作可至少部分地根据存储在一或多个非瞬态计算机可读介质中的软件而实施。
在该处理100的框102处,沉积光致抗蚀剂层。这可以是干式沉积处理(例如,气相沉积处理)、或湿式处理(例如,旋涂沉积处理)。
该光致抗蚀剂可以是含金属EUV抗蚀剂。通过任何合适技术,包括湿式(例如,旋涂)或干式(例如,CVD)沉积技术,可在半导体衬底上沉积含EUV-敏感金属或金属氧化物膜。举例来说,已将所述处理展示用于基于有机锡氧化物的EUV光致抗蚀剂组合物,其中有机锡氧化物可应用于商用可旋涂配方(例如,可从Inpria Corp,Corvallis,OR获得)、以及使用干式真空沉积技术所应用的配方两者,其在下文进一步描述。
半导体衬底可包括适合用于光刻处理(尤其是适合用于集成电路与其他半导体设备的制造)的任何材料结构。在一些实施方案中,半导体衬底为硅晶片。半导体衬底可以是上面已形成特征(“下伏特征”)的硅晶片,其具有不规则的表面形貌。如本文中所提及的,“表面”是待将本公开的膜沉积于其上的表面、或者在处理期间待暴露于EUV的表面。下伏特征可包括在实施本公开的方法之前,已在处理期间将其中的材料移除(例如,通过蚀刻)的区域、或者已在其中(例如,通过沉积)添加材料的区域。这种事先处理可包括本公开的方法、或者反复处理中的其他处理方法,通过所述反复处理在衬底上形成两个或更多特征层。
可以在半导体衬底上沉积EUV-敏感薄膜,这种膜可作为用于后续EUV光刻术和处理的抗蚀剂。这种EUV-敏感薄膜所包括的材料在暴露于EUV后会产生变化,例如在富含低密度M-OH材料中损失与金属原子键合的大型侧链取代基(pendant substituent),从而使它们交联(crosslink)成较致密的M-O-M键合的金属氧化物材料。经由EUV图案化,相对于未暴露的区域产生改变物理或化学性质的膜区域。这些性质在后续处理中是可利用的,例如用于溶解未暴露或经暴露区域、或用于在经暴露或未暴露区域上选择性沉积材料。在一些实施方案中,在执行这种后续处理的条件下,未暴露的膜具有比经暴露的膜更疏水的表面。举例来说,可通过利用膜的化学组成、密度、以及交联的差异来执行材料的移除。移除可通过湿式处理或干式处理进行,如下文进一步描述的。
在多种实施方案中,该薄膜为有机金属材料,例如包括锡氧化物、或者其他金属氧化物材料/基团(moiety)的有机锡材料。有机金属化合物可在有机金属前体与对应反应物(counter-reactant)的气相反应中制备。在多种实施方案中,该有机金属化合物通过以下方法形成:将具有大型烷基或氟烷基的有机金属前体与对应反应物的特定组合混合,并在气相中将混合物进行聚合,以产生在半导体衬底上沉积的低密度EUV-敏感材料。
在多种实施方案中,有机金属前体包括在各金属原子上的可在气相反应下保留的至少一个烷基,而配位至该金属原子的其他配位基或离子可由对应反应物所取代。有机金属前体包括具有以下化学式的那些:
MaRbLc
(化学式1)
其中:M为具有高EUV辐射吸收横截面的金属;R为烷基,例如CnH2n+1,优选其中n≥2;L是与对应反应物具有反应性的配位基、离子、或其他基团;a≥l;b≥l;并且c≥1。
在多种实施方案中,M具有等于或大于1×107cm2/mol的原子吸收横截面。举例来说,M可选自于由锡、铪、碲、铋、铟、锑、锗、及其组合所构成的群组。在一些实施方案中,M为锡。R可为氟化的,例如具有化学式CnFxH(2n+1)。在多种实施方案中,R具有至少一个β-氢或β-氟。举例来说,R可以选自于由乙基、异丙基、正丙基、叔丁基、异丁基、正丁基、仲丁基、正戊基、异戊基、叔戊基、仲戊基、及其混合物所构成的群组。L可为容易被对应反应物所取代而产生M-OH基团的任何基团,例如选自于由胺(例如,二烷基氨基、一烷基氨基)、烷氧基、羧酸盐、卤素、及其混合物所构成的群组的基团。
有机金属前体可以是各种候选金属-有机前体中的任何一者。举例来说,在M为锡的情况下,这种前体包括叔丁基三(二甲基氨基)锡、异丁基三(二甲基氨基)锡、正丁基三(二甲基氨基)锡、仲丁基三(二甲基氨基)锡、异丙基(三)二甲基氨基锡、正丙基三(二甲基氨基)锡、乙基三(二甲基氨基)锡、以及类似的烷基(三)(叔丁氧基)锡化合物,例如叔丁基三(叔丁氧基)锡。在一些实施方案中,该有机金属前体是部分氟化的。
对应反应物具有取代反应性基团、配位基、或离子(例如,上方化学式1中的L)的能力,以经由化学键合将至少两个金属原子进行链接。对应反应物可包括水、过氧化物(例如,过氧化氢)、二或多元醇、氟化的二或多元醇、氟化的乙二醇、以及其他羟基团的源。在多种实施方案中,通过在邻近的金属原子之间形成氧桥而使对应反应物与有机金属前体反应。
其他可能的对应反应物包括可经由硫桥以将金属原子进行交联的硫化氢和二硫化氢。在其他情况中,例如,含Te前体可与水一起或代替水使用作为对应反应物以形成Te-Sn-Te-Sn交联。与Sn(NMe2)x型前体一起使用的合适碲共反应物为RTeH或RTeD(D=氘)以及R2Te前体,其中R=烷基,尤其为叔丁基或异丙基,例如叔丁基TeD。为了与M(OR)x型前体一起使用,例如,可使用双(三甲基甲硅烷基)Te。
除了有机金属前体和对应反应物之外,该薄膜还可以包括任选的材料,以对膜的化学或物理性质进行修改,例如用于修改膜对于EUV的敏感度或者提高抗蚀刻性。在半导体衬底上进行沉积之前或在沉积薄膜之后(或者两者),可例如在气相成形期间通过掺杂以引入这种任选材料。在一些实施方案中,可引入温和的远程H2等离子体,以将一些Sn-L键取代成Sn-H,Sn-H可提高抗蚀剂在EUV下的反应性。
在多种实施方案中,使用本领域中所公知的那些气相沉积装置与处理以在半导体衬底上制造并沉积可EUV图案化膜。在这种处理中,聚合的有机金属材料在气相中形成、或者在半导体衬底的表面上原位形成。合适的处理例如包括化学气相沉积(CVD)、原子层沉积(ALD)、以及伴随CVD部分的ALD,例如不连续的类ALD处理,在该类ALD处理中金属前体与对应反应物在时间或空间中是独立的。
通常,方法包括将有机金属前体的蒸气流与对应反应物的蒸气流混合,以形成经聚合的有机金属材料;以及将该有机金属材料沉积在半导体衬底的表面上。在一些实施方案中,在蒸气流中包括多于一种的有机金属前体。在一些实施方案中,在蒸气流中包括多于一种的对应反应物。本领域普通技术人员应理解,在基本连续的处理中,该处理的混合和沉积方面可同时进行。
在示例性的连续CVD处理中,将位于分离入口路径中的有机金属前体和对应反应物的源的两种或更多种气流导引至CVD装置的沉积室,在所述沉积室中它们在气相中混合并进行反应(例如,经由形成金属-氧-金属键)以形成附聚的(agglomerated)聚合材料。所述气流可例如使用分离的注入口或者双重气室(dual-plenum)喷头进行导入。所述装置被配置成使得在室中混合有机金属前体与对应反应物的气流,以使得有机金属前体能与对应反应物进行反应以形成经聚合的有机金属材料。不受限于本技术的机制、功能、或用途,据信由于金属原子与对应反应物进行交联,来自于这种气相反应的产物在分子量中变得更重,并且接着被冷凝或以其他方式沉积在半导体衬底上。在多种实施方案中,大型烷基团的立体障碍防止形成致密堆积的网络,并且产生平滑、无定型、且低密度的膜。
CVD处理通常是在例如从10毫托至10托的减压下进行。在一些实施方案中,该处理在0.5托至2托下进行。在一些实施方案中,半导体衬底的温度处于或低于反应物流的温度。举例来说,衬底温度可从0℃至250℃、或者从室温(例如,23℃)至150℃。在各种处理中,在衬底上沉积经聚合的有机金属材料是在与表面温度成反比的速率下发生。
在半导体衬底的表面上所形成的可EUV图案化膜的厚度可根据表面特性、所使用的材料、以及处理条件而进行改变。在多种实施方案中,膜厚度可在0.5nm至100nm的范围内,并且可以有充分厚度以在EUV图案化的条件下吸收大部分的EUV光。该可EUV图案化膜可能能够容纳等于或大于30%的吸收率,从而具有显著减少的朝向该可EUV图案化膜的底部可用的EUV光子。与经EUV-暴露的膜的底部相比,较高的EUV吸收率在该经EUV-暴露的膜的顶部附近造成较多的交联与致密化。虽然利用具有较高的整体吸收率的可EUV图案化膜可有效率地使用EUV光子,但应理解,在一些情况下该可EUV图案化膜可少于约30%。作为对比,大部分的其他抗蚀剂膜的最大整体吸收率小于30%(例如,10%或更少、或者5%或更少),以使位于抗蚀剂膜的底部处的抗蚀剂材料充分暴露。在一些实施方案中,膜厚度为5nm至40nm、或10nm至20nm。不受限于本公开的机制、功能、或用途,据信不同于本领域中的湿式旋涂处理,本公开的处理对于衬底的表面附着性质具有较少的限制,因此可应用于各式各样的衬底。此外,如上所述,所沉积的膜可紧密适形于表面特征,以在衬底(例如,具有下伏特征的衬底)上的掩模形成方面提供优势,而不会以“填入”、或以其他方式使这种特征平坦化。
除了在处理100的框102处将含金属EUV抗蚀剂膜沉积在半导体衬底上外,含金属EUV抗蚀剂材料还可以在处理室的内表面上形成。内表面可以包括处理室的室壁、底板和顶板。其他内表面可以包括喷头、喷嘴以及衬底支撑表面。含金属EUV抗蚀剂材料可因干式沉积处理(例如CVD或ALD处理)而形成。形成在内表面上的含金属EUV抗蚀剂材料的厚度可能因在处理室中执行额外处理(例如,沉积)操作而随时间推移增加。含金属EUV抗蚀剂材料易于从处理室的内表面剥落,掉落颗粒或剥离,从而在处理期间污染随后的半导体衬底。
在处理100的框150处,本公开内容的干式室清洁操作可以在处理100的框102处的将含金属EUV抗蚀剂薄膜沉积在半导体衬底上之后执行。这使得能在同一处理室中执行沉积和干式清洁。然而,应理解,干式室清洁可以在与实施方案中的沉积操作不同的处理室中执行。实际上,干式室清洁可在斜面和/或背侧清洁、烘烤、显影或蚀刻操作之后执行。
被去除的干式沉积EUV光致抗蚀剂膜一般由Sn、O以及C组成,但相同的清洁方法可扩展至其他金属氧化物抗蚀剂以及材料的膜。此外,该方法也可用于膜剥离以及PR再加工(rework)。
在处理100的框104处,执行任选的清洁处理以清洁半导体衬底的背侧和/或斜面边缘。背侧和/或斜面边缘的清洁可非选择地蚀刻EUV抗蚀剂膜,以均等地将衬底背侧和斜面边缘上具有各种氧化或交联程度的膜移除。在通过湿式沉积处理或干式沉积处理以施加可EUV图案化膜的期间,衬底斜面边缘和/或背侧上可能会存在一些非预期的抗蚀剂材料沉积。所述非预期沉积可能导致不期望的微粒,所述微粒随后移动至半导体衬底的顶表面并成为微粒缺陷。此外,这种斜面边缘及背侧的沉积可能造成下游处理的问题,包括图案化(扫描仪)和显影工具的污染。传统上,通过湿式清洁技术来移除这种斜面边缘及背侧的沉积。对于旋转涂布的光致抗蚀剂材料,这种处理被称作边缘珠移除(EBR),并且当衬底正在旋转时通过从斜面边缘的上方和下方导入溶剂流来执行。相同的处理适用于通过气相沉积技术所沉积的可溶性的基于有机锡氧化物的抗蚀剂。
衬底斜面边缘和/或背侧的清洁还可以是干式清洁处理。在一些实施方案中,该干式清洁处理涉及具有下列气体中一者或多者的蒸气和/或等离子体:HBr、HCl、BCl3、SOCl2、Cl2、BBr3、H2、O2、PCl3、CH4、甲醇、氨、甲酸、NF3、HF。在一些实施方案中,该干式清洁处理可使用与本文所述的干式显影处理相同的化学物质。举例来说,斜面边缘和背侧的清洁可使用卤化氢显影化学物质。对于背侧和斜面边缘的清洁处理,必须将蒸气和/或等离子体限制在衬底的特定区域,以确保仅移除背侧和斜边,而不具有衬底前侧上的任何膜劣化。
可以将处理条件优化以用于斜面边缘及背侧的清洁。在一些实施方案中,较高温度、较高压强、和/或较高反应物流量可造成蚀刻速率增加。适用于干式斜面边缘和背侧清洁的处理条件可以是:100-500sccm的反应物流率(例如,500sccm的HCl、HBr、HI、或H2和Cl2或Br2、BCl3或H2)、-10℃至120℃的温度(例如,20℃)、20-500毫托的压强(例如,300毫托)、高频(例如,13.56MHz)下0至500W的等离子体功率、以及持续约10至20秒的时间,具体取决于光致抗蚀剂膜和组成以及性质。应当理解,尽管这些条件适合用于一些处理反应器,例如可从Lam Research Corporation,Fremont,CA取得的Kiyo蚀刻工具,但可根据处理反应器的性能而使用各种处理条件。
例如当原来的光致抗蚀剂受损或者具有其他缺陷时,可将干式清洁操作替代性地延伸以完全移除光致抗蚀剂或者将光致抗蚀剂“再加工”,其中将所施加的EUV抗蚀剂移除,并且准备半导体衬底以再次施加光致抗蚀剂。光致抗蚀剂的再加工应当在不损害下伏半导体衬底的情况下完成,因此应避免进行基于氧的蚀刻。替代地,可使用本文中所述的含卤化物化学物质的变体。应理解,可在处理100期间的任何阶段应用光致抗蚀剂的再加工操作。因此,光致抗蚀剂的再加工操作可在沉积光致抗蚀剂后、在清洁斜面边缘及背侧后、在PAB处理后、在EUV暴露后、在PEB处理后、或在显影后应用。在一些实施方案中,可执行光致抗蚀剂的再加工,以用于光致抗蚀剂的经暴露和未暴露区域的非选择性移除,但对下伏层具有选择性。
在一些实施方案中,光致抗蚀剂的再加工处理涉及具有下列气体中的一或多者的蒸气和/或等离子体:HBr、HCl、BCl3、Cl2、BBr3、H2、PCl3、CH4、甲醇、氨、甲酸、NF3、HF。在一些实施方案中,光致抗蚀剂的再加工处理可使用与本文所述的干式显影处理相同的化学物质。举例来说,光致抗蚀剂的再加工可使用卤化氢显影化学物质。
可将处理条件优化以用于光致抗蚀剂的再加工。在一些实施方案中,较高温度、较高压强、和/或较高反应物流量可导致蚀刻速率增大。根据光致抗蚀剂膜和组成与性质,适用于光致抗蚀剂的再加工的处理条件可以是:100-500sccm的反应物流率(例如,500sccm的HCl、HBr、BCl3或H2以及Cl2或Br2)、-10至120℃的温度(例如,20℃)、20-500毫托的压强(例如,300毫托)、高频(例如,13.56MHz)下300至800W的等离子体功率(例如,500W)、0至200Vb的晶片偏压(在较硬的下伏衬底材料的情况下可使用较高偏压)以及持续足够将EUV抗蚀剂完全移除的约20秒至3分钟的时间。应当理解,尽管这些条件适用于一些处理反应器,例如可从Lam Research Corporation,Fremont,CA购得的Kiyo蚀刻工具,但可根据处理反应器的性能而使用各种处理条件。
在处理100的框150处,本公开的干式室清洁操作可在斜面边缘和/或背侧清洁操作之后执行。这使得能在同一处理室中执行斜面和/或背侧清洁以及干式室清洁。然而,应理解,在一些实施方案中,干式室清洁可以在与斜面边缘和/或背侧清洁操作不同的处理室中执行。
在处理100的框106处,在进行可EUV图案化膜的沉积之后以及在EUV暴露之前,执行任选的施加后烘烤(PAB)。该PAB处理可涉及热处理、化学暴露与湿气的组合,以提高可EUV图案化膜的EUV敏感度,从而减少用于将可EUV图案化膜中的图案进行显影的EUV剂量。可将PAB处理的温度进行调节和优化,以用于提高可EUV图案化膜的敏感度。举例来说,处理温度可介于约90℃与约250℃之间、或介于约100℃与约200℃之间。在一些实施方案中,PAB处理可在介于大气压与真空之间的压强、且在约1至15分钟(例如为约2分钟)的处理持续时间下进行。在一些实施方案中,PAB处理在介于约100℃与200℃之间的温度下执行约1分钟至2分钟。
在处理100的框150处,本公开的干式室清洁操作可以在PAB处理之后执行。这使得能在同一处理室中执行烘烤以及干式室清洁。然而,应理解的是,在一些实施方案中,干式室清洁可以在与PAB处理操作不同的处理室中执行。
在处理100的框108处,将含金属的EUV抗蚀剂膜暴露于EUV辐射以产生图案。一般而言,所述EUV暴露使含金属EUV抗蚀剂膜中的化学组成及交联产生变化,从而形成可在后续显影中利用的蚀刻选择性的对比。
接着,通常在相对高真空下,可通过将含金属EUV抗蚀剂膜的区域暴露于EUV光以将该膜图案化。在本文中有用的EUV设备和成像方法包括本领域中公知的方法。尤其是,如上所述,经由EUV图案化形成膜的已相对于未暴露的区域改变物理或化学性质的经暴露区域。举例来说,在经暴露区域中,可例如经由β-氢消除而发生金属-碳键的裂解,留下具反应性且可使用的金属氢化物官能度(functionality),该金属氢化物官能度在后续的暴露后烘烤(PEB)步骤期间可经由金属-氧桥而转化成氢氧化物以及经交联的金属氧化物基团。该处理可用于形成作为负调性抗蚀剂(negative tone resist)显影所用的化学对比。一般来说,烷基中较大量的β-氢会形成较敏感的膜。在暴露后,可将含金属的EUV抗蚀剂膜进行烘烤,以形成金属氧化物膜的附加交联。在后续的处理中可利用经暴露与未暴露区域之间的性质差异,以诸如用于溶解未暴露区域、或用于在经暴露区域上沉积材料。举例来说,可使用干式方法对图案显影,以形成含金属氧化物的掩模。
尤其是,在多种实施方案中,特别是当该暴露是在真空下使用EUV而执行时,在成像层的经暴露区域中,存在于表面上的烃基封端的锡氧化物会转化成氢封端的锡氧化物。然而,将经暴露的成像层从真空移动进入空气、或者受控制引入氧、臭氧、H2O2、或水可导致表面的Sn-H氧化成Sn-OH。经暴露与未暴露区域之间的性质差异可在后续的处理中利用,例如通过将一或多种反应物与经照射区域、未照射区域、或两者进行反应,以选择性地将材料添加至该成像层或者从该成像层移除材料。
不受限于本技术的机制、功能、或应用,例如剂量从10mJ/cm2至100mJ/cm2的EUV暴露会导致Sn-C键的裂解,从而造成烷基取代基的减少、缓解立体障碍、以及使得低密度膜崩解。除此之外,在β-氢消除反应中所产生的反应性金属-H键可与邻近的活性基团(例如,膜中的羟基)反应,造成进一步的交联与致密化,并在经暴露与未暴露区域之间形成化学对比。
在将含金属的EUV抗蚀剂膜暴露于EUV光之后,提供经光图案化的含金属EUV抗蚀剂。该经光图案化的含金属EUV抗蚀剂包括经EUV暴露和未暴露区域。
在处理100的框110处,执行任选的暴露后烘烤(PEB)以进一步提高该经光图案化的含金属EUV抗蚀剂的蚀刻选择性中的对比。可在各种化学物质的存在下对该经光图案化的含金属EUV抗蚀剂进行热处理,以促进该经光图案化的含金属EUV抗蚀剂的该经EUV暴露区域的交联,或者仅仅在环境空气中于加热板上进行烘烤,例如在150℃与250℃之间进行介于1至5分钟(例如,以190℃进行2分钟)。
在多种实施方案中,烘烤策略涉及谨慎地控制烘烤环境、反应性气体的引入、和/或谨慎地控制烘烤温度的升降速率。有用的反应性气体的示例包括例如空气、H2O、H2O2蒸气、CO2、CO、O2、O3、CH4、CH3OH、N2、H2、NH3、N2O、NO、醇、乙酰丙酮、甲酸、Ar、He、或其混合物。PEB处理被设计以(1)驱使将在EUV暴露期间所产生的有机片段完全蒸发、以及(2)将EUV暴露所产生的任何Sn-H、Sn-Sn、或Sn自由基物质氧化成金属氢氧化物、以及(3)促进邻近Sn-OH基团之间的交联以形成较紧密交联的类SnO2网络。烘烤温度被谨慎地选择以实现最佳的EUV光刻性能。过低的PEB温度将导致不充分的交联,并因此在给定的剂量下具有较低的用于显影的化学对比。过高的PEB温度也会具有不利的影响,包括在未暴露区域(在此示例中,通过图案化膜的显影而移除以形成掩模的区域)中的剧烈氧化与膜收缩、以及在该经光图案化的含金属EUV抗蚀剂与下伏层之间的接口处的非期望交互扩散(interdiffusion),这两者均会导致化学对比的减损、以及由于不可溶的残渣而导致缺陷密度的增加。PEB处理温度可介于约100℃与约300℃之间、介于约170℃与约290℃之间、或约220℃与约250℃之间。在一些实施方案中,PEB处理可在介于大气压与真空之间的压强、以及约1至15分钟(例如,约2分钟)的处理持续时间下进行。在一些实施方案中,可重复PEB热处理以进一步增加蚀刻选择性。
在处理100的框150处,本公开的干式室清洁操作可以在PEB处理之后执行。这使得烘烤和干式室清洁能在同一处理室中执行。然而,应理解,在一些实施方案中,干式室清洁可以在与PEB处理操作不同的处理室中执行。
在处理100的框112处,将该经光图案化的含金属抗蚀剂进行显影,以形成抗蚀剂掩模。在多种实施方案中,移除经暴露区域(正调性)、或者移除未暴露区域(负调性)。在一些实施方案中,显影可包括在该经光图案化的含金属抗蚀剂的经暴露或未暴露区域上进行选择性沉积,并接着进行蚀刻操作。在多种实施方案中,这些处理可以是干式处理或湿式处理。显影处理的示例涉及使含有机锡氧化物的EUV敏感性光致抗蚀剂薄膜(例如,10-30nm厚,例如20nm)经历EUV暴露剂量及暴露后烘烤、并接着进行显影。所述光致抗蚀剂膜可例如基于有机锡前体(例如,异丙基(三)(二甲基氨基)锡)以及水蒸气的气相反应而沉积、或者可以是在有机基质中包括锡团簇(tin cluster)的旋涂膜。经光图案化的含金属抗蚀剂通过暴露于显影化学物质来进行显影。在一些实施方案中,显影化学物质包括含卤化物的化学物质。
在处理100的框150处,本公开的干式室清洁操作可以在显影之后执行。这使得显影和干式室清洁能在同一处理室中进行。然而,应理解,在一些实施方案中,干式室清洁可以在与显影操作不同的处理室中执行。此外,应理解,在一些实施方案中,干式室清洁可以在与蚀刻操作相同或不同的处理室中执行。可以应用蚀刻操作以蚀刻半导体衬底的衬底底层。
图2呈现了根据一些实施方案执行干式室清洁的示例性方法的流程图。干式室清洁可以在沉积、斜面和/或背侧清洁、烘烤、显影或蚀刻操作之后执行。在一些实施方案中,干式室清洁可以在光致抗蚀剂材料沉积之后且在与光致抗蚀剂材料沉积相同的处理室中执行。处理200的方面可以参考图3A-3D进行描述。处理200的一或更多操作可以使用图13-16中的任一者中所述的装置来执行。在一些实施方案中,处理200的操作可至少部分地根据存储在一或更多非瞬时计算机可读介质中的软件来实施。
在处理200的框202处,在处理室中提供半导体衬底,在半导体衬底的衬底层上有干式沉积的含金属抗蚀剂膜。这种干式沉积的含金属抗蚀剂膜的组成和沉积可描述于例如2019年5月9日提交的申请PCT/US19/1618中,对于可用于本公开的这些方法和材料的公开内容通过引用并入本文。方法包括在气相中产生聚合有机金属材料并且沉积于半导体衬底上的那些。尤其是,用于在半导体衬底的表面上形成EUV可图案化薄膜的方法包括:混合有机金属前体的蒸气流与对应反应物的蒸气流以形成聚合有机金属材料;以及将类有机金属聚合物材料沉积至半导体衬底的表面上。在一些实施方案中,在蒸气流中包含超过一种的有机金属前体。在一些实施方案中,在蒸气流中包含超过一种的对应反应物。在一些实施方案中,混合和沉积操作是在连续CVD处理、ALD处理或有CVD部分的ALD中进行,例如不连续的类ALD处理,其中金属前体与对应反应物在时间或空间上为分离。在一些实施方案中,干式沉积的含金属抗蚀剂膜是含金属氧化物的EUV抗蚀剂膜。例如,含金属抗蚀剂膜中的元素选自由锡、铪、碲、铋、铟、锑、碘、锗及其组合所组成的群组。在一些实施方案中,含金属抗蚀剂膜包括有机金属氧化物,例如有机锡氧化物。
在一些实施方案中,其中提供有半导体衬底的处理室可以是干式沉积室。在其他实施方案中,其中提供有半导体衬底的处理室可以是斜面边缘和/或背侧清洁室、PAB处理室、PEB处理室、显影室或蚀刻室。任何前述处理室均会随着时间而在内表面上积聚含金属抗蚀剂材料。随着越来越多半导体衬底在处理室进行处理,非预期的含金属抗蚀剂材料可能会在内表面上生长。需定期清洁以去除含金属抗蚀剂材料的非预期沉积物。清洁是“原位”进行的,其中干式室清洁是在形成非预期的含金属抗蚀剂材料的同一处理室中执行。
图3A示出了形成于处理室的室壁304上的含金属EUV抗蚀剂材料302的横截面示意图。含金属EUV抗蚀剂材料302可以包括金属氧化物306的颗粒或团簇。金属氧化物306的颗粒或团簇一般是难以去除的。在一些实施方案中,含金属EUV抗蚀剂材料302通过气相沉积方法(例如CVD或ALD)形成。随着时间推移,含金属EUV抗蚀剂材料可能在处理室的室壁304上积聚厚度。含金属EUV抗蚀剂材料302可以是有机锡氧化物。金属氧化物306的颗粒或团簇可以包括氧化锡。
返回图2,在处理200的框204处,将蚀刻气体引入处理室中,其中在处理室的一或更多个表面上形成第一厚度的干式沉积的含金属抗蚀剂材料,且其中该蚀刻气体去除第一厚度的干式沉积的含金属抗蚀剂材料。在一些实施方案中,蚀刻气体包括含卤化物的化学物质。在一些实施方案中,蚀刻气体包括卤化氢、氢以及卤素气体、三氯化硼或其组合。例如,蚀刻气体可以是HCl。在一些实施方案中,蚀刻气体可以在不激励等离子体的情况下去除干式沉积的含金属抗蚀剂材料。在一些实施方案中,蚀刻气体可以通过将处理室加热至升高的温度来去除干式沉积的含金属抗蚀剂材料。干式沉积的含金属抗蚀剂材料的第一厚度可以等于或大于约2nm、等于或大于约3nm、等于或大于约5nm、或等于或大于约10nm。第一厚度可以对应于在处理室的一或更多个表面上所形成的膜材料的平均厚度。
在引入蚀刻气体之前,处理室可准备用于干式室清洁的所需条件。处理室的准备可以实现某些压强条件、散颗粒或膜杂质的含量、湿气含量、温度条件、或保护处理室中的表面或部件(例如,衬底支撑件)不受蚀刻气体的影响。
在一些实施方案中,准备处理室可包括清扫和/或抽排处理室以去除处理室中不希望的颗粒。真空管线或清扫管线可耦合至处理室。真空管线可以包括真空泵系统,其可包括一或二阶机械干式泵和/或涡轮分子泵。清扫气体可流入处理室中,以促进去除处理室中不希望的颗粒。这些不希望的颗粒可以包括来自含金属抗蚀剂材料的颗粒或薄片。真空泵系统可降低室压强和/或从处理室去除不希望的颗粒。真空泵系统可配置成产生处于相对较低范围内(例如,介于约6托与大气压之间)或在相对较高范围内(例如,介于约1毫托与约6托之间)的真空压强。在一些实施方案中,准备处理室可包括抽排和清扫操作的组合。
清扫金属有机前体可以用于避免不希望的副产物,并且确保在干式清洁之前充分除去金属有机CVD前体。干式清洁之前可执行充分的抽排/清扫和/或水注入,以促进完全反应。在一些实施方案中,可加热室壁及其他部件以释放出未反应的前体。
在一些实施方案中,准备处理室可以包括:增加处理室中的一或更多个表面的温度。处理室中的一或更多个表面可以至少包括处理室中的室壁。升高的温度可促进去除处理室中的湿气。不受任何理论限制,水蒸气的存在减慢了用于去除含金属抗蚀剂材料的蚀刻气体与含金属抗蚀剂材料之间的反应。另外,处理室中升高的温度促进用于去除含金属抗蚀剂材料的较高的蚀刻速率。一或更多加热器可热耦合至处理室的一或更多个表面,以将一或更多个表面加热至升高的温度。在一些实施方案中,升高的温度可以介于约20℃与约140℃之间、介于约40℃与约120℃之间、或者介于约80℃与约120℃之间。
在一些实施方案中,准备处理室可以包括:在处理室中的衬底支撑件上提供虚拟衬底。因此,可以在干式清洁之前将具有干式沉积的含金属抗蚀剂膜的半导体衬底移出处理室。这样,在去除第一厚度的干式沉积的含金属抗蚀剂材料时,较早提供于处理室中的半导体衬底不暴露于蚀刻气体。可以在衬底支撑件上提供虚拟衬底,以在干式清洁期间保护衬底支撑件(例如,静电卡盘)以使其不暴露于蚀刻气体。替代地,可通过在干式清洁期间在衬底支撑件上方提供保护盖来保护衬底支撑件。
蚀刻气体可通过喷头或耦合至处理室的独立室人口来引入。蚀刻气体可流入处理室中,以与干式沉积的含金属抗蚀剂材料反应以形成挥发性产物。不受任何理论限制,有机金属氧化物抗蚀剂材料可以具有四面体配位结构,且具有基于卤化物化学物质(例如,HBr或HCl)的蚀刻气体可使氧孤对电子质子化,以形成挥发性副产物,例如R-Sn-Br。水也是副产物。反应的速度可通过去除水并提高处理室的温度来加快。在形成挥发性产物之后,可对处理室进行抽排和清扫,以去除挥发性产物。另外,可对处理室进行抽排和清扫,以去除残留的蚀刻气体。这些残留的蚀刻气体可能导致后续半导体衬底发生不希望的蚀刻。
可以将干式清洁优化,以实现沉积在处理室中的抗蚀剂材料的低蚀刻选择性或高蚀刻速率。这样,可快速且有效地去除不希望的抗蚀剂材料。可实现低蚀刻选择性,以非选择性地去除光致抗蚀剂材料及金属氧化物材料(例如氧化锡)。可实现低蚀刻选择性,以非选择性地去除暴露的EUV抗蚀剂材料以及未暴露的EUV抗蚀剂材料。在一些实施方案中,较高温度和/或较高压强可能导致蚀刻气体的较低蚀刻选择性。在暴露于蚀刻气体期间,一或更多个表面上的含金属抗蚀剂材料可能经历升高的温度。升高的温度可以介于约20℃与约140℃之间、介于约40℃与约120℃之间、或介于约80℃与约120℃之间。在暴露于蚀刻气体期间,处理室中的压强可能是高的。在一些实施方案中,室压强介于约0.01托与大气压之间、介于约0.1托与100托之间、或介于约0.1托与约6托之间。在一些实施方案中,在暴露于蚀刻气体期间,室压强在高压与低压之间循环。蚀刻气体流率也可以被调整以控制蚀刻选择性。在一些实施方案中,蚀刻气体流率介于约50sccm与约10000sccm之间、介于约100sccm与约10000sccm之间、或介于约100sccm与约2000sccm之间。
蚀刻气体用于从内部室表面去除抗蚀剂材料,其中可通过调整处理室的一或更多个表面的温度来调控蚀刻速率。可以高达1nm/s的蚀刻速率去除抗蚀剂材料。较高温度和/或压强可能会增加蚀刻速率。虽然抗蚀剂材料可使用多种温度下的蒸气(例如,温度大于10℃的HCl或HBr,或温度大于80℃的BCl3)来去除,但可使用等离子体以进一步加速或提高反应性。因此,在一些实施方案中,蚀刻气体可以通过加热或通过远程等离子体源来活化。这可以进一步加速蚀刻或增强反应性。
在用于干式清洁的非等离子体热处理中,蚀刻气体可以是卤化氢、氢气及卤素气体、三氯化硼或其混合物。在一些实施方案中,卤化氢包括HCl、HBr或HI。例如,卤化氢可为HCl。在一些实施方案中,卤素气体为Cl2、Br2或I2。在一些实施方案中,蚀刻气体在有或没有惰性/载气如He、Ne、Ar、Xe或N2下流动。尽管第一厚度的含金属抗蚀剂材料是在不激励等离子体下通过蚀刻气体去除,但残留的碳、污染物或其他剩余材料可以通过暴露于等离子体来去除。在一些实施方案中,在引入蚀刻气体之后,可以将一或更多个表面暴露于氧化气体中,例如氧(O2)、臭氧(O3)、二氧化碳(CO2)或一氧化碳(CO)。该一或更多个表面可以暴露于等离子体中的氧化气体的自由基和/或离子。
在一些实施方案中,干式清洁可以是基于等离子体的干式清洁。因此,干式清洁可通过直接原位等离子体或远程等离子体进行。处理室可以是等离子体产生室,或者可耦合至远离处理室处的等离子体产生室。等离子体产生室可以是感应耦合等离子体(ICP)反应器、变压器耦合等离子体(TCP)反应器或电容耦合等离子体(CCP)反应器,其采用本领域中已知的装备和技术。在基于等离子体的干式清洁中,处理室中的含金属抗蚀剂材料暴露于某些气体的自由基中。这些气体可选自由:HBr、HCl、BCl3、SOCl2、Cl2、BBr3、H2、PCl3、CH4、甲醇、氨、甲酸、NF3、HF和HI所组成的群组。非卤素化学物质可用于基于等离子体的干式清洁。基于卤素的化学物质可用于非等离子体热干式清洁。
由于能够在无需使用等离子体的情况下热清洁刚沉积的膜(未暴露或未交联),因此本文所述的方法也可以清洁处理室外的工具的下游和上游的部件(例如,从处理室到真空泵的排出管线)。更一般地说,该干式清洁方法可用于清洁被污染而具有相似金属组成(其具有带有-Cl、-Br、-F、-H、-CH4及氧化物和/或R基的挥发性产物)的其他零件和部件。
重要的是要注意,在一些实施方案中,未暴露的未交联抗蚀剂膜可根据本文所述的方法在无等离子体的情况下进行清洁并去除。暴露的膜具有慢很多的蚀刻速率,并且可利用升高的温度、压强或等离子体以进行去除和清洁。
在一些实施方案中,与卤素清洁化学物质相容的涂层可用于室壁及暴露于清洁的其他部件上,例如PTFE、阳极氧化铝、氧化钇(Y2O3)或有机聚合物涂层。在一些实施方案中,处理室可包括耦合至一或更多个表面(例如室壁)以控制温度的室部件温度控制。在一些实施方案中,处理室可包括喷头以外的进气口,其用于输送蚀刻气体。进气口可设置于处理室中具有较高浓度的含金属抗蚀剂材料的区域中。或者,进气口可定位于处理室中蚀刻气体不太可能通过喷头输送到达的区域。在一些实施方案中,进气口可定位于衬底支撑件下方、定位于处理室的壁中、和/或定位于靠近处理室的排出处。多个进气口可用于输送蚀刻气体至处理室中。这可以确保整个处理室的干式清洁。
为了防止室部件的侵蚀,可以将蚀刻气体与沉积气体/前体分开。在多种实施方案中,蚀刻气体通过与喷头分开的一或更多个进气口而输送至处理室中,而沉积气体可通过喷头输送至处理室中。在一些实施方案中,喷头可通过保持主要在喷头内隔离开的气体来供应分开的气体。喷头可包括多个气室容积。可使用多个排出管线以确保分离处理室下游的气体。开关可被可操作地耦合至多个排出管线,以允许将蚀刻气体化学物质与沉积气体/前体分离。例如,卤化氢化学物质可以与有机锡前体和水蒸气分开。卤化物可以在抽排/清扫操作期间通过第一排出管线排出,而沉积前体和水蒸气可以在抽排/清扫操作期间通过第二排出管线排出。
为了保护喷头,可使用压差来防止蚀刻气体进入喷头(例如,回流)。在一些实施方案中,蚀刻气体可通过使蚀刻气体流过喷头来清洁喷头的内表面。然而,残留的卤化物或湿气可能会残留在喷头的通道内。在一些实施方案中,喷头可由透明材料制成,并且用合适的光源加热。例如,调整到适当波长(例如,IR或蓝色波长)的照射源可直接加热残留的卤化物和/或湿气以去除残留的卤化物和/或湿气。替代地,残留的卤化物和/或湿气可通过气体清扫来去除。
在一些实施方案中,在检测到时可进行定期干式清洁。检测源可触发室清洁和/或清洁终点。检测源可以是安装在处理室中的传感器,例如基于颜色的传感器、基于强度的传感器、基于视觉的相机/传感器、或其组合。传感器可通过监测颗粒计数或均匀度、晶片计数或厚度计数来触发干式室清洁。替代地,传感器可通过用于室壁沉积的原位测量设备来触发干式室清洁。例如,传感器可使用红外线(IR)测量来检测光致抗蚀剂材料的存在。在形成一定数量的光致抗蚀剂材料或达到阈值颗粒、均匀性、晶片或厚度计数之后,可触发干式室清洁。在一些实施方案中,传感器可安装在前级管线的下游。这些传感器可检测正在排出的气体/副产物。当在前级管线中不再检测到挥发性副产物,则可终止干式室清洁。
图3B示出了在蚀刻气体从室壁304去除含金属EUV抗蚀剂材料302之后的室壁304横截面示意图。蚀刻气体可以是卤化氢、氢气和卤素气体或三氯化硼。室壁304可被加热至升高的温度以促进低蚀刻选择性。处理室可提高至高压以促进低蚀刻选择性。含金属EUV抗蚀剂材料302的去除可以在不使用等离子体的情况下发生。金属氧化物306的残留颗粒或团簇可能在暴露于蚀刻气体之后保留在室壁304上。另外,残留的蚀刻气体308可以保留于处理室中。
图4示出了根据一些实施方案的氯化氢或溴化氢与涂覆在处理室内表面上的有机锡氧化物/氢氧化物抗蚀剂材料的化学反应的示例性干式室清洁机制。卤化氢(HCl或HBr)与EUV抗蚀剂(例如涂覆于内部室表面及下游部件的有机锡氧化物/氢氧化物抗蚀剂材料)反应。卤化氢裂解Sn-OH键和Sn-O-Sn键,以生成H2O及挥发性有机锡卤化物及卤化锡产物,其可随后被抽走。
返回图2,在处理200的框206处,任选地清扫处理室以从处理室去除残留的蚀刻气体。清扫操作可涉及使清扫气体流入处理室,或使清扫气体流入和抽排处理室至所期望的室压强的组合。清扫气体可以是惰性气体和/或反应性气体。反应性气体可以与残留的蚀刻气体反应以促进易于去除。反应性气体可以例如为基于锡的前体,例如有机锡前体。惰性气体可以为Ar、He、Xe或N2。室压强可以介于约0.1托与约6托之间。
残留的蚀刻气体可以从处理室排出。在一些实施方案中,清扫操作也可以称为脱卤。卤化物可能易于粘附在室壁、室部件或晶片上。如果卤化物粘附在晶片上,则在EUV扫描期间卤化物(例如溴)从晶片释放出的风险增加,因而侵蚀或损坏扫描仪。此外,如果卤化物粘附在室壁或室部件上,则在干式沉积操作期间,卤化物可能易于与随后前体形成有机盐。清扫残留的蚀刻气体可以通过与沉积前体以及对应反应物(例如水蒸气)不同的独立排出管线排出。
在一些实施方案中,清扫操作可以在高温下进行。高温可促进从处理室中去除卤化物。在一示例中,耦合至处理室的一或更多个表面的一或更多个加热器可以将处理室加热至高温。在另一示例中,一或更多个IR源或LED可以安装在处理室中,以加热处理室至高温。高温可介于约20℃与约140℃之间或介于约80℃与约120℃之间。
各种基于直接或远程等离子体的处理可以用于加速残留蚀刻气体(例如,含Cl化学物质或含Br化学物质)的去除,其中去除含金属抗蚀剂材料后残留蚀刻气体可以覆盖处理室的内表面。可以单独使用或组合使用这种处理,以恢复处理室的内表面。处理室的内表面可以例如为基于氧化铝的陶瓷、阳极氧化铝和不锈钢硬件部件(一般在下游)。内表面的恢复可能暗示没有或基本上没有残留的蚀刻气体(例如,没有Cl和/或Br)。在一方法中,基于远程等离子体的处理为远程NF3等离子体处理,由于F自由基和F2气体会被生成,且可能有效地将残留的含卤化物化学物质转换为挥发性卤素。挥发性卤素接着被抽走,留下钝化的表面,例如Al-F钝化的表面。远程等离子体处理中所使用的反应性气体可以包括但不限于NF3、SF6、CF4或ClF3。在另一可能被认为不太激进但也有效的方法中,是基于氧的等离子体处理。例如,基于氧的等离子体处理目标在于溴化物表面残留物的氧化,从而形成挥发性溴气体(Br2)。基于氧的反应物可包括但不限于O2、O3、H2与O2的混合物、以及N2O。例如,在基于氟的清洁后,可利用低压N2O等离子体下的H2/O2等离子体来恢复内表面。在一些实施方案中,可能有利的是在基于氟的等离子体处理与基于氧的等离子体处理之间进行循环或利用基于氟的化学物质和基于氧的化学物质的混合物。在一些实施方案中,用含氢等离子体处理(例如H等离子体)中断序列可能是有利的,其可以将形成基于挥发性卤化氢(例如HBr、HCl)的副产物作为目标。这也会留下钝化的表面。在一些实施方案中,基于等离子体的处理或一系列基于等离子体的处理可以用基于氧的等离子体调节步骤来终止。在基于氧的等离子体调节步骤中,内表面可暴露于基于氧的等离子体,以形成用于恢复的金属氧化物(例如,氧化铝)钝化层。如下文所述,在半导体衬底上重新启动沉积操作之前,恢复的内表面可随后用光致抗蚀剂膜来覆盖/修整(以避免不希望发生的第一晶片效应)。
在其他实施方案中,非等离子体处理可用于加速去除残留的蚀刻气体(例如,含Cl化学物质或含Br化学物质),其中去除含金属抗蚀剂材料后残留的蚀刻气体可覆盖处理室的内表面。可引入氧化气体以氧化内室表面上残留的卤化物,例如Cl或Br。因此,非等离子体处理可包括输送臭氧气体(O3)和/或氧气(O2)流。例如,非等离子体处理可以包括输送臭氧气体以及氧气流(例如,氧中有0.1%-30%的臭氧)。非等离子体处理可具有氧化以及去除任何残留有机材料(例如残留在内表面上的含碳以及含氢残留物)的附加有益效果。
图3C示出了进行脱卤以从处理室去除残留蚀刻气体308后的室壁304的横截面示意图。可执行抽排/清扫操作,以从处理室排出残留的蚀刻气体308。在一些实施方案中,处理室的室壁304或其他部件可被加热以促进残留蚀刻气体308的释放。在一些实施方案中,可应用基于直接或远程等离子体的处理以去除残留的蚀刻气体308,其中这些基于等离子体的处理可以包括基于氟的等离子体处理、基于氧的等离子体处理或其组合。金属氧化物306的颗粒或团簇可以保留在室壁304上。
返回图2,在处理200的框208处,处理室的一或更多个表面任选地通过在处理室的一或更多个表面上形成第二厚度的干式沉积的含金属抗蚀剂材料来调节。第二厚度小于干式沉积的含金属抗蚀剂材料的第一厚度。在一些实施方案中,第二厚度等于或大于约1nm、等于或大于约3nm、或介于约1nm与约5nm之间。替代地,处理室的一或更多个表面通过形成不同于干式沉积的含金属抗蚀剂材料的保护膜来任选地进行调节。这种保护膜可以是SnOxCy的变体。在暴露于蚀刻气体之后,使该一或更多个表面暴露。处理室中暴露的表面可能易受攻击,尤其是基于卤素的物质的攻击。调节操作可提供对一或更多个表面的保护。另外,调节操作可覆盖粘附在一或更多个表面上的残留金属氧化物颗粒或团簇。这样,金属氧化物的颗粒或团簇在随后处理期间不太可能污染晶片。
调节处理室的一或更多个表面可通过基于气相的沉积技术(例如CVD或ALD技术)来进行。有机金属材料以气相产生并沉积在处理室的一或更多个表面上。有机金属材料可例如基于有机锡前体(例如异丙基(三)(二甲基氨基)锡)与水蒸气的气相反应来沉积。水蒸气的流量可能相对低。在调节期间,衬底支撑件可被虚拟晶片或其他保护性覆盖物所保护或覆盖。第二厚度的含金属抗蚀剂材料可形成在室壁、底板和顶板上。另外,第二厚度的含金属抗蚀剂材料可形成在室部件上,例如进气口、喷头和排出管线上。在沉积第二厚度的含金属抗蚀剂材料之后,可进行抽排/清扫操作以去除过量的前体和/或对应反应物。调节处理室的一或更多个表面可捕获残留的金属氧化物颗粒并限制颗粒污染。
图3D示出了形成在处理室的室壁304上的含金属抗蚀剂材料310的横截面示意图。含金属EUV抗蚀剂材料310可以在调节操作中重新沉积,以保护室壁304并且捕获金属氧化物306的颗粒或团簇,以免在处理期间污染晶片。这也可称为室修整。含金属EUV抗蚀剂材料310通过气相沉积方法(例如CVD或ALD)形成。在一些实施方案中,含金属EUV抗蚀剂材料310可以是有机锡氧化物。通过调节/修整室壁304以及处理室的其他内表面,当在半导体衬底上重新启动沉积操作时,减轻了不希望发生的第一晶片效应。
尽管本公开时常提及已暴露和/或显影的EUV敏感膜的清洁,但所述清洁处理可扩展至具有相似组成的EUV膜(例如,其他基于MOxRy的膜),例如其他含有金属氧化物的膜(其中金属可形成具有-Cl、-Br、-F、-H、-CH4等的挥发性产物,如本文所述),其包括未暴露的EUV抗蚀剂膜。另外,在一些实施方案中,EUV抗蚀剂以外的膜(例如硬掩模、UV抗蚀剂或具有其他应用的相似组成的膜)也可通过该方法清洁;在这方面,所述清洁处理与膜的化学组成(而非其功能)有关。
此外,在一些实施方案中,根据本公开的清洁可通过组合热处理以去除大部分污染残留物,随后进行等离子体处理以去除任何额外残留物来进行。这可能适用于所有应用,包括室清洁、背侧、斜面、剥离以及再加工。在一些实施方案中,该方法可能特别有利地应用于室清洁,其中等离子体可提供表面清洁的增强控制以实现彻底性。在下游,清洁可能主要是为了防止堵塞,清洁质量可能不那么重要,如果清洁后残留一些残留物,则可接受。
图5示出了在清洁操作中比较HCl、HBr及BCl3蚀刻含金属EUV抗蚀剂与时间的关系图。如图5所示,目标含金属EUV抗蚀剂材料可以在升高温度下被HCl、HBr以及BCl3中的每一者热去除,且蚀刻速率快速。
图6示出了比较使用HBr作为蚀刻气体时的不同含金属EUV抗蚀剂材料的蚀刻速率图。每条线代表含金属EUV抗蚀剂中不同浓度的氧化锡。每一材料具有略微不同的蚀刻速率,但可以使用HBr作为蚀刻气体对每一材料进行快速蚀刻。氧化锡的浓度越高,蚀刻速度越慢。
图7示出了说明温度对去除光图案化含金属EUV抗蚀剂的暴露区域以及未暴露区域的蚀刻选择性的影响的图。虚线对应于含金属EUV抗蚀剂的暴露区域,并且实线对应于含金属EUV抗蚀剂的未暴露区域。在0℃或10℃的低温下,对于含金属EUV抗蚀剂的暴露以及未暴露区域均观察到低蚀刻速率。然而,在80℃的高温下,对于含金属EUV抗蚀剂的暴露和未暴露区域均观察到高蚀刻速率。因此,高蚀刻选择性在较低温度下发生,而较低蚀刻选择性在较高温度下发生。图8A示出了说明温度对去除EUV抗蚀剂的选择性的影响的图。如图8A所示,蚀刻选择性随着温度升高以非线性方式下降。图8B示出了说明温度对去除EUV抗蚀剂的蚀刻速率的影响的条状图。如图8B所示,蚀刻速率随温度升高而增加。
图9示出了说明压强对去除光图案化含金属EUV抗蚀剂的暴露以及未暴露区域的蚀刻选择性的影响的图。虚线对应于含金属EUV抗蚀剂的暴露区域,实线对应于含金属EUV抗蚀剂的未暴露区域。在20毫托的低压下,对于含金属EUV抗蚀剂的暴露区域,观察到低蚀刻速率,而对于含金属EUV抗蚀剂的未暴露区域,观察到中高的蚀刻速率。然而,在300毫托的较高压强下,对于含金属EUV抗蚀剂的暴露以及未暴露区域均观察到高蚀刻速率。较高压强下可看到高蚀刻选择性,而较高压强下可看到高蚀刻速率。图10A示出了说明压强对去除EUV抗蚀剂的选择性的影响的图。在图10A中,蚀刻选择性随压强增加而增加。图10B示出了说明压强对去除EUV抗蚀剂的蚀刻速率的影响的条状图。在图10B中,蚀刻速率随压强增加而增加。
图11A-11E示出了说明HCl作为蚀刻气体用于不同压强和温度的性能的图。图11A示出了用于说明在20毫托固定压强且-10℃、20℃以及40℃等多种温度下使用HCl作为蚀刻气体的EUV抗蚀剂材料的蚀刻量随时间推移而变化的图。图11B示出了用于说明在100毫托固定压强且-10℃和20℃等多种温度下使用HCl作为蚀刻气体的EUV抗蚀剂材料的蚀刻量随时间推移而变化的图。图11C示出了比较HCl与HBr的EUV抗蚀剂材料的蚀刻量随时间推移而变化的图。图11D示出了用于说明在不同温度下使用HCl作为蚀刻气体的EUV抗蚀剂材料蚀刻速率的条状图。图11E示出了说明在不同压强下使用HCl作为蚀刻气体的EUV抗蚀剂材料的蚀刻速率的条状图。
图12示出了说明清扫时处理室内溴含量随时间推移而变化的图。如图12所示,清扫能够随时间推移减少室壁上的HBr。清扫气体为Ar,室壁加热至60℃。60分钟后,处理室内的HBr的量小于200×1012原子/cm2
装置
本公开的装置被配置用于执行原位干式室清洁。该装置可配置成执行其他处理操作,例如沉积、斜面和背侧清洁、涂布后烘烤、暴露后烘烤、光致抗蚀剂再加工、除渣、平坦化、固化、蚀刻以及其他操作。在一些实施方案中,该装置被配置成执行所有干式操作。例如,该装置配置成在处理室中执行EUV抗蚀剂的干式沉积以及形成于处理室内表面上的EUV抗蚀剂的干式清洁。这通过将衬底暴露于湿式与干式操作之间的中断的真空来提高产量并减少污染的可能性。
被配置用于干式室清洁的装置包括具有衬底支撑件的处理室。该装置可以包括耦合至处理室以进行压强控制的一或更多条真空管线以及耦合至处理室以输送蚀刻气体的一或更多条蚀刻气体管线。在一些实施方案中,可能有用于将蚀刻气体化学物质与沉积前体以及对应反应物分开的多条真空管线。在一些实施方案中,可以有多个进气口定位于处理室内,以使蚀刻气体流向易于形成非预期EUV抗蚀剂材料的区域附近。在一些实施方案中,蚀刻气体包括含卤化物的化学物质,例如卤化氢(例如,HBr或HCl)。该装置可以包括一或更多个用于温度控制的加热器。这些加热器可设置在处理室中和/或衬底支撑件中。在一些实施方案中,该一或更多加热器可包括IR源。该装置还可包括一或更多个传感器,以感测颗粒计数、晶片计数、厚度计数或其他参数,其用于触发干式室清洁和/或干式室清洁的终点。
图13描绘了处理站1300的实施方案的示意图,处理站1300具有处理室主体1302,其用于维持适合实施所述干式清洁实施方案的低压环境。多个处理站1300可包含在共同的低压处理工具环境中。例如,图14描绘了多站式处理工具1400的实施方案,例如可购自LamResearch Corporation(Fremont,CA)的
Figure BDA0003508734620000301
处理工具。在一些实施方案中,处理站1300的一或更多硬件参数(包含以下所详细讨论者)可通过一或更多计算机控制器1350而以编程方式调整。
处理站可配置为集群工具中的模块。图16描绘了具有真空整合式沉积以及图案化模块的半导体处理集群工具架构,其适用于进行本文所述的实施方案。这样的集群处理工具架构可包含抗蚀剂沉积、抗蚀剂暴露(EUV扫描机)、抗蚀剂干式显影以及蚀刻模块,如以上所述以及下文参照图15及16进一步描述的。
在一些实施方案中,一些处理功能可在同一模块中连续地执行,例如干式显影和蚀刻。本公开内容的实施方案涉及方法和装置,其用于在EUV扫描机中进行光图案化之后,接收晶片(包括设置在待蚀刻层或层堆叠件上的经光图案化的EUV抗蚀剂薄膜层)至干式显影/蚀刻室;干式显影经光图案化的EUV抗蚀剂薄膜层;接着使用已图案化的EUV抗蚀剂作为掩模来蚀刻下伏层,如本文所述。
回到图13,处理站1300与反应物输送系统1301a流体连通,反应物输送系统1301a用于将处理气体输送至分配喷头1306。反应物输送系统1301a任选地包括混合容器1304,其用于混合和/或调节处理气体以输送至喷头1306。一或更多混合容器入口阀1320可控制处理气体至混合容器1304的引入。当使用等离子体暴露时,也可将等离子体输送至喷头1306或可在处理站1300中产生等离子体。如上所述,在至少一些实施方案中,非等离子体的热暴露是有利的。
图13包括任选的汽化点1303,其用于将待供应至混合容器1304的液体反应物汽化。在一些实施方案中,可设置在汽化点1303上游的液体流量控制器(LFC),以控制用于汽化及输送至处理站1300的液体的质量流量。例如,LFC可包括位于LFC下游的热质量流量计(MFM)。接着,可响应于由与MFM电气连接的比例-积分-微分(PID)控制器所提供的反馈控制信号来调整LFC的柱塞阀。
喷头1306将处理气体朝向衬底1312分配。在图13所示的实施方案中,衬底1312位于喷头1306下方,并且显示为置于基座1308上。喷头1306可具有任何适当的形状,并且可具有任何适当数目和配置的通口,以将处理气体分配至衬底1312。
在一些实施方案中,基座1308可以升高或降低以将衬底1312暴露于衬底1312和喷头1306之间的体积。应理解的是,在一些实施方案中,基座高度可以经由合适的计算机控制器1350通过编程方式进行调节。
在一些实施方案中,基座1308可通过加热器1310来控制温度。在一些实施方案中,如所公开的实施方案所述,在经光图案化的抗蚀剂暴露于清洁化学物质反应物气体(例如,HCl、HBr、HI和BCl3)期间,可将基座1308加热至大于0℃且高达300℃或更高的温度。
此外,在一些实施方案中,对于处理站1300的压力控制可以由蝶形阀1318提供。如在图13的实施方案中所示,蝶形阀1318对由下游真空泵(未示出)提供的真空进行调节。然而,在一些实施方案中,对处理站1300的压力控制还可以通过改变引入至处理站1300的一种或多种气体的流率来调节。
在一些实施方案中,喷头1306的位置可以相对于基座1308调节以改变衬底1312和喷头1306之间的体积。此外,应当理解的是,基座1308和/或喷头1306的竖直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座1308可包含用于旋转衬底1312的方位的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器1350以编程方式执行。
在可使用等离子体时,例如在温和的基于等离子体的干式显影实施方案和/或在相同室中实施的蚀刻操作中,喷头1306和基座1308与射频(RF)功率源1314和匹配网络1316电连接以用于对等离子体提供功率。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源1314和匹配网络1316可在任何合适的功率下进行操作,以形成具有自由基物质的期望组成的等离子体。合适功率的示例至多为约500W。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来提供用于控制器1350的指令。在一个示例中,用于设置处理阶段的条件的指令可被包含在处理配方的相应的配方阶段中。在某些情况下,处理配方阶段可按顺序排列,使得用于处理阶段的所有指令与该处理阶段同时执行。在一些实施方案中,用于设定一个或多个反应器参数的指令可以被包含在配方阶段中。例如,配方阶段可包括用于设定清洁化学物质反应物气体(例如HCl、HBr、HI和BCl3)的流率的指令、以及用于配方阶段的时间延迟指令。在一些实施方案中,控制器1350可包括下文关于图14的系统控制器1450所述的任何特征。
如上所述,一个或更多个处理站可以包含在多站处理工具中。图14示出了多站式处理工具1400的实施方案的示意图,其具有入站装载锁1402和出站装载锁1404,其一者或者两者可以包含远程等离子体源。处于大气压的机械手1406被配置为将晶片从通过舱1408装载的盒经由大气端口1410移动至入站装载锁1402内。晶片由机械手1406放置在入站装载锁1402中的基座1412上,关闭大气端口1410,且抽空装载锁。当入站装载锁1402包含远程等离子体源时,晶片在被引入处理室1414之前,可以暴露于装载锁中的远程等离子体处理以处理氮化硅表面。此外,晶片另外也可以在入站装载锁1402中加热,例如以移除湿气和吸附的气体。接下来,通向处理室1414的室传输端口1416被打开,且另一个机械手(未示出)将晶片放置到在反应器中被示出的第一站的基座上的反应器中以用于处理。尽管在图14中绘出的实施方案包含装载锁,但应该理解的是,在一些实施方案中,可以使衬底直接进入处理站。
描绘出的处理室1414包含4个处理站,图14所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为1418)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。例如,在一些实施方案中,处理站可以是可在干式显影与蚀刻处理模式之间切换的。附加地或替代地,在一些实施方案中,处理室1414可以包含一个或多个干式显影与蚀刻处理站的匹配对。尽管绘出的处理室1414包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或更多站,而在其它实施方案中,处理室可以具有3个或者更少的站。
图14描绘了用于在处理室1414内传输晶片的晶片搬运系统1490的实施方案。在一些实施方案中,晶片搬运系统1490可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图14还绘出了控制处理工具1400的处理条件和硬件状态所采用的系统控制器1450的实施方案。系统控制器1450可以包含一个或多个存储器设备1456、一个或多个海量存储设备1454和一个或多个处理器1452。处理器1452可以包含CPU或者计算机、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器1450控制处理工具1400的所有活动。系统控制器1450执行存储在海量存储设备1454、载入存储器设备1456、并由处理器1452执行的系统控制软件1458。可替代地,控制逻辑可以在控制器1450中硬编码。特定应用集成电路、可编程逻辑设备(例如现场可编程栅极阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”,都可以使用功能上相当的硬编码的逻辑来取代。系统控制软件1458可以包含用于控制时序、气体的混合物、气体流率、室和/或站压强、室和/或站温度、晶片温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或基座位置、以及由处理工具1400执行的特定处理的其它参数的指令。系统控制软件1458可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制用于执行各种处理工具处理的处理工具组件的操作。系统控制软件1458可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,系统控制软件1458可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方案中可以采用存储在与系统控制器1450关联的海量存储设备1454和/或存储器设备1456上的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座1418上,并控制衬底和处理工具1400的其它部分之间的间距。
处理气体控制程序可包含用于控制气体组成(例如,如本文所述的HBr、HCl或BCl3气体)和流率的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以便稳定在处理站中的压强的代码。压强控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。
加热器控制程序可包含用于控制流向用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底的传送。
等离子体控制程序可包含用于根据本文的实施方案设置施加到一个或多个处理站内的处理电极的RF功率电平的代码。
压强控制程序可以包含用于根据本文的实施方案保持反应室内的压强的代码。
在一些实施方案中,可以存在与系统控制器1450相关联的用户界面。用户界面可以包含显示屏、装置和/或处理条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由系统控制器1450调节的参数会涉及处理条件。非限制性实例包含处理气体组成和流率、温度、压强、等离子体条件(例如,RF偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器1450的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具1400的模拟和数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持处理条件。
系统控制器1450可以提供用于执行上述沉积处理的程序指令。所述程序指令可以控制多种处理参数,如DC功率电平、RF偏置功率电平、压强、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方案操作干式显影和/或蚀刻处理。
系统控制器1450将通常包含一个或多个存储器设备和被配置成执行指令的一个或多个处理器以使该装置将执行根据所公开的实施方案所述的方法。包含用于控制根据所公开实施方案的处理操作的指令的机器可读介质可以耦合到系统控制器1450。
在一些实施方案中,系统控制器1450是系统的一部分,该系统可以是上述实施例的一部分。这种系统可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种组件或子部件。根据处理条件和/或系统的类型,系统控制器1450可以被编程以控制本文公开的任何处理,包含控制处理气体的输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,系统控制器1450可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到系统控制器1450的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实施方案中,系统控制器1450可以是与系统集成、耦合、以其它方式联网到系统或其组合的计算机的一部分或者与该计算机耦合。例如,系统控制器1450可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的处理。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供处理配方,所述网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,系统控制器1450接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可对将要执行的处理类型以及工具类型具有特异性,系统控制器1450被配置成连接或控制该工具类型。因此,如上所述,系统控制器1450可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的处理和控制)工作。用于这些目的的分布式控制器的实施例可以是与结合以控制室内处理的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
示例的系统可包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洁室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、EUV光刻室(扫描机)或模块、干式显影室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个处理步骤,系统控制器1450可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
现在描述感应耦合式等离子体(ICP)反应器,在某些实施方案中,其可适用于蚀刻操作,蚀刻处理适用于某些实施方案的实施。虽然本文描述ICP反应器,但应理解,在一些实施方案中,还可使用电容耦合式等离子体反应器。
图15示意性地显示感应耦合式等离子体装置1500的横截面图,其适合实行某些实施方案或实施方案的方面(例如干式显影和/或蚀刻),该装置的示例为由Lam ResearchCorp.,Fremont,CA所生产的
Figure BDA0003508734620000371
反应器。
感应耦合式等离子体装置1500包括由室壁1501及窗1511从结构上限定的总处理室1524。室壁1501可由不绣钢或铝制造。窗1511可由石英或其他介电材料制造。任选的内部等离子体栅格1550将总处理室分为上副室1502和下副室1503。在大多数的实施方案中,等离子体栅格1550可以被移除,从而利用由副室1502和1503两者构成的室空间。卡盘1517定位在下副室1503中在底部内表面附近。卡盘1517被配置成接收和保持在其上执行蚀刻和沉积处理的半导体晶片1519。卡盘1517可以是当晶片1519存在时用于支撑晶片1519的静电卡盘。在一些实施方案中,边缘环(未示出)围绕卡盘1517,并具有大致与晶片1519(当晶片存在于卡盘1517上方时)的顶面在同一平面的上表面。卡盘1517还包括用于夹紧和松开晶片1519的静电电极。可设置过滤器和DC钳位功率源(未示出)用于此目的。也可以提供其他的控制系统用于提升晶片1519使其离开卡盘1517。卡盘1517可以用RF电源1523充电。RF电源1523通过连接件1527被连接到匹配电路1521。匹配电路1521通过连接件1525连接到卡盘1517。以这种方式,RF电源1523被连接到卡盘1517上。在多种实施方案中,可将静电卡盘的偏压电源设定为约50V,或取决于依据所公开的实施方案所执行的处理而设定为不同的偏压电源。例如,偏压电源可在约20Vb与约100V之间、或在约30V与约150V之间。
用于等离子体产生的元件包括定位于窗1511上方的线圈1533。在一些实施方案中,所公开的实施方案中未使用线圈。线圈1533由导电材料制成,并包括至少一整匝。在图15中所示的线圈1533的示例包括三匝。线圈1533的横截面用符号示出,具有“X”符号的线圈表示线圈旋转地延伸到页面内,然而具有“●”符号的线圈表示线圈旋转地延伸出页面。用于等离子体产生的元件还包括被配置为提供RF功率至线圈1533的RF电源1541。一般地,RF电源1541通过连接件1545被连接到匹配电路1539。匹配电路1539通过连接件1543连接到线圈1533。以这种方式,RF电源1541被连接到线圈1533。任选的法拉第屏蔽件1549a被定位在线圈1533和窗1511之间。法拉第屏蔽件1549a可以以相对于线圈1533成隔开的关系被保持。在一些实施方案中,法拉第屏蔽件1549a被设置在窗1511的正上方。在一些实施方案中,法拉第屏蔽件1549b是在窗部1511与卡盘1517之间。在一些实施方案中,法拉第屏蔽件1549b相对于线圈1533并非维持相隔开的关系。例如,法拉第屏蔽件1549b可直接在窗1511下方而没有间隙。线圈1533、法拉第屏蔽件1549a、以及窗1511各自被配置为彼此实质上平行。法拉第屏蔽件1549a可防止金属或其它物质沉积于处理室1524的窗1511上。
处理气体可以通过定位于上副室1502中的一个或多个主气体流入口1560和/或通过一个或多个侧气体流入口1570流入处理室。同样,虽然未明确示出,但是类似的气体流入口可用于向电容耦合等离子体处理室供应处理气体。真空泵,例如,一级或两级干式机械泵和/或涡轮分子泵1540,可用于将处理气体从处理室1524抽出并维持处理室1524内的压强。例如,该真空泵可用于在ALD的清扫操作过程中排空下副室1503。阀控制的导管可用于使真空泵流体连接在处理室1524上,以便选择性地控制由真空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用封闭环控制的流量限制装置例如节流阀(未示出)或钟摆阀(未示出)进行。同样,也可以使用受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。
在装置1500的操作过程中,一种或多种处理气体可通过气体流入口1560和/或1570供给。在某些实施方案中,处理气体可以仅通过主气体流入口1560供给,或者仅通过侧气体流入口1570供给。在一些情况下,在图中所示的气体流入口可以由较复杂的气体流入口替代,例如由一个或多个喷头替代。法拉第屏蔽件1549和/或任选的栅格1550可以包括使处理气体能输送至处理室1524的内部通道和孔。法拉第屏蔽件1549和任选的栅格1550中的一者或两者可以作为用于输送处理气体的喷头。在一些实施方案中,液体蒸发和输送系统可位于处理室1524的上游,使得一旦液体反应物或前体被蒸发,那么蒸发的反应物或前体通过气体流入口1560和/或1570引入到处理室1524中。
射频功率从RF电源1541供给到线圈1533以使RF电流流过线圈1533。流过线圈1533的RF电流产生围绕线圈1533的电磁场。该电磁场产生在上副室1502内的感应电流。多种生成的离子和自由基与晶片1519的物理和化学相互作用蚀刻晶片的特征并且选择性地在晶片1519上沉积层。
如果使用等离子体栅格1550使得存在上副室1502和下副室1503二者,则感应电流作用于存在于上副室1502中的气体上以在上副室1502中产生电子-离子等离子体。任选的内部等离子体栅格1550限制下副室1503中的热电子的量。在一些实施方案中,设计和操作所述装置1500使得存在于下副室1503中的等离子体是离子-离子等离子体。
上部的电子-离子等离子体和下部的离子-离子等离子体二者可包含阳离子和阴离子,但是离子-离子等离子体将具有更大的阴离子与阳离子的比率。挥发性的蚀刻和/或沉积的副产物可通过端口1522从下副室1503去除。本文所公开的卡盘1517可在约10℃和约250℃之间的升高的温度范围内操作。该温度将取决于处理操作和具体配方。
装置1500当安装在清洁室或制造厂中时可耦合到设施(未示出)。这样的设施包括管道,管道提供处理气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时耦合到装置1500。此外,装置1500可耦合在传送室上,从而允许机械手使用典型的自动化传送半导体晶片进出装置1500。
在一些实施方案中,系统控制器1530(其可以包括一个或多个物理或逻辑控制器)控制处理室1524的操作中的一些或全部。系统控制器1530可以包括一个或多个存储器设备和一个或多个处理器。在一些实施方案中,该装置1500包括在执行所公开的实施方案时用于控制流率和持续时间的切换系统。在一些实施方案中,该装置1500可具有高达约500ms或高达约750ms的切换时间。切换时间可以取决于流动化学物质、配方选择、反应器架构以及其他因素。
在一些实施方案中,系统控制器1530是系统的一部分,该系统可以是上述示例的一部分。这种系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以集成到系统控制器1530中,其可以控制一个或多个系统的各种部件或子部件。根据处理参数和/或系统类型,系统控制器可以被编程以控制本文公开的任何处理,包括控制处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出连接到特定系统或与特定系统接口的工具和其他输送工具和/或装载锁的晶片输送。
广义而言,控制器1530可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造或去除期间完成一个或多个处理步骤。
在一些实施方案中,系统控制器1530可以是与系统集成、耦合、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或在晶片厂(fab)主机系统的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能标准,以改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供处理配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接口,然后将该参数和/或设置从远程计算机输送到系统。在一些示例中,系统控制器1530接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的处理的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,系统控制器1530可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的处理和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的处理。
示例性的系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、EUV光刻室(扫描机)或模块、干式显影室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
EUVL图案化可利用任何合适的工具实施,所述工具通常被称为扫描机,例如由ASML(Veldhoven,NL)所提供的TWINSCAN NXE:
Figure BDA0003508734620000421
平台。EUVL图案化工具可以是独立的设备,衬底被移入其中或自其移出以用于本文所述的沉积与蚀刻。或者,如下所述,EUVL图案化工具可以是在较大的多部件工具上的模块。图16描绘了半导体处理集群工具架构,其具有与真空传送模块对接的真空整合式沉积、EUV图案化、及干式显影/蚀刻模块,适用于进行本文所述的处理。虽然可在不具有这样的真空集成装置的情况下实施这些处理,但这样的装置在某些实施方案中可能是有利的。
图16描绘了半导体处理集群工具架构,其具有与真空传送模块对接的真空整合式沉积和图案化模块,其适用于进行本文所述的处理。用于在多个储存装置与处理模块之间“传送”晶片的传送模块的布置可称为“集群工具架构”系统。根据特定处理的需求,沉积以及图案化模块是真空整合式的。在该集群上还可包括其它模块(例如用于蚀刻)。
真空传送模块(VTM)1638与四个处理模块1620a-1620d对接,其可各自进行优化以执行各种制造处理。作为一示例,处理模块1620a-1620d可用于执行沉积、蒸发、ELD、干式显影、蚀刻、剥除、和/或其它半导体处理。例如,模块1620a可以是ALD反应器,其可操作以执行本文所述的非等离子体的热原子层沉积中,例如可购自Lam Research Corporation(Fremont,CA)的Vector工具。并且模块1620b可以是PEALD工具(例如Lam
Figure BDA0003508734620000431
)。应理解,图未必按比例绘制。
气锁1642及1646(也称为装载锁或传送模块)与VTM 1638以及图案化模块1640对接。例如,如上所述,合适的图案化模块可为TWINSCAN NXE:
Figure BDA0003508734620000432
平台(由ASML(Veldhoven,NL)提供)。此工具架构容许工件(例如半导体衬底或晶片)在真空下传送,以便不在暴露之前反应。沉积模块与光刻工具的整合通过以下事实促成:考虑到环境气体(例如H2O、O2等)对于入射光子的强烈光学吸收性,EUVL还需要大幅降低的压力。
如上所述,该整合架构仅为用于实行所述处理的工具的一可能实施方案。这些处理的实行也可使用更为常规的独立EUVL扫描机以及沉积反应器(例如Lam Vector工具),其为独立的或与其它工具(例如蚀刻、剥除等(例如Lam Kiyo或Gamma工具))以模块形式一同整合于集群架构中,例如参考图16所述的(但没有整合的图案化模块)。
气锁1642可以是“输出”装载锁,其是指将衬底从供沉积模块1620a使用的VTM1638传出至图案化模块1640,而气锁1646可以是“输入”装载锁,其是指将衬底从图案化模块1640传送回VTM 1638。输入装载锁1646也可作为至工具外部的接合部,以用于衬底的进出。每一处理模块具有将该模块对接至VTM 1638的小面(facet)。例如,沉积处理模块1620a具有小面1636。在每一小面内,传感器(例如,图中所示的传感器1-18)用于,当晶片1626在相应的站与站之间移动时,检测晶片的通过。图案化模块1640及气锁1642、1646可类似地装配有额外的小面以及传感器(未显示)。
主要VTM机械手1622在模块(包括气锁1642及1646)之间传送晶片1626。在一实施方案中,机械手1622具有一手臂,而在另一实施方案中,机械手1622具有两手臂,其中每一手臂具有末端效应器1624以拾取晶片(例如晶片1626)而进行输送。前端机械手1644用于将晶片1626从输出气锁1642传送至图案化模块1640中、从图案化模块1640传送至输入气锁1646中。前端机械手1644也可在输入装载锁与工具外部之间输送晶片1626,以用于衬底的进出。由于输入气锁模块1646能够匹配在大气与真空之间的环境,所以晶片1626能在这两个压力环境之间移动而不会受损。
应当注意,相比于沉积工具,EUVL工具通常在较高的真空下操作。如果情况是如此,则期望在沉积与EUVL工具之间的传送期间增加衬底的真空环境,以容许衬底在进入图案化工具之前进行除气。输出气锁1642可通过将所传送的晶片维持在较低压力(不高于图案化模块1640中的压力)一段时间并抽空任何离去气体(off-gassing)来提供此功能,使得图案化工具1640的光学组件不会被来自衬底的离去气体所污染。输出离去气体气锁的合适压力为不超过1E-8托。
在一些实施方案中,系统控制器1650(其可包括一或更多物理或逻辑控制器)控制集群工具和/或其分开的模块的一些或所有操作。应当注意,控制器可在集群架构本地、或可位于制造楼层中的集群架构的外部、或位于远程位置并经由网络连接至集群架构。系统控制器1650可包括一或更多存储器设备以及一或更多处理器。处理器可包括中央处理单元(CPU)或计算机、模拟和/或数字输入/输出连接、步进马达控制板、及其它类似构件。在处理器上执行用于实施合适的控制操作的多个指令。这些指令可存储于与控制器相连的存储器设备上、或可通过网络而提供。在某些实施方案中,系统控制器执行系统控制软件。
系统控制软件可包括用于控制工具或模块操作的任何方面的应用与大小的时序的指令。系统控制软件可以任何适当的方式配置。例如,可编写各种处理工具部件子程序或控制对象,以控制实施各种处理工具程序所需的处理工具部件的操作。系统控制软件可以任何合适的计算器可读程序语言进行编码。在一些实施方案中,系统控制软件包括输入/输出控制(IOC)序列指令,以控制上述的各种参数。例如,半导体制造处理的每一阶段可包括由系统控制器所执行的一或更多指令。例如,用于设定凝结、沉积、蒸发、图案化和/或蚀刻阶段的处理条件的指令可包括在相对应的配方阶段中。
在多种实施方案中,提供用于形成负型图案掩模的装置。该装置可包括用于图案化、沉积以及蚀刻的处理室、以及包括用于形成负型图案掩模的指令的控制器。指令可包括用于,在处理室中,执行下列处理的代码:通过EUV暴露使衬底表面暴露,图案化在半导体衬底上的化学放大抗蚀剂(CAR)中的特征;使经光图案化的抗蚀剂进行干式显影;以及使用已图案化的光致抗蚀剂作为掩模以蚀刻下伏层或层堆叠件。
应当注意,控制晶片移动的计算机可在集群架构本地、或可位于制造楼层中的集群架构的外部、或位于远程位置并经由网络连接至集群架构。上文关于图13、14或15中的任一者描述的控制器可用图16中的工具来实施。
结论
公开了在EUV图案化的背景下的处理和装置,其用于金属和/或金属氧化物光致抗蚀剂的干式室清洁以(例如)从处理室的内表面去除EUV抗蚀剂材料。
应当理解,本文所述的示例与实施方案仅为了说明的目的,并据此向本领域技术人员建议各种修改或变化。虽然为了明确的目的,已经省略了各种细节,但可实施各种设计替代方案。因此,本示例应被认为是说明性的而非限制性的,且本公开内容不受限于本文所提出的细节,而是可在公开内容的范围中进行修改。

Claims (28)

1.一种清洁处理室的方法,所述方法包括:
在处理室中提供半导体衬底,在所述半导体衬底的衬底层上具有干式沉积的含金属抗蚀剂膜;以及
将蚀刻气体引入所述处理室中,其中在所述处理室的一或更多个表面上形成第一厚度的干式沉积的含金属抗蚀剂材料,其中所述蚀刻气体去除所述第一厚度的所述干式沉积的含金属抗蚀剂材料。
2.根据权利要求1所述的方法,其还包括:
通过在所述处理室的所述一或更多个表面上形成第二厚度的所述干式沉积的含金属抗蚀剂材料来调节所述处理室的所述一或更多个表面。
3.根据权利要求1所述的方法,其还包括:
在引入所述蚀刻气体后清扫所述处理室,以从所述处理室去除残留蚀刻气体。
4.根据权利要求3所述的方法,其中清扫所述处理室包括使惰性气体和/或反应性气体流入所述处理室,其中所述处理室被加热至介于约20℃与约140℃之间的升高的温度,其中所述处理室被抽排至介于约0.1托与约6托之间的室压强。
5.根据权利要求3所述的方法,其中清扫所述处理室包括使所述处理室的所述一或更多个表面暴露于基于远程等离子体的处理,以去除所述残留蚀刻气体,其中所述基于远程等离子体的处理包括基于氟的化学物质。
6.根据权利要求3所述的方法,其中清扫所述处理室包括使所述处理室的所述一或更多个表面暴露于臭氧和/或氧气流,以去除所述残留蚀刻气体。
7.根据权利要求1所述的方法,其还包括:
在引入所述蚀刻气体之前,加热所述处理室的所述一或更多个表面至升高的温度,其中所述升高的温度介于约20℃与约140℃之间。
8.根据权利要求7所述的方法,其中所述升高的温度介于约80℃与约120℃之间。
9.根据权利要求7所述的方法,其中所述蚀刻气体包括卤化氢、氢气和卤素气体、三氯化硼、或其组合。
10.根据权利要求9所述的方法,其中所述卤化氢包括HCl。
11.根据权利要求1所述的方法,其中所述蚀刻气体包括选自由溴化氢(HBr)、氯化氢(HCl)、碘化氢(HI)、三氯化硼(BCl3)、亚硫酰氯(SOCl2)、氯(Cl2)、溴(Br2)、碘(I2)、三溴化硼(BBr3)、氢(H2)、三氯化磷(PCl3)、甲烷(CH4)、甲醇(CH3OH)、氨(NH3)、甲酸(CH2O2)、三氟化氮(NF3)、氟化氢(HF)及其组合所组成的群组的气体的远程等离子体。
12.根据权利要求1所述的方法,其中所述第一厚度的所述干式沉积的含金属抗蚀剂材料的去除在未暴露于等离子体的情况下发生。
13.根据权利要求1-12中任一项所述的方法,其还包括:
抽排所述处理室至介于约0.1托与约6托之间的室压强;
加热所述处理室的所述一或更多个表面至介于约20℃与约140℃之间的升高的温度;以及
在引入所述蚀刻气体之前,将虚拟晶片引入所述处理室中。
14.根据权利要求1-12中任一项所述的方法,其中提供所述半导体衬底包括:在所述处理室中,在所述衬底层上形成所述干式沉积的含金属抗蚀剂膜。
15.根据权利要求1-12中任一项所述的方法,其中提供所述半导体衬底包括在所述处理室中烘烤所述干式沉积的含金属抗蚀剂膜。
16.根据权利要求1-12中任一项所述的方法,其中提供所述半导体衬底包括在所述处理室中干式显影所述干式沉积的含金属抗蚀剂膜。
17.根据权利要求1-12中任一项所述的方法,其中所述处理室的所述一或更多个表面包括所述处理室的室壁。
18.根据权利要求1-12中任一项所述的方法,其还包括:
将所述处理室的所述一或更多个表面暴露于氧化气体或氧化等离子体,以去除残留碳或其他污染物。
19.根据权利要求1-12中任一项所述的方法,其中所述蚀刻气体通过喷头或一或更多个单独的室入口引入,以与所述干式沉积的含金属抗蚀剂材料反应,并且形成挥发性产物。
20.根据权利要求1-12中任一项所述的方法,其中所述干式沉积的含金属抗蚀剂材料是有机-金属氧化物或含有机-金属薄膜。
21.根据权利要求20所述的方法,其中所述干式沉积的含金属抗蚀剂材料包括有机锡氧化物。
22.一种用于沉积抗蚀剂的装置,所述装置包括:
处理室,其具有衬底支撑件;
真空管线,其耦合至所述处理室;
蚀刻气体管线,其耦合至所述处理室;以及
控制器,其配置有用于清洁所述处理室的指令,所述指令包括代码,所述代码用于:
在所述处理室中,在半导体衬底的衬底层上气相沉积含金属抗蚀剂膜;以及
通过使蚀刻气体流入所述处理室中来清洁所述处理室,其中所述蚀刻气体去除在所述处理室的一或更多个表面上形成的第一厚度的干式沉积的含金属抗蚀剂材料。
23.根据权利要求22所述的装置,其还包括:
一或更多个加热器,其耦合至所述处理室的所述一或更多个表面,其中所述控制器进一步配置有包含代码的指令,所述代码用于:
将所述处理室的所述一或更多个表面加热至升高的温度,其中所述升高的温度介于约20℃与约140℃之间。
24.根据权利要求22所述的装置,其中所述控制器进一步配置有包含代码的指令,所述代码用于:
通过在所述处理室的所述一或更多个表面上形成第二厚度的所述干式沉积的含金属抗蚀剂材料来调节所述处理室的所述一或更多个表面。
25.根据权利要求22所述的装置,其中所述控制器进一步配置有包含代码的指令,所述代码用于:
在引入所述蚀刻气体后清扫所述处理室,以从所述处理室去除残留蚀刻气体。
26.根据权利要求22所述的装置,其中所述蚀刻气体包括卤化氢、氢气和卤素气体、三氯化硼、或其组合。
27.根据权利要求22所述的装置,其还包括耦合至所述处理室的远程等离子体源,其中所述远程等离子体源配置成产生选自由溴化氢(HBr)、氯化氢(HCl)、碘化氢(HI)、三氯化硼(BCl3)、亚硫酰氯(SOCl2)、氯(Cl2)、溴(Br2)、碘(I2)、三溴化硼(BBr3)、氢(H2)、三氯化磷(PCl3)、甲烷(CH4)、甲醇(CH3OH)、氨(NH3)、甲酸(CH2O2)、三氟化氮(NF3)、氟化氢(HF)及其组合所组成的群组的气体的远程等离子体。
28.根据权利要求22-27中任一项所述的装置,其还包括:
喷头,其耦合至所述处理室,其中所述喷头被设置在所述衬底支撑件上方,且所述蚀刻气体管线被设置在所述处理室的壁中和/或所述衬底支撑件下方,其中所述蚀刻气体通过所述蚀刻气体管线输送,而用于气相沉积所述含金属抗蚀剂膜的前体通过所述喷头输送。
CN202080058274.XA 2019-06-28 2020-06-25 光致抗蚀剂膜的干式室清洁 Pending CN114631062A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962868705P 2019-06-28 2019-06-28
US62/868,705 2019-06-28
PCT/US2020/070187 WO2020264571A1 (en) 2019-06-28 2020-06-25 Dry chamber clean of photoresist films

Publications (1)

Publication Number Publication Date
CN114631062A true CN114631062A (zh) 2022-06-14

Family

ID=74061943

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080058274.XA Pending CN114631062A (zh) 2019-06-28 2020-06-25 光致抗蚀剂膜的干式室清洁

Country Status (7)

Country Link
US (1) US20220344136A1 (zh)
EP (1) EP3990986A4 (zh)
JP (1) JP2022538554A (zh)
KR (1) KR20220025885A (zh)
CN (1) CN114631062A (zh)
TW (1) TW202113506A (zh)
WO (1) WO2020264571A1 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
US20210114067A1 (en) * 2019-10-18 2021-04-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor cleaning apparatus and method
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
CN115398347A (zh) * 2020-02-04 2022-11-25 朗姆研究公司 提高含金属euv抗蚀剂干式显影性能的涂敷/暴露后处理
WO2021178302A1 (en) * 2020-03-02 2021-09-10 Inpria Corporation Process environment for inorganic resist patterning
US11621172B2 (en) * 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
KR20220122745A (ko) * 2020-07-07 2022-09-02 램 리써치 코포레이션 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스
US11675278B2 (en) * 2021-01-14 2023-06-13 Texas Instruments Incorporated Exhaust gas monitor for photoresist adhesion control
JP2022146092A (ja) * 2021-03-22 2022-10-05 東京エレクトロン株式会社 基板処理方法及び基板処理装置
TW202307594A (zh) * 2021-04-02 2023-02-16 美商蘭姆研究公司 來自含金屬光阻之金屬汙染物的控制
WO2024111454A1 (ja) * 2022-11-25 2024-05-30 東京エレクトロン株式会社 ドライ現像方法及びドライ現像装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6290779B1 (en) * 1998-06-12 2001-09-18 Tokyo Electron Limited Systems and methods for dry cleaning process chambers
US20020185067A1 (en) * 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US20090325387A1 (en) * 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
EP2934775B1 (en) * 2012-12-18 2021-03-17 Seastar Chemicals Inc. Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US10840082B2 (en) * 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber

Also Published As

Publication number Publication date
KR20220025885A (ko) 2022-03-03
US20220344136A1 (en) 2022-10-27
JP2022538554A (ja) 2022-09-05
EP3990986A1 (en) 2022-05-04
EP3990986A4 (en) 2023-07-26
WO2020264571A1 (en) 2020-12-30
TW202113506A (zh) 2021-04-01

Similar Documents

Publication Publication Date Title
US20220344136A1 (en) Dry chamber clean of photoresist films
US20220244645A1 (en) Photoresist development with halide chemistries
TW202113146A (zh) 具有多個圖案化輻射吸收成分及/或垂直組成梯度的光阻
US20230107357A1 (en) Process tool for dry removal of photoresist
US20230416606A1 (en) Photoresist development with organic vapor
US20230314954A1 (en) Dry backside and bevel edge clean of photoresist
US20240145272A1 (en) Integrated dry processes for patterning radiation photoresist patterning
KR102676684B1 (ko) 금속 함유 포토레지스트로부터 금속성 (metallic) 오염의 제어
US20240036474A1 (en) Control of metallic contamination from metal-containing photoresist
WO2024076679A1 (en) Dry chamber clean using thermal and plasma processes
WO2024123632A2 (en) Endpoint detection and tracking of photoresist processes
KR20240095473A (ko) 금속 함유 포토레지스트로부터 금속성 오염의 제어
CN118159914A (zh) 用于阻止蚀刻停止的金属氧化物基光致抗蚀剂的循环显影
CN118020031A (zh) 含金属光致抗蚀剂的再加工
TW202401131A (zh) 含金屬光阻的顯影後處理

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination