CN114462338A - 一种集成电路的验证方法、装置、计算机设备及存储介质 - Google Patents

一种集成电路的验证方法、装置、计算机设备及存储介质 Download PDF

Info

Publication number
CN114462338A
CN114462338A CN202111435844.5A CN202111435844A CN114462338A CN 114462338 A CN114462338 A CN 114462338A CN 202111435844 A CN202111435844 A CN 202111435844A CN 114462338 A CN114462338 A CN 114462338A
Authority
CN
China
Prior art keywords
integrated circuit
register
file
tested
configuration file
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202111435844.5A
Other languages
English (en)
Inventor
刘才齐
冷祥纶
李益全
李林鹏
叶婧茹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shanghai Power Tensors Intelligent Technology Co Ltd
Original Assignee
Shanghai Power Tensors Intelligent Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shanghai Power Tensors Intelligent Technology Co Ltd filed Critical Shanghai Power Tensors Intelligent Technology Co Ltd
Priority to CN202111435844.5A priority Critical patent/CN114462338A/zh
Publication of CN114462338A publication Critical patent/CN114462338A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/34Circuit design for reconfigurable circuits, e.g. field programmable gate arrays [FPGA] or programmable logic devices [PLD]
    • G06F30/343Logical level

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

本公开提供了一种集成电路的验证方法及装置,其中该方法包括:基于自动化脚本,执行下述步骤:获取待测试集成电路的寄存器级转换电路RTL代码、以及配置文件;基于所述配置文件中的配置信息对仿真器进行配置,在所述仿真器中生成目标验证环境;在所述目标验证环境中,基于所述RTL代码,对所述待测试集成电路进行仿真,得到所述待测试集成电路的仿真结果;基于所述仿真结果、以及与所述待测试集成电路对应的真实结果,得到所述待测试集成电路的验证结果。本公开实施例通过自动化脚本自动生成目标验证环境,并利用目标验证环境实现对集成电路的验证,因而能够提升验证效率。

Description

一种集成电路的验证方法、装置、计算机设备及存储介质
技术领域
本公开涉及集成电路设计技术领域,具体而言,涉及一种集成电路的验证方法、装置、计算机设备及存储介质。
背景技术
集成电路的设计步骤通常包括:生成行为级描述、生成寄存器转换级电路(Register Transfer Level,RTL)描述、将行为级描述或者RTL级描述转换为门级网表、以及基于门级网表生成物理版图(集成电路)。同时,在设计集成电路的过程中,为了保证所生成的集成电路性能,通常需要在生成RTL描述后,对集成电路进行验证。
而随着集成电路行业的快速发展,带来集成电路技术飞速迭代名,同时也为芯片设计带来越来越多的验证加速需求。
发明内容
本公开实施例至少提供一种集成电路的验证方法、装置、计算机设备及存储介质。
第一方面,本公开实施例提供了一种集成电路的验证方法,包括:获取待测试集成电路的寄存器级转换电路RTL代码、以及配置文件;基于所述配置文件中的配置信息对仿真器进行配置,在所述仿真器中生成目标验证环境;在所述目标验证环境中,基于所述RTL代码,对所述待测试集成电路进行仿真,得到所述待测试集成电路的仿真结果;基于所述仿真结果、以及与所述待测试集成电路对应的真实结果,得到所述待测试集成电路的验证结果。
这样,通过获取待测试集成电路的RTL代码、以及配置文件后,基于配置文件对仿真器进行配置,在仿真器中生成目标验证环境,并基于目标验证环境执行RTL代码,得到待测试集成电路的仿真结果,然后基于仿真结果、以及待测试集成电路对应的真实结果,得到待测试集成电路的验证结果,从而实现了自动生成目标验证环境,并利用目标验证环境实现对集成电路的验证,因而能够提升验证效率。
一种可选的实施方式中,所述配置文件包括下述至少一种:寄存器配置文件、初始化数据文件、以及指令文件。
一种可选的实施方式中,获取所述待测试集成电路的配置文件,包括:获取所述待测试集成电路的原始配置文件;对所述原始配置文件进行格式转换,得到所述预设仿真器能够识别的所述配置文件。
这样,可以获取原始配置文件后,将原始配置文件转换为仿真器能够识别的配置文件,使得能够在仿真器中,利用配置文件进行自动化的配置,提升文件转换的效率。
一种可选的实施方式中,所述配置文件包括:寄存器配置文件;所述配置信息包括:寄存器的寄存器值、以及寄存器地址;所述对所述原始配置文件进行格式转换,得到所述预设仿真器能够识别的所述配置文件,包括:从原始寄存器配置文件中,依次读取多个寄存器名称中的每个寄存器名称;针对读取到的各寄存器名称,将与该寄存器名称对应的寄存器值,与该寄存器名称对应的寄存器地址进行配对,生成所述寄存器值、与寄存器地址的匹配关系信息;基于多个所述寄存器分别对应的匹配关系信息,生成所述寄存器配置文件。
一种可选的实施方式中,所述基于所述配置文件中的配置信息对仿真器进行配置,包括:将所述寄存器配置文件后门读写到预设的第一存储空间;控制微控制器从所述第一存储空间读取所述匹配关系信息;将读取到的所述匹配关系信息中的寄存器地址确定为虚拟寄存器的地址,并将所述寄存器值存储至与所述虚拟寄存器对应的存储空间中。
一种可选的实施方式中,所述配置文件包括:与所述待测试集成电路中的多个功能块分别对应的初始化数据文件;所述配置信息包括:对应功能块的激励数据;所述对所述原始配置文件进行格式转换,得到所述预设仿真器能够识别的所述配置文件,包括:根据所述待测试集成电路中的多个所述功能块分别对应的激励数据、以及所述激励数据在对应功能块中的交织顺序,对原始初始化数据文件进行切分,得到与多个所述功能块分别对应的初始化数据文件。
一种可选的实施方式中,所述基于所述配置文件中的配置信息对仿真器进行配置,包括:将所述初始化数据文件分别后门读写至与各个初始化数据文件分别对应的第二存储空间,以及对所述初始化数据文件进行解析,得到所述初始化数据文件的数量、以及各个初始化数据文件分别对应的第二存储空间的第一地址信息;基于所述初始化数据文件的数量、以及各个初始化数据文件分别对应的第二存储空间的第一地址信息生成第一中间文件;将所述第一中间文件提交至仿真器;所述第一中间文件用于所述仿真器在对所述待测试集成电路进行仿真时,读取各所述初始化数据文件中的激励数据。
一种可选的实施方式中,所述配置文件包括:与所述待测试集成电路中的多个功能块分别对应的指令文件;所述配置信息包括:对应功能块的指令;所述对所述原始配置文件进行格式转换,得到所述预设仿真器能够识别的所述配置文件,包括:根据所述待测试集成电路中的多个所述功能块分别对应的指令、以及所述指令在对应功能块中的交织顺序,对原始指令文件进行切分,得到与多个所述功能块分别对应的指令文件。
一种可选的实施方式中,所述基于所述配置文件中的配置信息对仿真器进行配置,包括:将所述指令文件分别后门读写至与各个指令文件分别对应的第三存储空间,以及对所述指令文件进行解析,得到所述指令的数量、以及各个指令文件分别对应的第三存储空间的第二地址信息;基于所述指令文件的数量、以及各个指令文件分别对应的第三存储空间的第二地址信息生成第二中间文件;将所述第二中间文件提交至仿真器;所述第二中间文件用于所述仿真器在对所述待测试集成电路进行仿真时,读取各所述指令文件中的指令。
第二方面,本公开实施例还提供集成电路的验证装置,包括:获取模块、配置模块、仿真模块、以及验证模块;
其中,所述获取模块,用于:获取待测试集成电路的寄存器级转换电路RTL代码、以及配置文件;
所述配置模块,用于:基于所述配置文件中的配置信息对仿真器进行配置,在所述仿真器中生成目标验证环境;
所述仿真模块,用于在所述目标验证环境中,基于所述RTL代码,对所述待测试集成电路进行仿真,得到所述待测试集成电路的仿真结果;
所述验证模块,用于基于所述仿真结果、以及与所述待测试集成电路对应的真实结果,得到所述待测试集成电路的验证结果。
一种可能的实施方式中,所述配置文件包括下述至少一种:寄存器配置文件、初始化数据文件、以及指令文件。
一种可能的实施方式中,所述获取模块,在获取所述待测试集成电路的配置文件时,用于:
获取所述待测试集成电路的原始配置文件;
对所述原始配置文件进行格式转换,得到所述预设仿真器能够识别的所述配置文件。
一种可能的实施方式中,所述配置文件包括:寄存器配置文件;所述配置信息包括:寄存器的寄存器值、以及寄存器地址;
所述获取模块,在对所述原始配置文件进行格式转换,得到所述预设仿真器能够识别的所述配置文件时,用于:
从原始寄存器配置文件中,依次读取多个寄存器名称中的每个寄存器名称;
针对读取到的各寄存器名称,将与该寄存器名称对应的寄存器值,与该寄存器名称对应的寄存器地址进行配对,生成所述寄存器值、与寄存器地址的匹配关系信息;
基于多个所述寄存器分别对应的匹配关系信息,生成所述寄存器配置文件。
一种可能的实施方式中,所述配置模块,在基于所述配置文件中的配置信息对仿真器进行配置时,用于:
将所述寄存器配置文件后门读写到预设的第一存储空间;
控制微控制器从所述第一存储空间读取所述匹配关系信息;
将读取到的所述匹配关系信息中的寄存器地址确定为虚拟寄存器的地址,并将所述寄存器值存储至与所述虚拟寄存器对应的存储空间中。
一种可能的实施方式中,所述配置文件包括:与所述待测试集成电路中的多个功能块分别对应的初始化数据文件;所述配置信息包括:对应功能块的激励数据;
所述获取模块,在对所述原始配置文件进行格式转换,得到所述预设仿真器能够识别的所述配置文件时,用于:
根据所述待测试集成电路中的多个所述功能块分别对应的激励数据、以及所述激励数据在对应功能块中的交织顺序,对原始初始化数据文件进行切分,得到与多个所述功能块分别对应的初始化数据文件。
一种可能的实施方式中,所述配置模块,在基于所述配置文件中的配置信息对仿真器进行配置时,用于:
将所述初始化数据文件分别后门读写至与各个初始化数据文件分别对应的第二存储空间,以及
对所述初始化数据文件进行解析,得到所述初始化数据文件的数量、以及各个初始化数据文件分别对应的第二存储空间的第一地址信息;
基于所述初始化数据文件的数量、以及各个初始化数据文件分别对应的第二存储空间的第一地址信息生成第一中间文件;
将所述第一中间文件提交至仿真器;所述第一中间文件用于所述仿真器在对所述待测试集成电路进行仿真时,读取各所述初始化数据文件中的激励数据。
一种可能的实施方式中,所述配置文件包括:与所述待测试集成电路中的多个功能块分别对应的指令文件;所述配置信息包括:对应功能块的指令;
所述获取模块,在对所述原始配置文件进行格式转换,得到所述预设仿真器能够识别的所述配置文件时,用于:
根据所述待测试集成电路中的多个所述功能块分别对应的指令、以及所述指令在对应功能块中的交织顺序,对原始指令文件进行切分,得到与多个所述功能块分别对应的指令文件。
一种可能的实施方式中,所述配置模块,在基于所述配置文件中的配置信息对仿真器进行配置时,用于:
将所述指令文件分别后门读写至与各个指令文件分别对应的第三存储空间,以及
对所述指令文件进行解析,得到所述指令的数量、以及各个指令文件分别对应的第三存储空间的第二地址信息;
基于所述指令文件的数量、以及各个指令文件分别对应的第三存储空间的第二地址信息生成第二中间文件;
将所述第二中间文件提交至仿真器;所述第二中间文件用于所述仿真器在对所述待测试集成电路进行仿真时,读取各所述指令文件中的指令。
第三方面,本公开可选实现方式还提供一种计算机设备,处理器、存储器,所述存储器存储有所述处理器可执行的机器可读指令,所述处理器用于执行所述存储器中存储的机器可读指令,所述机器可读指令被所述处理器执行时,所述机器可读指令被所述处理器执行时执行上述第一方面,或第一方面中任一种可能的实施方式中的步骤。
第四方面,本公开可选实现方式还提供一种计算机可读存储介质,该计算机可读存储介质上存储有计算机程序,该计算机程序被运行时执行上述第一方面,或第一方面中任一种可能的实施方式中的步骤。
关于上述集成电路的验证装置、计算机设备、及计算机可读存储介质的效果描述参见上述集成电路的验证方法的说明,这里不再赘述。
为使本公开的上述目的、特征和优点能更明显易懂,下文特举较佳实施例,并配合所附附图,作详细说明如下。
附图说明
为了更清楚地说明本公开实施例的技术方案,下面将对实施例中所需要使用的附图作简单地介绍,此处的附图被并入说明书中并构成本说明书中的一部分,这些附图示出了符合本公开的实施例,并与说明书一起用于说明本公开的技术方案。应当理解,以下附图仅示出了本公开的某些实施例,因此不应被看作是对范围的限定,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他相关的附图。
图1示出了本公开实施例所提供的一种集成电路的验证方法的流程图;
图2示出了本公开实施例所提供的一种集成电路的验证装置的示意图;
图3示出了本公开实施例所提供的一种计算机设备的示意图。
具体实施方式
为使本公开实施例的目的、技术方案和优点更加清楚,下面将结合本公开实施例中附图,对本公开实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例仅仅是本公开一部分实施例,而不是全部的实施例。通常在此处描述和示出的本公开实施例的组件可以以各种不同的配置来布置和设计。因此,以下对本公开的实施例的详细描述并非旨在限制要求保护的本公开的范围,而是仅仅表示本公开的选定实施例。基于本公开的实施例,本领域技术人员在没有做出创造性劳动的前提下所获得的所有其他实施例,都属于本公开保护的范围。
经研究发现,集成电路的设计开发需要多环节多人协作,且不同的设计开发环节,所需要的开发环境也不相同。但随着集成电路行业的快速发展,带来集成电路技术飞速迭代名,同时也为集成电路设计带来越来越多的验证加速需求,当前采用通用验证方法学(Universal Verification Methodology,UVM)验证的方式,已经无法满足越来越多的验证需求;为了解决该问题,目前会利用专用仿真加速器(emulator)来实现对集成电路的加速验证。但在对集成电路进行验证时,由于开发环境的差异,需要验证人员利用专用仿真加速器手动搭建芯片原型验证系统,然后利用芯片原型验证环境,对待测的集成电路进行验证。当前通过手动搭建芯片原型验证环境的方式,存在验证效率低的问题。
基于上述研究,本公开提供了一种集成电路的验证方法,通过自动生成目标验证环境,并利用目标验证环境实现对集成电路的验证,因而能够提升验证效率。
针对以上方案所存在的缺陷,均是发明人在经过实践并仔细研究后得出的结果,因此,上述问题的发现过程以及下文中本公开针对上述问题所提出的解决方案,都应该是发明人在本公开过程中对本公开做出的贡献。
应注意到:相似的标号和字母在下面的附图中表示类似项,因此,一旦某一项在一个附图中被定义,则在随后的附图中不需要对其进行进一步定义和解释。
为便于对本实施例进行理解,首先对本公开实施例所公开的一种集成电路的验证方法进行详细介绍,本公开实施例所提供的集成电路的验证方法的执行主体一般为具有一定计算能力的计算机设备,该计算机设备例如包括:终端设备或服务器或其它处理设备,终端设备可以为用户设备(User Equipment,UE)、移动设备、用户终端、终端、蜂窝电话、无绳电话、个人数字助理(Personal Digital Assistant,PDA)、手持设备、计算设备、车载设备、可穿戴设备等。在一些可能的实现方式中,该集成电路的验证方法可以通过处理器调用存储器中存储的计算机可读指令的方式来实现。
下面对本公开实施例提供的集成电路的验证方法加以说明。
参见图1所示,为本公开实施例提供的集成电路的验证方法的流程图,所述方法包括步骤S101~S104,其中:
S101:获取待测试集成电路的寄存器级转换电路RTL代码、以及配置文件;
S102:基于所述配置文件对仿真器进行配置,在所述仿真器中生成目标验证环境;
S103:基于所述目标验证环境,执行所述RTL代码,得到所述待测试集成电路的仿真结果;
S104:基于所述仿真结果、以及与所述待测试集成电路对应的真实结果,得到所述待测试集成电路的验证结果。
本公开实施例步骤S101~S104可以基于自动化脚本执行。通过获取待测试集成电路的RTL代码、以及配置文件后,基于配置文件对仿真器进行配置,在仿真器中生成目标验证环境,并基于目标验证环境执行RTL代码,得到待测试集成电路的仿真结果,然后基于仿真结果、以及待测试集成电路对应的真实结果,得到待测试集成电路的验证结果,从而自动生成目标验证环境,并利用目标验证环境实现对集成电路的验证,因而能够提升验证效率。
下面对上述S101~S104分别加以详细说明。
针对S101,集成电路例如包括芯片;芯片例如可以包括人工智能芯片(ArtificialIntelligence,AI)。针对AI芯片,例如可以包括图形处理器(Graphic Processing Unit,GPU)、现场可编程逻辑门阵列(Field Programmable Gate Array,FPGA)、以及专用集成电路(Application Specific Integrated Circuit,ASIC)等。
待测试集成电路RTL代码,是利用集成电路的行为级描述生成的。行为级是最符合人类逻辑思维方式的描述角度,可以用C/C++等编程语言来描述;RTL级描述电路指的是用寄存器这一级别的描述方式来描述电路的数据流方式,其中,行为级描述的目标就是实现特定的功能而没有可综合的限制,RTL级描述的目标就是可综合,即可以转换至门级电路。设计人员在设计集成电路时,首先根据集成电路的具体需求,利用高级编程语言,如C/C++编写行为级描述对应的代码,然后将行为级描述的代码转换为RTL代码。从行为级到RTL级的转换,可以由集成电路设计人员手工翻译,也可以利用综合工具将行为级转换至RTL,如明导(Menter Graphics)公司的高层次综合工具Catapult C Synthesis。
在集成电路的验证环节,可以直接读取RTL生成环节得到的RTL代码。
配置文件是利用待测试集成电路的RTL代码对待测试集成电路进行仿真时所需要的相关文件,在集成电路的验证环节,可以获取待测试集成电路的原始配置文件,然后对所述原始配置文件进行格式转换,得到所述预设仿真器能够识别的所述配置文件。
具体的,在利用仿真器对集成电路进行验证时,主要有两种验证方式:
其一:结合UVM验证侧和仿真器验证侧,对待测试集成电路进行验证。其中,UVM是一个以System Verilog类库为主体的验证平台开发框架,验证工程师可以利用其可重用组件构建具有标准化层次结构和接口的功能验证环境。
在该种验证过程中,首先利用UVM对待测试集成电路进行验证,然后利用仿真器对待测试集成电路进行仿真验证。其中,用UVM对待测试集成电路进行验证的内容,和利用仿真器对待测试集成电路进行仿真验证的验证内容可以不同;例如,利用UVM对待测试集成电路进行代码覆盖率等验证,利用利用仿真器对待测试集成电路中各个功能块进行功能验证。
这样,需要将待测试集成电路的相关文件从UVM验证侧转为仿真器侧能用的格式。此时,原始配置文件,例如是在UVM验证侧验证时所采用的配置文件。
其二:利用仿真器对待测试集成电路进行验证。在该种验证过程中,需要将待测试集成电路的相关文件从算法侧转换为仿真器侧能用的格式,此时,原始配置文件,例如是在生成RTL时所采用的配置文件。
配置文件包括下述至少一种:寄存器配置文件、初始化数据文件、以及指令文件。其中:
A:针对寄存器配置文件:寄存器配置文件包括了待测试集成电路中所包括的寄存器的配置信息。示例性的,在待测试集成电路中,包括了至少一个寄存器;寄存器配置信息例如包括但不限于下述至少一种:寄存器的名称、偏移地址、类型、读写权限、各型号域的信号名、位段定义、默认值、注释等。原始配置文件包括:原始寄存器配置文件。
本公开实施例中,可以采用下述方式对原始寄存器配置文件进行格式转换,得到预设仿真器能够识别的寄存器配置文件:
所述对所述原始配置文件进行格式转换,得到所述预设仿真器能够识别的所述配置文件,包括:
从原始寄存器配置文件中,依次读取多个寄存器名称中的每个寄存器名称;
针对读取到的各寄存器名称,将与该寄存器名称对应的寄存器值,与该寄存器名称对应的寄存器地址进行配对,生成所述寄存器值、与寄存器地址的匹配关系信息;
基于多个所述寄存器分别对应的匹配关系信息,生成所述寄存器配置文件。
其中,在一种可能的实施方式中,在原始寄存器配置文件中并未包含寄存器地址,包含了寄存器名称和寄存器值;在集成电路设计过程中,会维护与集成电路对应的设计文档;在设计文档中,包括了集成电路中各个寄存器的寄存器名称、以及寄存器地址。在从原始寄存器配置文件读取到寄存器名称后,可以基于寄存器名称,从设计文档中读取对应的寄存器地址,然后将从设计文档中读取的寄存器地址、和从原始寄存器配置文件中读取到的寄存器值进行配对,生成寄存器值与寄存器地址的匹配关系信息,然后将该匹配关系信息写入预设格式的文件中,生成寄存器配置文件。此处,预设格式例如为仿真器能够识别的格式。
在另一种可能的实施方式中,在原始寄存器配置文件中包含了寄存器地址、寄存器名称、和寄存器值,可以依次读取各个寄存器地址,并基于寄存器地址从原始寄存器配置文件中读取寄存器名称和寄存器值,然后生成寄存器值与寄存器地址的匹配关系信息,然后将该匹配关系信息写入预设格式的文件中,生成寄存器配置文件。此处,预设格式例如为仿真器能够识别的格式。
B:初始化数据文件:初始化数据文件包括与所述待测试集成电路中的多个功能块分别对应的初始化数据文件;所述初始化数据文件中携带有对应功能块的激励数据。原始配置文件包括:原始初始化数据文件。
其中,激励数据例如包括:待测试集成电路的各个功能块分别对应的外部输入信号,针对部分功能块,该外部输入信号可以是集成电路外部输入的信号,集成电路例如为人工智能(Artificial Intelligence,AI)芯片,其功能是对图像进行处理;集成电路外部的输入信号例如是AI芯片的使能信号。针对另一部分功能块,该外部输入信号可以其他功能块产生的信号,或者在集成电路使用过程中需要在功能块之间传递的信号。
示例性的,在集成电路的验证中,初始化数据需要存放在双倍速率同步动态随机存储器(Double Data Rate SDRAM,DDR)中指定的地址空间中,需要将从UVM验证侧拿到的初始化数据文件转换成仿真器可用的数据格式,存放在一个文件中,在仿真阶段通过仿真器专用指令后门读写(backdoor)到预定好的DDR地址空间中。
本公开实施例中,可以采用下述方式对原始初始化数据文件进行格式转换,得到预设仿真器能够识别的初始化数据文件:
根据所述待测试集成电路中的多个所述功能块分别对应的激励数据、以及所述激励数据在对应功能块中的交织顺序,对原始初始化数据文件进行切分,得到与多个所述功能块分别对应的初始化数据文件。
其中,针对每个功能块,激励数据在对应功能块中的交织顺序是指不同激励数据之间的相互转换逻辑、传输逻辑、处理顺序等中至少一种。
在对原始初始化数据文件进行切分时,由于多个功能块分别对应的激励数据被存储在同一原始初始化数据文件中,在对原始初始化数据文件进行切分时,例如可以依次读取原始初始化数据文件中的每条激励数据,在读取到每条激励数据后,确定读取到的每条激励数据对应的功能块,然后根据读取到的每条激励数据在对应功能块的交织顺序,确定该条激励数据在对应功能块的初始化数据文件中的存储位置,并根据该存储位置,将其存储至对应功能块的初始化数据文件中。当遍历读取原始初始化数据文件中的所有激励数据,并存储至对应的初始化数据文件中对应存储位置后,得到多个功能块分别对应的初始化数据文件。
C:配置文件包括:与所述待测试集成电路中的多个功能块分别对应的指令文件;所述指令文件中携带有对应功能块的指令。原始配置文件包括:原始指令文件。
其中,指令包括:待测试集成电路的各个功能块分别对应的指令。针对部分功能块,该对应的指令可以是集成电路外部输入的指令,集成电路例如为人工智能(ArtificialIntelligence,AI)芯片,其功能是对图像进行处理;集成电路外部输入分指令例如示任务处理指令。针对另一部分功能块,该外部输入信号可以其他功能块产生的指令,或者在集成电路使用过程中需要在功能块之间传递的指令。
指令通常读取方式和激励数据类似,都是多通道同时读取的,也就同样需要交织存放在预定地址段的不同空间中,本公开实施例中提供的自动验证过程也需要通过脚本文件将原始指令文件切割成若干份且满足原有设计的指令交织顺序的指令文件。
本公开实施例中,可以采用下述方式对原始指令文件进行格式转换,得到预设仿真器能够识别的指令文件:
根据所述待测试集成电路中的多个所述功能块分别对应的指令、以及所述指令在对应功能块中的交织顺序,对原始指令文件进行切分,得到与多个所述功能块分别对应的指令文件。
其中,针对每个功能块,指令在对应功能块中的交织顺序是指不同指令之间的相互转换逻辑、传输逻辑、处理顺序等中至少一种。
在对原始指令文件进行切分时,由于多个功能块分别对应的指令被存储在同一原始指令文件中,在对原始指令文件进行切分时,例如可以依次读取原始指令文件中的每条指令,在读取到每条指令后,确定读取到的每条指令对应的功能块,然后根据读取到的每条指令在对应功能块的交织顺序,确定该条指令在对应功能块的指令文件中的存储位置,并根据该存储位置,将其存储至对应功能块的指令文件中。当遍历读取原始指令文件中的所有指令,并存储至对应的指令文件中对应存储位置后,得到多个功能块分别对应的指令文件。
针对S102,在获取了配置文件后,即可以利用配置文件对仿真器进行配置,在仿真器中生成目标验证环境。
D:在所述配置文件包括寄存器配置文件,所述配置信息包括寄存器的寄存器值、以及寄存器地址的情况下,可以采用下述方式对仿真器进行配置:
将所述寄存器配置文件后门读写(backdoor)到预设的第一存储空间;
控制微控制器从所述第一存储空间读取所述匹配关系信息;
将读取到的所述匹配关系信息中的寄存器地址确定为虚拟寄存器的地址,并将所述寄存器值存储至与所述虚拟寄存器对应的存储空间中。
在具体实施中,仿真过程例如可以依赖于仿真器来实现的;在仿真器中包括有微控制器,微控制器能够基于寄存器配置信息、激励数据、以及指令执行具体的仿真过程。仿真器的微控制器,例如可以包括:现场可编程逻辑门阵列(Field Programmable GateArray,FPGA)、中央处理器(Central Processing Unit,CPU)阵列中的任一种。
在仿真过程中,由于寄存器的配置信息是需要运行仿真器的微控制器直接访问的信息,而微控制器无法直接对寄存器配置文件进行读取,因此在控制微控制器从第一存储空间中读取匹配关系信息时,可以利用自动化脚本调用微控制器中微控制器固件,利用微控制器固件采用循环读取的方式,从第一存储空间中的寄存器配置文件中,读取寄存器地址、以及寄存器值,并将读取到的所述匹配关系信息中的寄存器地址确定为虚拟寄存器的地址,并将所述寄存器值存储至与所述虚拟寄存器对应的存储空间中。
示例性的,寄存器地址和寄存器值的大小不会超过32bit,所以可以用两个32bit拼接在一起共64bit的数据来表示一个寄存器配置的信息,通过自动化脚本将寄存器配置文件后门读写至第一存储空间后,再控制微控制器读取对应的配置信息,前32bit代表寄存器地址,后32bit代表寄存器值,在微控制器固件文件中通过循环读取的方式,将待配置的寄存器逐一配置到预设的寄存器地址段中,实现寄存器配置的自动化。
E:配置文件包括与所述待测试集成电路中的多个功能块分别对应的初始化数据文件,所述配置信息包括对应功能块的激励数据的情况下,可以采用下述方式对仿真器进行配置:
将所述初始化数据文件分别后门读写至与各个初始化数据文件分别对应的第二存储空间,以及
对所述初始化数据文件进行解析,得到所述初始化数据文件的数量、以及各个初始化数据文件分别对应的第二存储空间的第一地址信息;
基于所述初始化数据文件的数量、以及各个初始化数据文件分别对应的第二存储空间的第一地址信息生成第一中间文件;
将所述第一中间文件提交至仿真器;所述第一中间文件用于所述仿真器在对所述待测试集成电路进行仿真时,读取各所述初始化数据文件中的激励数据。
通过上述过程,先将初始化数据文件分别后门读写至对应的第二存储空间,并生成第一中间文件,然后将第一中间文件交付给仿真器,完成对仿真器在执行仿真时所需要激励数据的配置。仿真器在对待测试集成电路进行仿真时,可以利用tcl命令解析第一中间文件,将其中初始化数据文件的数量、以及各个初始化数据文件对应的第一地址信息从第一中间文件中提取出来,并以变量的方式供仿真器;仿真器通过初始化数据文件的数量、以及各个初始化数据文件对应得第一地址信息,从对应的第二存储空间中读取激励数据,并利用激励数据,完成对待测试集成电路的仿真过程。
F:配置文件包括与所述待测试集成电路中的多个功能块分别对应的指令文件,所述配置信息包括对应功能块的指令。可以采用下述方式对仿真器进行配置:
将所述指令文件分别后门读写至与各个指令文件分别对应的第三存储空间,以及
对所述指令文件进行解析,得到所述指令的数量、以及各个指令文件分别对应的第三存储空间的第二地址信息;
基于所述指令文件的数量、以及各个指令文件分别对应的第三存储空间的第二地址信息生成第二中间文件;
将所述第二中间文件提交至仿真器;所述第二中间文件用于所述仿真器在对所述待测试集成电路进行仿真时,读取各所述指令文件中的指令。
这里,先将指令文件分别后门读写至对应的第三存储空间,并生成第二中间文件,然后将第二中间文件交付给仿真器,完成对仿真器在执行仿真时所需要指令的配置。仿真器在对待测试集成电路进行仿真时,可以利用tcl命令解析第二中间文件,将其中指令文件的数量、以及各个指令文件对应的第二地址信息从第二中间文件中提取出来,并以变量的方式供仿真器;仿真器通过指令文件的数量、以及各个指令文件对应得第二地址信息,从对应的第三存储空间中读取指令,并利用指令,完成对待测试集成电路的仿真过程。
针对上述S103和S104:在仿真器中生成目标验证环境后,在目标验证环境中,可以通过执行RTL代码,对待测试集成电路进行仿真,得到待测试集成电路的仿真结果。
在仿真结束后,仿真器可以到指定的DDR的指定地址空间读取输出的仿真结果,通过仿真器专用命令将其下载到一个文件中。然后需要将该文件转化成待测集成电路中的最终结果文件的数据格式,以方便和待测试集成电路对应的真实结果进行比对。
本领域技术人员可以理解,在具体实施方式的上述方法中,各步骤的撰写顺序并不意味着严格的执行顺序而对实施过程构成任何限定,各步骤的具体执行顺序应当以其功能和可能的内在逻辑确定。
基于同一发明构思,本公开实施例中还提供了与集成电路的验证方法对应的集成电路的验证装置,由于本公开实施例中的装置解决问题的原理与本公开实施例上述集成电路的验证方法相似,因此装置的实施可以参见方法的实施,重复之处不再赘述。
参照图2所示,为本公开实施例提供的一种集成电路的验证装置的示意图,所述装置包括:获取模块21、配置模块22、仿真模块23、以及验证模块24;
其中,所述获取模块21,用于:获取待测试集成电路的寄存器级转换电路RTL代码、以及配置文件;
所述配置模块22,用于:基于所述配置文件中的配置信息对仿真器进行配置,在所述仿真器中生成目标验证环境;
所述仿真模块23,用于在所述目标验证环境中,基于所述RTL代码,对所述待测试集成电路进行仿真,得到所述待测试集成电路的仿真结果;
所述验证模块24,用于基于所述仿真结果、以及与所述待测试集成电路对应的真实结果,得到所述待测试集成电路的验证结果。
一种可能的实施方式中,所述配置文件包括下述至少一种:寄存器配置文件、初始化数据文件、以及指令文件。
一种可能的实施方式中,所述获取模块21,在获取所述待测试集成电路的配置文件时,用于:
获取所述待测试集成电路的原始配置文件;
对所述原始配置文件进行格式转换,得到所述预设仿真器能够识别的所述配置文件。
一种可能的实施方式中,所述配置文件包括:寄存器配置文件;所述配置信息包括:寄存器的寄存器值、以及寄存器地址;
所述获取模块21,在对所述原始配置文件进行格式转换,得到所述预设仿真器能够识别的所述配置文件时,用于:
从原始寄存器配置文件中,依次读取多个寄存器名称中的每个寄存器名称;
针对读取到的各寄存器名称,将与该寄存器名称对应的寄存器值,与该寄存器名称对应的寄存器地址进行配对,生成所述寄存器值、与寄存器地址的匹配关系信息;
基于多个所述寄存器分别对应的匹配关系信息,生成所述寄存器配置文件。
一种可能的实施方式中,所述配置模块22,在基于所述配置文件中的配置信息对仿真器进行配置时,用于:
将所述寄存器配置文件后门读写到预设的第一存储空间;
控制微控制器从所述第一存储空间读取所述匹配关系信息;
将读取到的所述匹配关系信息中的寄存器地址确定为虚拟寄存器的地址,并将所述寄存器值存储至与所述虚拟寄存器对应的存储空间中。
一种可能的实施方式中,所述配置文件包括:与所述待测试集成电路中的多个功能块分别对应的初始化数据文件;所述配置信息包括:对应功能块的激励数据;
所述获取模块21,在对所述原始配置文件进行格式转换,得到所述预设仿真器能够识别的所述配置文件时,用于:
根据所述待测试集成电路中的多个所述功能块分别对应的激励数据、以及所述激励数据在对应功能块中的交织顺序,对原始初始化数据文件进行切分,得到与多个所述功能块分别对应的初始化数据文件。
一种可能的实施方式中,所述配置模块22,在基于所述配置文件中的配置信息对仿真器进行配置时,用于:
将所述初始化数据文件分别后门读写至与各个初始化数据文件分别对应的第二存储空间,以及
对所述初始化数据文件进行解析,得到所述初始化数据文件的数量、以及各个初始化数据文件分别对应的第二存储空间的第一地址信息;
基于所述初始化数据文件的数量、以及各个初始化数据文件分别对应的第二存储空间的第一地址信息生成第一中间文件;
将所述第一中间文件提交至仿真器;所述第一中间文件用于所述仿真器在对所述待测试集成电路进行仿真时,读取各所述初始化数据文件中的激励数据。
一种可能的实施方式中,所述配置文件包括:与所述待测试集成电路中的多个功能块分别对应的指令文件;所述配置信息包括:对应功能块的指令;
所述获取模块21,在对所述原始配置文件进行格式转换,得到所述预设仿真器能够识别的所述配置文件时,用于:
根据所述待测试集成电路中的多个所述功能块分别对应的指令、以及所述指令在对应功能块中的交织顺序,对原始指令文件进行切分,得到与多个所述功能块分别对应的指令文件。
一种可能的实施方式中,所述配置模块22,在基于所述配置文件中的配置信息对仿真器进行配置时,用于:
将所述指令文件分别后门读写至与各个指令文件分别对应的第三存储空间,以及
对所述指令文件进行解析,得到所述指令的数量、以及各个指令文件分别对应的第三存储空间的第二地址信息;
基于所述指令文件的数量、以及各个指令文件分别对应的第三存储空间的第二地址信息生成第二中间文件;
将所述第二中间文件提交至仿真器;所述第二中间文件用于所述仿真器在对所述待测试集成电路进行仿真时,读取各所述指令文件中的指令。
关于装置中的各模块的处理流程、以及各模块之间的交互流程的描述可以参照上述方法实施例中的相关说明,这里不再详述。
本公开实施例还提供了一种计算机设备,如图3所示,为本公开实施例提供的计算机设备结构示意图,包括:
处理器31和存储器32;所述存储器32存储有处理器31可执行的机器可读指令,处理器31用于执行存储器32中存储的机器可读指令,所述机器可读指令被处理器31执行时,处理器31执行下述步骤:
获取待测试集成电路的寄存器级转换电路RTL代码、以及配置文件;
基于所述配置文件中的配置信息对仿真器进行配置,在所述仿真器中生成目标验证环境;
在所述目标验证环境中,基于所述RTL代码,对所述待测试集成电路进行仿真,得到所述待测试集成电路的仿真结果;
基于所述仿真结果、以及与所述待测试集成电路对应的真实结果,得到所述待测试集成电路的验证结果。
上述存储器32包括内存321和外部存储器322;这里的内存321也称内存储器,用于暂时存放处理器31中的运算数据,以及与硬盘等外部存储器322交换的数据,处理器31通过内存321与外部存储器322进行数据交换。
上述指令的具体执行过程可以参考本公开实施例中所述的集成电路的验证方法的步骤,此处不再赘述。
本公开实施例还提供一种计算机可读存储介质,该计算机可读存储介质上存储有计算机程序,该计算机程序被处理器运行时执行上述方法实施例中所述的集成电路的验证方法的步骤。其中,该存储介质可以是易失性或非易失的计算机可读取存储介质。
本公开实施例还提供一种计算机程序产品,该计算机程序产品承载有程序代码,所述程序代码包括的指令可用于执行上述方法实施例中所述的集成电路的验证方法的步骤,具体可参见上述方法实施例,在此不再赘述。
其中,上述计算机程序产品可以具体通过硬件、软件或其结合的方式实现。在一个可选实施例中,所述计算机程序产品具体体现为计算机存储介质,在另一个可选实施例中,计算机程序产品具体体现为软件产品,例如软件开发包(Software Development Kit,SDK)等等。
所属领域的技术人员可以清楚地了解到,为描述的方便和简洁,上述描述的系统和装置的具体工作过程,可以参考前述方法实施例中的对应过程,在此不再赘述。在本公开所提供的几个实施例中,应该理解到,所揭露的系统、装置和方法,可以通过其它的方式实现。以上所描述的装置实施例仅仅是示意性的,例如,所述单元的划分,仅仅为一种逻辑功能划分,实际实现时可以有另外的划分方式,又例如,多个单元或组件可以结合或者可以集成到另一个系统,或一些特征可以忽略,或不执行。另一点,所显示或讨论的相互之间的耦合或直接耦合或通信连接可以是通过一些通信接口,装置或单元的间接耦合或通信连接,可以是电性,机械或其它的形式。
所述作为分离部件说明的单元可以是或者也可以不是物理上分开的,作为单元显示的部件可以是或者也可以不是物理单元,即可以位于一个地方,或者也可以分布到多个网络单元上。可以根据实际的需要选择其中的部分或者全部单元来实现本实施例方案的目的。
另外,在本公开各个实施例中的各功能单元可以集成在一个处理单元中,也可以是各个单元单独物理存在,也可以两个或两个以上单元集成在一个单元中。
所述功能如果以软件功能单元的形式实现并作为独立的产品销售或使用时,可以存储在一个处理器可执行的非易失的计算机可读取存储介质中。基于这样的理解,本公开的技术方案本质上或者说对现有技术做出贡献的部分或者该技术方案的部分可以以软件产品的形式体现出来,该计算机软件产品存储在一个存储介质中,包括若干指令用以使得一台计算机设备(可以是个人计算机,服务器,或者网络设备等)执行本公开各个实施例所述方法的全部或部分步骤。而前述的存储介质包括:U盘、移动硬盘、只读存储器(Read-OnlyMemory,ROM)、随机存取存储器(Random Access Memory,RAM)、磁碟或者光盘等各种可以存储程序代码的介质。
最后应说明的是:以上所述实施例,仅为本公开的具体实施方式,用以说明本公开的技术方案,而非对其限制,本公开的保护范围并不局限于此,尽管参照前述实施例对本公开进行了详细的说明,本领域的普通技术人员应当理解:任何熟悉本技术领域的技术人员在本公开揭露的技术范围内,其依然可以对前述实施例所记载的技术方案进行修改或可轻易想到变化,或者对其中部分技术特征进行等同替换;而这些修改、变化或者替换,并不使相应技术方案的本质脱离本公开实施例技术方案的精神和范围,都应涵盖在本公开的保护范围之内。因此,本公开的保护范围应所述以权利要求的保护范围为准。

Claims (12)

1.一种集成电路的验证方法,其特征在于,包括:
获取待测试集成电路的寄存器级转换电路RTL代码、以及配置文件;
基于所述配置文件中的配置信息对仿真器进行配置,在所述仿真器中生成目标验证环境;
在所述目标验证环境中,基于所述RTL代码,对所述待测试集成电路进行仿真,得到所述待测试集成电路的仿真结果;
基于所述仿真结果、以及与所述待测试集成电路对应的真实结果,得到所述待测试集成电路的验证结果。
2.根据权利要求1所述的方法,其特征在于,所述配置文件包括下述至少一种:寄存器配置文件、初始化数据文件、以及指令文件。
3.根据权利要求2所述的方法,其特征在于,获取所述待测试集成电路的配置文件,包括:
获取所述待测试集成电路的原始配置文件;
对所述原始配置文件进行格式转换,得到所述预设仿真器能够识别的所述配置文件。
4.根据权利要求3所述的方法,其特征在于,所述配置文件包括:寄存器配置文件;所述配置信息包括:寄存器的寄存器值、以及寄存器地址;
所述对所述原始配置文件进行格式转换,得到所述预设仿真器能够识别的所述配置文件,包括:
从原始寄存器配置文件中,依次读取多个寄存器名称中的每个寄存器名称;
针对读取到的各寄存器名称,将与该寄存器名称对应的寄存器值,与该寄存器名称对应的寄存器地址进行配对,生成所述寄存器值、与寄存器地址的匹配关系信息;
基于多个所述寄存器分别对应的匹配关系信息,生成所述寄存器配置文件。
5.根据权利要求4所述的方法,其特征在于,所述基于所述配置文件中的配置信息对仿真器进行配置,包括:
将所述寄存器配置文件后门读写到预设的第一存储空间;
控制微控制器从所述第一存储空间读取所述匹配关系信息;
将读取到的所述匹配关系信息中的寄存器地址确定为虚拟寄存器的地址,并将所述寄存器值存储至与所述虚拟寄存器对应的存储空间中。
6.根据权利要求3-5任一项所述的方法,其特征在于,所述配置文件包括:与所述待测试集成电路中的多个功能块分别对应的初始化数据文件;所述配置信息包括:对应功能块的激励数据;
所述对所述原始配置文件进行格式转换,得到所述预设仿真器能够识别的所述配置文件,包括:
根据所述待测试集成电路中的多个所述功能块分别对应的激励数据、以及所述激励数据在对应功能块中的交织顺序,对原始初始化数据文件进行切分,得到与多个所述功能块分别对应的初始化数据文件。
7.根据权利要求6所述的方法,其特征在于,所述基于所述配置文件中的配置信息对仿真器进行配置,包括:
将所述初始化数据文件分别后门读写至与各个初始化数据文件分别对应的第二存储空间,以及
对所述初始化数据文件进行解析,得到所述初始化数据文件的数量、以及各个初始化数据文件分别对应的第二存储空间的第一地址信息;
基于所述初始化数据文件的数量、以及各个初始化数据文件分别对应的第二存储空间的第一地址信息生成第一中间文件;
将所述第一中间文件提交至仿真器;所述第一中间文件用于所述仿真器在对所述待测试集成电路进行仿真时,读取各所述初始化数据文件中的激励数据。
8.根据权利要求3-7任一项所述的方法,其特征在于,所述配置文件包括:与所述待测试集成电路中的多个功能块分别对应的指令文件;所述配置信息包括:对应功能块的指令;
所述对所述原始配置文件进行格式转换,得到所述预设仿真器能够识别的所述配置文件,包括:
根据所述待测试集成电路中的多个所述功能块分别对应的指令、以及所述指令在对应功能块中的交织顺序,对原始指令文件进行切分,得到与多个所述功能块分别对应的指令文件。
9.根据权利要求8所述的方法,其特征在于,所述基于所述配置文件中的配置信息对仿真器进行配置,包括:
将所述指令文件分别后门读写至与各个指令文件分别对应的第三存储空间,以及
对所述指令文件进行解析,得到所述指令的数量、以及各个指令文件分别对应的第三存储空间的第二地址信息;
基于所述指令文件的数量、以及各个指令文件分别对应的第三存储空间的第二地址信息生成第二中间文件;
将所述第二中间文件提交至仿真器;所述第二中间文件用于所述仿真器在对所述待测试集成电路进行仿真时,读取各所述指令文件中的指令。
10.一种集成电路的验证装置,其特征在于,包括:获取模块、配置模块、仿真模块、以及验证模块;
其中,所述获取模块,用于:获取待测试集成电路的寄存器级转换电路RTL代码、以及配置文件;
所述配置模块,用于:基于所述配置文件中的配置信息对仿真器进行配置,在所述仿真器中生成目标验证环境;
所述仿真模块,用于在所述目标验证环境中,基于所述RTL代码,对所述待测试集成电路进行仿真,得到所述待测试集成电路的仿真结果;
所述验证模块,用于基于所述仿真结果、以及与所述待测试集成电路对应的真实结果,得到所述待测试集成电路的验证结果。
11.一种计算机设备,其特征在于,包括:处理器、存储器,所述存储器存储有所述处理器可执行的机器可读指令,所述处理器用于执行所述存储器中存储的机器可读指令,所述机器可读指令被所述处理器执行时,所述处理器执行如权利要求1至9任一项所述的集成电路的验证方法的步骤。
12.一种计算机可读存储介质,其特征在于,所述计算机可读存储介质上存储有计算机程序,所述计算机程序被计算机设备运行时,所述计算机设备执行如权利要求1至9任一项所述的集成电路的验证方法的步骤。
CN202111435844.5A 2021-11-29 2021-11-29 一种集成电路的验证方法、装置、计算机设备及存储介质 Pending CN114462338A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202111435844.5A CN114462338A (zh) 2021-11-29 2021-11-29 一种集成电路的验证方法、装置、计算机设备及存储介质

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202111435844.5A CN114462338A (zh) 2021-11-29 2021-11-29 一种集成电路的验证方法、装置、计算机设备及存储介质

Publications (1)

Publication Number Publication Date
CN114462338A true CN114462338A (zh) 2022-05-10

Family

ID=81405536

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202111435844.5A Pending CN114462338A (zh) 2021-11-29 2021-11-29 一种集成电路的验证方法、装置、计算机设备及存储介质

Country Status (1)

Country Link
CN (1) CN114462338A (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116661859A (zh) * 2023-07-27 2023-08-29 灵动集成电路南京有限公司 一种mcu外设电路模块的驱动方法、装置、终端设备
CN116736088A (zh) * 2023-08-11 2023-09-12 上海类比半导体技术有限公司 一种用于协助硅后测试的芯片测试方法
CN116776783A (zh) * 2023-05-04 2023-09-19 合芯科技有限公司 一种模拟寄存器读写的白盒验证方法、系统、设备及介质
CN117408198A (zh) * 2023-12-13 2024-01-16 无锡亚科鸿禹电子有限公司 一种仿真模型建模方法、装置、设备及存储介质
CN117436405A (zh) * 2023-12-21 2024-01-23 芯瞳半导体技术(山东)有限公司 一种仿真验证方法、装置及电子设备
CN117749640A (zh) * 2024-02-20 2024-03-22 井芯微电子技术(天津)有限公司 以太网交换芯片uvm及fpga原型验证方法及上位机

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116776783A (zh) * 2023-05-04 2023-09-19 合芯科技有限公司 一种模拟寄存器读写的白盒验证方法、系统、设备及介质
CN116661859A (zh) * 2023-07-27 2023-08-29 灵动集成电路南京有限公司 一种mcu外设电路模块的驱动方法、装置、终端设备
CN116661859B (zh) * 2023-07-27 2023-10-10 灵动集成电路南京有限公司 一种mcu外设电路模块的驱动方法、装置、终端设备
CN116736088A (zh) * 2023-08-11 2023-09-12 上海类比半导体技术有限公司 一种用于协助硅后测试的芯片测试方法
CN116736088B (zh) * 2023-08-11 2023-11-17 上海类比半导体技术有限公司 一种用于协助硅后测试的芯片测试方法
CN117408198A (zh) * 2023-12-13 2024-01-16 无锡亚科鸿禹电子有限公司 一种仿真模型建模方法、装置、设备及存储介质
CN117408198B (zh) * 2023-12-13 2024-03-01 无锡亚科鸿禹电子有限公司 一种仿真模型建模方法、装置、设备及存储介质
CN117436405A (zh) * 2023-12-21 2024-01-23 芯瞳半导体技术(山东)有限公司 一种仿真验证方法、装置及电子设备
CN117436405B (zh) * 2023-12-21 2024-03-22 芯瞳半导体技术(山东)有限公司 一种仿真验证方法、装置及电子设备
CN117749640A (zh) * 2024-02-20 2024-03-22 井芯微电子技术(天津)有限公司 以太网交换芯片uvm及fpga原型验证方法及上位机
CN117749640B (zh) * 2024-02-20 2024-04-26 井芯微电子技术(天津)有限公司 以太网交换芯片uvm及fpga原型验证方法及上位机

Similar Documents

Publication Publication Date Title
CN114462338A (zh) 一种集成电路的验证方法、装置、计算机设备及存储介质
JP4994393B2 (ja) 単一のマスターモデルから異なる抽象化レベルの複数のモデルを生成するシステムと方法
US9026966B1 (en) Co-simulation methodology to address performance and runtime challenges of gate level simulations with, SDF timing using emulators
JP2022036889A (ja) チップを検証する方法、装置、電子デバイス、コンピュータ可読記憶媒体及びコンピュータプログラム
US7865346B2 (en) Instruction encoding in a hardware simulation accelerator
US8650513B2 (en) Reducing x-pessimism in gate-level simulation and verification
JPH11513512A (ja) ディジタル信号プロセッサの製造方法
CN104899076A (zh) 一种超大规模集成电路门级网表仿真的加速方法
CN114707453A (zh) 芯片功能的验证方法、装置、电子设备及存储介质
CN115048888A (zh) 集成电路验证方法、装置、仿真系统、电子设备及介质
CN112287569A (zh) 用于仿真逻辑系统设计的方法、电子设备及存储介质
US20130024178A1 (en) Playback methodology for verification components
US10331830B1 (en) Heterogeneous logic gate simulation using SIMD instructions
US20120166168A1 (en) Methods and systems for fault-tolerant power analysis
CN113742221A (zh) 生成测试用例的方法、电子设备及存储介质
US7228513B2 (en) Circuit operation verification device and method
CN111176926B (zh) 一种基于双口sram的ip核仿真系统及仿真方法
CN113760751B (zh) 生成测试用例的方法、电子设备及存储介质
CN115935870A (zh) 功耗分析方法、装置、电子设备、存储介质
US20190147124A1 (en) Operation model generator and operation model generation method
CN109426503B (zh) 提供仿真激励的方法及装置
US6813751B2 (en) Creating standard VHDL test environments
JP7101709B2 (ja) シミュレータを実現するための方法、装置、デバイス及び媒体
CN115688643A (zh) 仿真逻辑系统设计的方法、设备及存储介质
CN112232003B (zh) 对设计进行仿真的方法、电子设备及存储介质

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination