CN114269970A - 半导体设备制造中的基本上不含碳的含钼和含钨膜 - Google Patents
半导体设备制造中的基本上不含碳的含钼和含钨膜 Download PDFInfo
- Publication number
- CN114269970A CN114269970A CN202080059499.7A CN202080059499A CN114269970A CN 114269970 A CN114269970 A CN 114269970A CN 202080059499 A CN202080059499 A CN 202080059499A CN 114269970 A CN114269970 A CN 114269970A
- Authority
- CN
- China
- Prior art keywords
- metal
- layer
- fluid conduit
- free
- reactant
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- MGRWKWACZDFZJT-UHFFFAOYSA-N molybdenum tungsten Chemical compound [Mo].[W] MGRWKWACZDFZJT-UHFFFAOYSA-N 0.000 title abstract description 12
- 238000005389 semiconductor device fabrication Methods 0.000 title description 3
- 229910052751 metal Inorganic materials 0.000 claims abstract description 194
- 239000002184 metal Substances 0.000 claims abstract description 194
- 239000002243 precursor Substances 0.000 claims abstract description 183
- 239000000758 substrate Substances 0.000 claims abstract description 114
- 239000000376 reactant Substances 0.000 claims abstract description 103
- 239000004065 semiconductor Substances 0.000 claims abstract description 75
- 229910052750 molybdenum Inorganic materials 0.000 claims abstract description 69
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 claims abstract description 63
- 239000011733 molybdenum Substances 0.000 claims abstract description 63
- 229910052799 carbon Inorganic materials 0.000 claims abstract description 45
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims abstract description 35
- 239000003446 ligand Substances 0.000 claims abstract description 22
- 230000004888 barrier function Effects 0.000 claims abstract description 12
- 238000009792 diffusion process Methods 0.000 claims abstract description 11
- 238000000034 method Methods 0.000 claims description 217
- 230000008569 process Effects 0.000 claims description 143
- 238000012545 processing Methods 0.000 claims description 102
- 239000012530 fluid Substances 0.000 claims description 82
- 238000000151 deposition Methods 0.000 claims description 65
- 229910052721 tungsten Inorganic materials 0.000 claims description 51
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 48
- 239000010937 tungsten Substances 0.000 claims description 47
- 230000008021 deposition Effects 0.000 claims description 46
- 239000000463 material Substances 0.000 claims description 45
- 238000002156 mixing Methods 0.000 claims description 39
- 239000012159 carrier gas Substances 0.000 claims description 38
- 239000007789 gas Substances 0.000 claims description 38
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 30
- 238000006243 chemical reaction Methods 0.000 claims description 20
- 150000001875 compounds Chemical class 0.000 claims description 16
- 229910052757 nitrogen Inorganic materials 0.000 claims description 16
- 239000007921 spray Substances 0.000 claims description 16
- 125000000217 alkyl group Chemical group 0.000 claims description 15
- 238000005137 deposition process Methods 0.000 claims description 15
- 239000000203 mixture Substances 0.000 claims description 15
- -1 WON Inorganic materials 0.000 claims description 14
- 229910052739 hydrogen Inorganic materials 0.000 claims description 11
- 239000001257 hydrogen Substances 0.000 claims description 11
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 8
- 229910052760 oxygen Inorganic materials 0.000 claims description 8
- 229920002120 photoresistant polymer Polymers 0.000 claims description 7
- 125000005103 alkyl silyl group Chemical group 0.000 claims description 6
- 125000003709 fluoroalkyl group Chemical group 0.000 claims description 6
- 239000001301 oxygen Substances 0.000 claims description 5
- 229910052782 aluminium Inorganic materials 0.000 claims description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 4
- 229910001220 stainless steel Inorganic materials 0.000 claims description 4
- 239000010935 stainless steel Substances 0.000 claims description 4
- 239000000919 ceramic Substances 0.000 claims description 3
- 230000009977 dual effect Effects 0.000 claims description 3
- 238000000059 patterning Methods 0.000 claims description 3
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 2
- PEDCQBHIVMGVHV-UHFFFAOYSA-N Glycerine Chemical compound OCC(O)CO PEDCQBHIVMGVHV-UHFFFAOYSA-N 0.000 claims 2
- 229910015345 MOn Inorganic materials 0.000 claims 2
- 229910015659 MoON Inorganic materials 0.000 claims 2
- 229910016006 MoSi Inorganic materials 0.000 claims 1
- GPBUGPUPKAGMDK-UHFFFAOYSA-N azanylidynemolybdenum Chemical compound [Mo]#N GPBUGPUPKAGMDK-UHFFFAOYSA-N 0.000 abstract description 22
- YXTPWUNVHCYOSP-UHFFFAOYSA-N bis($l^{2}-silanylidene)molybdenum Chemical compound [Si]=[Mo]=[Si] YXTPWUNVHCYOSP-UHFFFAOYSA-N 0.000 abstract description 8
- 229910021344 molybdenum silicide Inorganic materials 0.000 abstract description 8
- LGLOITKZTDVGOE-UHFFFAOYSA-N boranylidynemolybdenum Chemical compound [Mo]#B LGLOITKZTDVGOE-UHFFFAOYSA-N 0.000 abstract description 5
- 239000012528 membrane Substances 0.000 abstract description 5
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 abstract description 3
- 239000007772 electrode material Substances 0.000 abstract description 3
- 239000010410 layer Substances 0.000 description 119
- 239000010408 film Substances 0.000 description 97
- 238000000231 atomic layer deposition Methods 0.000 description 34
- 235000012431 wafers Nutrition 0.000 description 34
- 238000005229 chemical vapour deposition Methods 0.000 description 22
- 230000006870 function Effects 0.000 description 21
- 238000012546 transfer Methods 0.000 description 15
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 13
- 238000010586 diagram Methods 0.000 description 12
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 12
- 238000004519 manufacturing process Methods 0.000 description 12
- 238000010348 incorporation Methods 0.000 description 8
- 125000001424 substituent group Chemical group 0.000 description 8
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- 238000009834 vaporization Methods 0.000 description 6
- 230000008016 vaporization Effects 0.000 description 6
- 238000004140 cleaning Methods 0.000 description 5
- 150000004767 nitrides Chemical class 0.000 description 5
- 239000013110 organic ligand Substances 0.000 description 5
- 238000010926 purge Methods 0.000 description 5
- 229910052710 silicon Inorganic materials 0.000 description 5
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- 229910017052 cobalt Inorganic materials 0.000 description 4
- 239000010941 cobalt Substances 0.000 description 4
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 4
- 229910052802 copper Inorganic materials 0.000 description 4
- 239000010949 copper Substances 0.000 description 4
- 150000004820 halides Chemical class 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- 150000002739 metals Chemical class 0.000 description 4
- 238000012805 post-processing Methods 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical group [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 238000004833 X-ray photoelectron spectroscopy Methods 0.000 description 3
- CTUKRAIAEPZKSG-UHFFFAOYSA-L [Br-].[Br-].O=[Mo+2]=O Chemical compound [Br-].[Br-].O=[Mo+2]=O CTUKRAIAEPZKSG-UHFFFAOYSA-L 0.000 description 3
- 125000003545 alkoxy group Chemical group 0.000 description 3
- 125000004429 atom Chemical group 0.000 description 3
- 238000001636 atomic emission spectroscopy Methods 0.000 description 3
- 238000004364 calculation method Methods 0.000 description 3
- 239000000460 chlorine Substances 0.000 description 3
- 238000004891 communication Methods 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 229910044991 metal oxide Inorganic materials 0.000 description 3
- 150000004706 metal oxides Chemical class 0.000 description 3
- 125000004430 oxygen atom Chemical group O* 0.000 description 3
- 238000002360 preparation method Methods 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- 239000007787 solid Substances 0.000 description 3
- 239000002904 solvent Substances 0.000 description 3
- 238000001179 sorption measurement Methods 0.000 description 3
- 238000003860 storage Methods 0.000 description 3
- IWZSHWBGHQBIML-ZGGLMWTQSA-N (3S,8S,10R,13S,14S,17S)-17-isoquinolin-7-yl-N,N,10,13-tetramethyl-2,3,4,7,8,9,11,12,14,15,16,17-dodecahydro-1H-cyclopenta[a]phenanthren-3-amine Chemical compound CN(C)[C@H]1CC[C@]2(C)C3CC[C@@]4(C)[C@@H](CC[C@@H]4c4ccc5ccncc5c4)[C@@H]3CC=C2C1 IWZSHWBGHQBIML-ZGGLMWTQSA-N 0.000 description 2
- OFEAOSSMQHGXMM-UHFFFAOYSA-N 12007-10-2 Chemical compound [W].[W]=[B] OFEAOSSMQHGXMM-UHFFFAOYSA-N 0.000 description 2
- ZRALSGWEFCBTJO-UHFFFAOYSA-N Guanidine Chemical compound NC(N)=N ZRALSGWEFCBTJO-UHFFFAOYSA-N 0.000 description 2
- 229910039444 MoC Inorganic materials 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 2
- 125000003282 alkyl amino group Chemical group 0.000 description 2
- 150000001412 amines Chemical class 0.000 description 2
- 238000004458 analytical method Methods 0.000 description 2
- 125000000129 anionic group Chemical group 0.000 description 2
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 238000004422 calculation algorithm Methods 0.000 description 2
- 238000004590 computer program Methods 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 125000004122 cyclic group Chemical group 0.000 description 2
- 238000000354 decomposition reaction Methods 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 125000001153 fluoro group Chemical group F* 0.000 description 2
- 229910052736 halogen Inorganic materials 0.000 description 2
- 150000002367 halogens Chemical class 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 238000012544 monitoring process Methods 0.000 description 2
- 125000004433 nitrogen atom Chemical group N* 0.000 description 2
- 150000002902 organometallic compounds Chemical class 0.000 description 2
- 125000002524 organometallic group Chemical group 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 238000001004 secondary ion mass spectrometry Methods 0.000 description 2
- 238000012163 sequencing technique Methods 0.000 description 2
- 229910021332 silicide Inorganic materials 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- WNUPENMBHHEARK-UHFFFAOYSA-N silicon tungsten Chemical compound [Si].[W] WNUPENMBHHEARK-UHFFFAOYSA-N 0.000 description 2
- 239000007858 starting material Substances 0.000 description 2
- 229910052717 sulfur Inorganic materials 0.000 description 2
- 125000004434 sulfur atom Chemical group 0.000 description 2
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 2
- 150000003568 thioethers Chemical class 0.000 description 2
- 150000007944 thiolates Chemical class 0.000 description 2
- 150000003657 tungsten Chemical class 0.000 description 2
- KOPFEFZSAMLEHK-UHFFFAOYSA-N 1h-pyrazole-5-carboxylic acid Chemical class OC(=O)C=1C=CNN=1 KOPFEFZSAMLEHK-UHFFFAOYSA-N 0.000 description 1
- QIJNJJZPYXGIQM-UHFFFAOYSA-N 1lambda4,2lambda4-dimolybdacyclopropa-1,2,3-triene Chemical compound [Mo]=C=[Mo] QIJNJJZPYXGIQM-UHFFFAOYSA-N 0.000 description 1
- NJBMZYSKLWQXLJ-UHFFFAOYSA-N 3,4-dihydro-2h-pyrrol-5-amine Chemical class NC1=NCCC1 NJBMZYSKLWQXLJ-UHFFFAOYSA-N 0.000 description 1
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 229910004129 HfSiO Inorganic materials 0.000 description 1
- 229910015221 MoCl5 Inorganic materials 0.000 description 1
- 229910015255 MoF6 Inorganic materials 0.000 description 1
- 229910015648 MoOF Inorganic materials 0.000 description 1
- CHJJGSNFBQVOTG-UHFFFAOYSA-N N-methyl-guanidine Natural products CNC(N)=N CHJJGSNFBQVOTG-UHFFFAOYSA-N 0.000 description 1
- 229910007264 Si2H6 Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- 229910010038 TiAl Inorganic materials 0.000 description 1
- 229910010041 TiAlC Inorganic materials 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- XACAZEWCMFHVBX-UHFFFAOYSA-N [C].[Mo] Chemical compound [C].[Mo] XACAZEWCMFHVBX-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- MEOSMFUUJVIIKB-UHFFFAOYSA-N [W].[C] Chemical group [W].[C] MEOSMFUUJVIIKB-UHFFFAOYSA-N 0.000 description 1
- 239000003463 adsorbent Substances 0.000 description 1
- 150000004703 alkoxides Chemical class 0.000 description 1
- 150000001408 amides Chemical class 0.000 description 1
- 150000001409 amidines Chemical class 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- CNRRZWMERIANGJ-UHFFFAOYSA-N chloro hypochlorite;molybdenum Chemical compound [Mo].ClOCl CNRRZWMERIANGJ-UHFFFAOYSA-N 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 230000000052 comparative effect Effects 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 238000001723 curing Methods 0.000 description 1
- 125000000753 cycloalkyl group Chemical group 0.000 description 1
- 125000000113 cyclohexyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 1
- 125000000058 cyclopentadienyl group Chemical group C1(=CC=CC1)* 0.000 description 1
- 125000001511 cyclopentyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 1
- 125000001559 cyclopropyl group Chemical group [H]C1([H])C([H])([H])C1([H])* 0.000 description 1
- 238000010790 dilution Methods 0.000 description 1
- 239000012895 dilution Substances 0.000 description 1
- 125000002147 dimethylamino group Chemical group [H]C([H])([H])N(*)C([H])([H])[H] 0.000 description 1
- SWSQBOPZIKWTGO-UHFFFAOYSA-N dimethylaminoamidine Natural products CN(C)C(N)=N SWSQBOPZIKWTGO-UHFFFAOYSA-N 0.000 description 1
- 238000007598 dipping method Methods 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 150000004662 dithiols Chemical class 0.000 description 1
- 238000004070 electrodeposition Methods 0.000 description 1
- ZSWFCLXCOIISFI-UHFFFAOYSA-N endo-cyclopentadiene Natural products C1C=CC=C1 ZSWFCLXCOIISFI-UHFFFAOYSA-N 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 239000000945 filler Substances 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 150000003949 imides Chemical class 0.000 description 1
- 125000001967 indiganyl group Chemical group [H][In]([H])[*] 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 229910052740 iodine Inorganic materials 0.000 description 1
- 239000011630 iodine Substances 0.000 description 1
- MDKDXDROOSZTMM-UHFFFAOYSA-N iodo hypoiodite molybdenum Chemical compound [Mo].IOI MDKDXDROOSZTMM-UHFFFAOYSA-N 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 125000000959 isobutyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])* 0.000 description 1
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 239000005078 molybdenum compound Substances 0.000 description 1
- 150000002752 molybdenum compounds Chemical class 0.000 description 1
- RLCOZMCCEKDUPY-UHFFFAOYSA-H molybdenum hexafluoride Chemical compound F[Mo](F)(F)(F)(F)F RLCOZMCCEKDUPY-UHFFFAOYSA-H 0.000 description 1
- OYMJNIHGVDEDFX-UHFFFAOYSA-J molybdenum tetrachloride Chemical compound Cl[Mo](Cl)(Cl)Cl OYMJNIHGVDEDFX-UHFFFAOYSA-J 0.000 description 1
- LNDHQUDDOUZKQV-UHFFFAOYSA-J molybdenum tetrafluoride Chemical compound F[Mo](F)(F)F LNDHQUDDOUZKQV-UHFFFAOYSA-J 0.000 description 1
- QXYJCZRRLLQGCR-UHFFFAOYSA-N molybdenum(IV) oxide Inorganic materials O=[Mo]=O QXYJCZRRLLQGCR-UHFFFAOYSA-N 0.000 description 1
- 125000004108 n-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 125000004123 n-propyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 150000002825 nitriles Chemical class 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 230000037361 pathway Effects 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 238000000678 plasma activation Methods 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 150000003254 radicals Chemical group 0.000 description 1
- 230000000284 resting effect Effects 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 125000002914 sec-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- 125000003396 thiol group Chemical group [H]S* 0.000 description 1
- 229910052723 transition metal Inorganic materials 0.000 description 1
- 150000003624 transition metals Chemical class 0.000 description 1
- 125000004665 trialkylsilyl group Chemical group 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- 125000000026 trimethylsilyl group Chemical group [H]C([H])([H])[Si]([*])(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 239000006200 vaporizer Substances 0.000 description 1
- 238000005406 washing Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/308—Oxynitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/448—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
- C23C16/4481—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28079—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28088—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76855—After-treatment introducing at least one additional element into the layer
- H01L21/76856—After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76861—Post-treatment or after-treatment not introducing additional chemical elements into the layer
- H01L21/76862—Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Composite Materials (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
使用不含卤化物的金属有机前体将基本上不含碳的含钼和含钨膜沉积在半导体衬底上。前体不包括金属‑碳键、羰基配体,并且优选不包括β‑氢原子。沉积碳含量小于约5%原子,例如小于约3%原子的含金属膜,例如氮化钼、氧氮化钼、硅化钼和硼化钼。在一些实施方案中,通过使含金属前体与在衬底表面上的反应物在不存在等离子体的情况下(例如使用几个ALD循环)反应来沉积膜。在一些实施方案中,然后用等离子体中的第二反应物加工所形成的膜以改变其性质(例如,使膜致密,降低膜的电阻率,或增加其功函数)。该膜可用作pMOS设备中的衬里、扩散阻挡层和电极材料。
Description
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所确定的本申请主张其权益或者优先权的每个申请均通过引用全文并入本文并用于所有目的。
技术领域
本发明涉及半导体装置的制造方法。特别地,本发明的实施方案涉及在半导体处理中含钼膜和含钨膜的沉积。
背景技术
在集成电路(IC)制造中,沉积和蚀刻技术用于形成材料的图案,例如用于形成嵌入介电层中的金属线。一些图案化方案需要材料的保形沉积,其中沉积的层应沿衬底表面上的凸起和/或凹陷特征的轮廓延伸(follow)。原子层沉积(ALD)通常是在衬底上形成保形膜的优选方法,因为ALD依赖于一种或多种反应物(前体)对衬底表面的吸附,以及随后吸附层向所需材料的化学转化。因为ALD使用发生在衬底表面上、时间上分开并且通常受吸附的反应物的量限制的顺序反应,所以该方法可以提供具有极佳阶梯覆盖率的薄保形层。
化学气相沉积(CVD)是广泛用于半导体处理中的另一沉积方法。在CVD中,该反应发生于处理室的容积中,且不受吸附至衬底上的反应物量所限制。因此,CVD沉积膜通常比ALD沉积膜较不保形。CVD通常用于阶梯覆盖较不重要的应用中。
ALD及CVD可采用等离子体以促进沉积前体的反应,因而形成所期望的膜。利用等离子体的方法已知为等离子体增强ALD(PEALD)和等离子体增强CVD(PECVD)。未采用等离子体的方法称为热ALD和热CVD。
尽管ALD和CVD最常用于含硅膜的沉积,例如氧化硅、氮化硅和碳化硅的沉积,但这些方法也适用于某些金属的沉积,尤其是钨和钴。
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
提供了用于沉积基本上不含碳的含钼和含钨膜(例如金属钼、氮化钼(MoN)、硼化钼(MoB)、硅化钼(MoSi)及其组合,例如(MoON))的方法。还提供了包含这种膜(例如,作为衬里层、扩散阻挡层或电极层)的半导体设备结构。
在一个方面,提供了一种在半导体衬底上形成基本上不含碳的含金属层的方法。该方法包括:将含金属前体引入容纳所述半导体衬底的处理室中,其中所述前体是不含卤化物的、不含羰基的化合物,其包含与选自由钼和钨组成的群组的金属结合的至少一个配体,其中所述不含卤化物的、不含羰基的化合物不包括金属-碳键和金属-氧双键。所述方法还包括:在不存在等离子体的情况下使所述含金属前体与至少一种反应物反应以在所述半导体衬底上形成含金属层,其中所形成的所述含金属层是基本上不含碳的含钼或含钨层,所述层的碳含量小于约5原子%(例如小于约2原子%),其中所述层选自由Mo、W、MoN、WN、MoON、WON、MoB、WB、MoSi、WSi层及其组合所组成的群组。例如,这些组合可以包括MoNB或WONSi层。在一些实施方案中,含钼或含钨前体不包括β-氢原子。在一些实施方案中,对所形成的层进行后加工(例如,通过等离子体加工)以改变层的电性能。在一些实施方案中,所形成的层是pMOS(p型金属氧化物半导体)设备中的电极层。
在另一方面提供过了一种半导体设备,其中,该半导体设备包括:基本上不含碳的含金属衬里层,其中所述金属选自由钼和钨组成的群组,并且其中所述基本上不含碳的含金属层中的碳含量小于约3原子%,其中所述基本上不含碳的金属衬里层具有小于约的厚度、小于约3,000μ·cm-1的电阻率,并且位于介电层和导电层之间。在一些实施方案中,所述基本上不含碳的含金属层是扩散阻挡层。
在另一方面,提供了一种包括栅电极的半导体设备,其中所述栅电极包括基本不含碳的含金属层,其中所述基本不含碳的含金属层中的碳含量小于约3原子%,并且其中所述基本不含碳的含金属层具有大于4.9eV的功函数,并且包含选自由钼和钨组成的群组的金属。在一些实施方案中,所述基本上不含碳的含金属层是MoN层。
在另一方面,提供了一种用于在半导体衬底上形成基本上不含碳的含金属层的装置,其中该装置包括:(a)沉积处理室,其具有衬底支撑件、用于引入含金属前体的入口和用于引入至少一种反应物的第二入口;(b)等离子体加工处理室,其不同于所述沉积处理室,其中所述等离子体加工处理室包括衬底支撑件和用于引入等离子体加工反应物的入口;以及(c)包含程序指令的控制器,所述程序指令用于:(i)在不存在等离子体的情况下在所述沉积处理室中引起含金属前体和至少一种反应物之间的表面受限反应以形成基本上不含碳的含金属材料层,其中所述金属选自由钼和钨组成的群组;(ii)致使将所述半导体衬底从所述沉积处理室转移到所述等离子体加工处理室,而不使所述半导体衬底暴露于环境大气;以及(iii)致使通过等离子体激活的等离子体加工反应物对所述基本上不含碳的含金属材料进行加工。
在另一方面,提供了一种用于混合载气和含金属前体的流动混合器。该流动混合器包括:(a)外流体导管,其包括:用于使所述载气进入所述外流体导管的入口、用于将所述载气与所述含金属前体混合的混合区和用于从所述外流体导管除去与所述含金属前体混合的所述载气的出口;(b)至少部分地位于所述外流体导管内部的内流体导管,其中所述内流体导管包括用于使所述含金属前体进入所述内流体导管的入口,以及被配置成将所述含金属前体释放到所述外流体导管内的出口,其中从所述内流体导管的入口到所述外流体导管的入口的距离大于从所述内流体导管的出口到所述外流体导管的入口的距离,从而支持所述流动混合器中的所述载气和所述含金属的前体的相反流动,其中所述距离是指z方向上的距离。
在另一方面,提供了一种在半导体衬底上沉积含金属层的方法,其中该方法包括:(a)在本文提供的所述流动混合器中将含金属前体与载气混合;以及(b)将所形成的所述混合物输送至处理室,并且使所述含金属前体与反应物反应以在所述半导体衬底上形成所述含金属层。
在另一方面,提供了一种用于将多种反应物输送到处理室的多充气部喷头,其中所述多充气部喷头包括:(a)喷头面板,其包括用于输送第一反应物的第一多个导管和用于输送第二反应物的第二多个导管,其中所述第一多个导管被配置为与所述第二多个导管流体隔离;和(b)围绕所述喷头面板的周边定位的喷头外壳,其中所述喷头面板能释放地附接到所述喷头外壳。
在另一方面,提供了一种沉积装置的喷头的面板,其中所述面板包括用于输送第一反应物的第一多个导管和用于输送第二反应物的第二多个导管,其中所述第一多个导管被配置为与所述第二多个导管流体隔离,并且其中所述面板被配置成能释放地附接到喷头外壳。
在另一方面,提供过了一种用于在半导体衬底上沉积含金属层的沉积装置,其中所述沉积装置包括本文所提供的所述多充气部喷头。
本说明书中描述的主题的实现方案的这些和其他方面在附图和以下描述中阐述。
附图说明
图1A提供了可用于根据本文提供的实施方案的沉积方法中的钼前体的示例。
图1B提供了可用于根据本文提供的实施方案的方法中的钼前体的几个具体示例。
图2是根据本文提供的实施方案的形成含金属膜的方法的处理流程图。
图3是根据本文提供的实施方案的形成含金属膜的方法的处理流程图。
图4A是根据本文提供的实施方案的加工含金属膜的方法的处理流程图。
图4B是根据本文提供的实施方案的加工含金属膜的方法的处理流程图。
图5是根据本文提供的实施方案的包含基本上不含碳的含金属材料层的pMOS设备结构的示意性截面图。
图6A-6C是根据本文提供的实施方案的半导体设备在制造期间的示意性截面图。
图7是根据本文提供的实施方案的适合于沉积含钼膜的装置的示意图。
图8示出了根据本文提供的实施方案的多站式处理系统的示意图。
图9示出了根据本文提供的实施方案的多站式处理系统的示意图。
图10显示了根据本文提供的实施方案的流动混合器的横截面插入件的示意性侧视图。
图11A示出了根据本文提供的实施方案的双充气部喷头组件的一部分的视图。
图11B示出了根据本文提供的实施方案的从喷头外壳分离的喷头面板的一部分的视图。
具体实施方式
提供了用于在半导体衬底上沉积基本上不含碳的含钼膜和含钨薄膜的方法。例如,这些方法可用于在平坦衬底上沉积毯式的(blanket)基本上不含碳的含金属层,用于在具有一个或多个凹陷或突出特征的衬底上沉积保形含金属层,以及用于用基本上不含碳的含金属材料填充凹陷的特征。在一些实施方案中,提供了用于在半导体衬底上形成基本上不含碳的含金属层作为衬里或扩散阻挡层的方法。在一些实施方案中,提供了用于形成基本上不含碳的含金属层作为pMOS设备中的电极层的方法。
所述方法可用于沉积多种含钼和含钨材料,所述材料包括但不限于金属钼(Mo)、氮化钼(MoN)、硼化钼(MoB)、硅化钼(MoSi)和氧氮化钼(MoON)、金属钨(W)、氮化钨(WN)、硼化钨(WB)、硅化钨(WSi)和氧氮化钨(WON),其中这些化合物的化学计量可能不同,以及列出的化学式不代表化学计量。例如,在多种实施方案中,MoN可以包括介于约10-70原子%之间的氮。
术语“基本上不含碳”是指碳含量低于约5原子%的材料,其中氢(如果存在)被排除在计算之外。在一些实施方案中,所提供的基本上无碳的膜包括小于约3原子%的碳,例如小于约2原子%的碳。
“金属”,例如如本文所使用的“金属钼”或“金属钨”是指基本上由金属(例如,Mo或W)组成的材料。其他元素(例如,B、Si、N或O)可以少量(例如,总含量小于约15原子%,或小于约10%,其中在计算中不包括氢)存在于金属中。
氮化钼(MoN)、硼化钼(MoB)、硅化钼(MoSi)、氧氮化钼(MoON)、氮化钨(WN)、硼化钨(WB)、硅化钨(WSi)、氧氮化钨(WON)是指基本上由所列元素组成的材料,其中这些化合物的化学计量可能会有所不同,并且不是由所列化学式确定的(例如,MoN不一定表示1:1的Mo:N化学计量)。其他元素可能以少量存在于这些化合物中,例如以小于约10%原子的量存在,其中氢被排除在计算之外。
如本文所使用的,术语“半导体衬底”是指在半导体设备制造的任何阶段的衬底,该衬底在其结构内的任何地方包含半导体材料。应理解,不需要暴露半导体衬底中的半导体材料。具有覆盖半导体材料的多层其他材料(例如,电介质)的半导体晶片是半导体衬底的示例。以下详细描述假定所公开的实现方案在半导体晶片(例如200mm、300mm或450mm半导体晶片)上实施。然而,所公开的实现方案不受限于此。工件可以具有各种形状、尺寸和材料。除了半导体晶片之外,可以利用所公开的实现方案的其他工件还包括各种制品,例如印刷电路板等。
除非另有指明,否则提及数值时所使用的术语“约”包括所描述的数值的±10%的范围。
如本文所使用的术语“烷基(alkyl)”是指排他性地含有碳和氢原子的饱和取代基。烷基包括直链、支链及环状基团。直链烷基的示例包括但不限于,甲基、乙基、正丙基、正丁基等。支链烷基的示例包括但不限于,异丙基、异丁基、仲丁基和叔丁基。环烷基的示例包括但不限于,环丙基、环戊基、环己基等。
如本文所使用的术语“氟代烷基(fluoroalkyl)”是指含有一或多个氟取代基的烷基。在一些实施方案中,氟代烷基排他性地包含氟取代基,例如以CF3、C2F5、C3F7的形式。氟代烷基可以为直链、支链及环状。
如本文所使用的术语“烷基甲硅烷基”是指SiR3基团,其中至少一个R为烷基,且每个R独立地选自H和烷基。烷基甲硅烷基包括单、双和三烷基甲硅烷基。烷基甲硅烷基的示例包括三甲基甲硅烷基、二甲基甲硅烷基、甲基甲硅烷基、三乙基甲硅烷基、二乙基甲硅烷基和乙基甲硅烷基
如本文所使用的术语“烷基氨基(alkylamino)”是指NR2基团,其中至少一个R为烷基,而每一个R独立地选自H和烷基。烷基氨基取代基的示例包括二甲基氨基和二乙基氨基取代基。
术语“烷氧基(alkoxy)”是指OR基团,其中R为烷基。烷氧基的示例包括甲氧基、乙氧基、丙氧基。
术语“独立地选择”在涉及含有多个R基团的分子中R取代基选择时是指,分子的不同原子上的R取代基选择是独立的,且具有多个R取代基的一个原子上的R取代基选择也是独立的。
如本文所用的术语“金属有机前体”是指包含至少一种含碳配体的含金属化合物,其中所述化合物不包含金属-碳键。
本发明的实施方案主要参考含钼前体和膜作为示例进行描述。应当理解,一般描述和原理也适用于含钨前体和膜。
在半导体衬底上沉积具有所需特性的含钼和含钨膜存在几个问题,这些问题阻碍了这些膜在许多设备制造处理流程中的集成。具体而言,使用含卤化物的钼和钨CVD和ALD前体会导致衬底的无意蚀刻。金属有机和有机金属前体可以消除由含卤前体引起的半导体处理中的集成问题,但由于钼和钨形成非常稳定的碳化物相,因此使用含碳前体往往会导致大量碳掺入形成的膜中。许多应用不需要含碳膜,因为碳的存在会增加膜的电阻率并降低膜的功函数。
提供了用于沉积基本上无碳的含钼和含钨膜的方法。这些方法可用于沉积含钼和含钨材料,例如氮化物、硼化物、硅化物、氧氮化物及其组合。通过这些方法沉积的一些材料被用作MOSFET(金属氧化物半导体场效应晶体管)栅电极材料。由于碳对早期过渡金属膜中的有效功函数有负面影响,因此这些方法有利于生产具有高功函数(例如,大于约5eV)的适用于pMOS结构的膜。通过这些方法沉积的一些材料也可用作超薄低电阻率衬里和/或阻挡材料。在一些实施方案中,这些方法在包括例如沉积室和等离子体处理室的集成多室装置中进行,其中在沉积室内不存在等离子体的情况下通过CVD或ALD沉积基本上无碳的膜,并在等离子体加工室中用等离子体加工。等离子体加工可用于调整膜成分、使形成的膜致密和/或调整所形成的材料的有效功函数。
所提供的方法利用不含卤化物的含钼或含钨金属有机化合物作为CVD或ALD前体,其中金属有机化合物不包括金属-碳(钼-碳或钨-碳)键并且不包括羰基(CO)配体。此外,在一些实施方案中,前体不包括β氢原子。通过使前体与反应物反应来进行沉积,优选在没有等离子体的情况下进行。在一些实施方案中,反应在不存在等离子体的情况下在低于约450℃的温度下进行,例如低于约420℃的温度下进行。前体的仔细选择有利地允许避免将碳大量掺入到形成的膜中,并且可以形成碳含量小于约5原子%,例如小于约3原子%的膜。
这个结果是出乎意料的,因为金属有机前体含有碳,并且可以预期由于钼和钨对碳的高亲和力,因此碳掺入薄膜中必然会以高水平发生。然而,发现当前体中的金属不与碳形成直接键并且当前体不包括羰基配体时,可以避免将碳掺入膜中,特别是如果在沉积反应期间不使用等离子体的话。另一个可以显著减少碳掺入膜的因素是含金属前体的配体中不存在β-氢。据信,即使在低温沉积条件下,β-氢也可导致导致碳掺入到膜中的低能反应途径。不存在β氢可以稳定配体以防止分解,并且使得能在随后的反应气体暴露期间完整地去除配体。
在一些实施方案中,本文使用的含金属前体包括仅与选自N、O和S的元素形成键的金属(例如,钼或钨)。在一些实施方案中,前体优选地不包括β氢原子。例如,在一些实施方案中,前体包括在β位置与三个烷基键合的碳。在一些实施方案中,前体不包括金属-氧双键(M=O)。
在一些实施方案中,可用于沉积的前体包括具有单齿配体中的至少一种的不含卤化物的钼和钨配合物,所述单齿配体例如胺、腈、酰亚胺、氮化物、醇盐或硫醇盐,或具有多齿配体的不含卤化物的钼和钨配合物,所述多齿配体通过N、O或S原子与金属键合。配体优选不含β-氢原子。
合适的含钼前体1-16的示例如图1所示,其中每个L是不形成金属-碳键的含碳配体,其中m为1-4之间的整数,n为1-4之间的整数。每个R和R1独立地选自由烷基、氟烷基和烷基甲硅烷基组成的组。在一些实施方案中,选择每个R1以使其不提供β氢原子。这种R1取代基的示例包括叔丁基和三烷基甲硅烷基取代基。值得注意的是,在一些实施方案中,O和S原子上的R取代基可提供β氢原子,因为在这些位置β氢不容易消除并且预计不会导致所得膜的碳污染。此外,在化合物7、8和14中,与阴离子氮相邻的烷基取代碳原子上的β氢也被稳定化,并且这些稳定化的化合物也适用于所提供的膜的沉积。
在一些实施方案中,R和R1两者都不提供β氢原子。在一些实施方案中,前体不包括β氢原子。例如,在一些实施方案中,前体是化合物1、2、3、4、5、6、15和16中的任一种,其中R、R1和L中的每一个不提供β氢原子。
含钼前体的更具体的示例如图1B所示,其描绘了结构17-20。可以看出,钼仅与N和O原子形成键,并且前体在β位不包含任何氢原子。前体可以通过钼起始材料,例如含卤化物的钼起始材料与去质子化的配体反应来合成。示例性合成路线在美国专利申请公开No.2018/0355484中有描述。
用于沉积的前体易于汽化并且在目标温度和压力下是稳定的。例如,在一些实施方案中,前体用于在低于约450℃,例如低于约420℃的温度下的沉积反应。为了保持适当的挥发性,在本文讨论的许多实施方案中,选择具有小于约450g/mol,例如小于约400g/mol的分子量的前体。
可以使用本文所述的前体通过多种沉积方法(例如CVD和ALD)来沉积基本上不含碳的含钼和含钨材料。用于沉积含钼或含钨层的示例性方法由图2中所示的处理流程图说明。该处理开始于201,其中将不含卤化物的含钼或含钨前体引入容纳半导体衬底的处理室中。前体不包括金属-碳键,并且优选不包括β氢原子。可以将前体以汽化形式引入惰性气体流中,例如氩气、氦气或氮气(N2)中。在操作203中(其可以在引入含钼前体201之前、之后或期间发生),将反应物引入容纳衬底的处理室中。在一些实施方案中,含金属前体和反应物的引入是按顺序的。反应物的化学性质取决于目标含钼或含钨膜的化学性质。例如,对于金属(Mo或W)的沉积,第二反应物通常是还原反应物(例如H2)。可以使用含氮反应物(例如,NH3或N2H4)进行金属氮化物的沉积。在一些实施方案中,使用H2作为反应物来沉积金属氮化物,并且可以由配体提供所需的氮。金属硼化物的沉积可以使用含硼反应物(例如B2H6)进行。可以使用含硅反应物(例如,SiH4或Si2H6)形成金属硅化物。
在一些实施方案中,使前体与反应物能在处理室的主体中混合。在其他实施方案中,在含金属前体已被引入且吸附在衬底的表面上后,用惰性气体清扫处理室和/或抽空处理室,以从处理室去除未吸附的前体。在一些实施方案中,衬底上的前体层为吸附受限的。在其他实施方案中,在清扫和/或抽空处理室之前,较厚的前体层可以形成在衬底的表面上。注意,当按顺序引入前体与反应物时,前体与反应物的引入顺序可颠倒。在一些实施方案中,反应物先被引入并被允许吸附在衬底的表面上。接着,清扫和/或抽空处理室,以从处理室的容积中除去第二反应物,随后引入前体。
参考操作205,前体与反应物反应以在衬底上形成基本上不含碳的含钼材料层,其中反应在衬底表面和/或处理室的主体中发生,并且优选在没有等离子体的情况下进行。例如,在CVD处理中,前体和反应物可以同时引入处理室的主体中,其中反应在处理室的主体或表面上连续发生。在ALD处理中,反应仅发生在表面上,并受表面上吸附材料的数量(前体的数量和/或吸附的反应物的数量)的限制。例如,反应处理期间的温度可以在大约20–600℃之间。在一些实施方案中,在约450℃或更低,例如约420℃或更低,例如在约200-400℃之间进行低温沉积,并且对于沉积基本上无碳的膜特别有利。处理室中的压强可以在约0.1-100托之间的范围内,例如在热ALD中约1-60托之间,例如约10托。
在反应完成后,可以任选地用第二反应物加工形成的含钼或含钨层以使该层改性,如操作207中所示。可以进行该加工以调整该层的性质,例如使层致密化、改变层的组成或电性能、降低层的电阻率等。在一些实施方案中,该加工是等离子体辅助的。例如,可以用直接等离子体(形成在容纳衬底的隔室中)或远程等离子体(远离衬底形成并引入容纳衬底的隔室中)来处理衬底。在某些情况下,优选使用远程等离子体,因为它可以减少对衬底的损坏。在优选的实施方案中的一种中,基本上无碳的含钼或含钨层在不存在等离子体的情况下沉积。然后将衬底转移到等离子体加工处理室而不将衬底暴露于环境气氛,在该处用等离子体加工反应物加工衬底。等离子体处理反应物的选择取决于最终层的所需特性。可以例如用等离子体激活的H2、NH3、N2、BH3、SiH4、Ar、He以及它们的混合物来加工衬底。
用于在衬底上形成含钼或含钨膜的基于表面的沉积处理的示例由图3中所示的处理流程图说明。在操作301中,含金属前体层和/或在衬底的表面上形成反应物。在一些实施方案中,该层是吸附限制层。接下来在操作303中,处理室被清扫和/或抽空。该步骤确保前体和/或反应物仅存在于衬底的表面上而不存在于处理室的体积中。接下来,在305中,前体与衬底表面上的反应物反应。例如,如果在301中仅将含金属的前体吸附在衬底的表面上,则可以将反应物引入处理室并且使其能与表面上的前体反应。如果在301中在衬底表面上形成含金属前体和反应物层,则在313中可以调节处理条件(例如,使用温度升高调节)以激活反应。接下来,在307中,处理室被清扫和/或抽空,并且在309中,重复操作301-307以形成更多的含金属材料。在一些实施方案中,操作301-307的每个循环平均沉积约的含金属材料。在一些实施方案中,执行1-100,例如2-100个循环。例如,可以执行1-20,例如2-20个循环。在对层厚度进行高度控制的情况下,可以形成厚度在约之间,例如的基本上不含碳的含钼和含钨层。该方法可用于形成具有出色台阶覆盖率的保形层。
在一些实施方案中,所沉积的基本上不含碳的含钼和含钨膜用第二反应物加工以改变膜的性质,例如密度、电阻率或有效功函数。
图4A提供了膜改性的一个示例的处理流程图。该处理开始于401,其中使含钼前体与反应物反应以在不存在等离子体的情况下在衬底上形成基本上不含碳的含钼膜。例如,可以在衬底表面上不存在等离子体的情况下,使用使不含卤化物的含金属有机钼前体与NH3或H 2反应的几个循环来形成MoN层。接下来,在操作403中,用等离子体激活的含氮反应物加工膜以增加膜中的氮含量。例如,可以用在含有N2的处理气体中形成的等离子体加工MoN膜以增加MoN层中的氮含量。在一些实施方案中,氮含量通过这种加工增加至少5%,例如至少10%。MoN层中氮含量的增加与功函数的增加相关联。在一些实施方案中,由于这种加工而导致的功函数增加至少为30meV,例如50-200meV。在一些实施方案中,加工后获得的MoN材料具有至少25原子%的氮含量和至少约5.0eV,例如至少5.2eV的功函数。
图4B所示的处理图说明了后加工的另一个示例。在该示例中,该处理如图4A所示,在411中使含钼前体与反应物反应以形成基本上不含碳的含钼层而开始。接下来,在413中,所形成的层用等离子体激活的含氢反应物进行加工以降低层的电阻率。例如,可以用在含有H2的处理气体中形成的等离子体加工基本上不含碳的氮化钼层,从而显著降低膜的电阻率。在一些实施方案中,电阻率可以通过这种加工降低至少20%,例如至少50%,或者甚至至少80%。在一些实施方案中,H2等离子体加工将膜的电阻率降低至少两倍、三倍或五倍。在一些实施方案中,在H2等离子体加工之后获得具有小于约1,000μΩ·cm,例如小于约800μΩ·cm的电阻率的膜。在一些实施方案中,等离子体加工(例如,使用诸如H2之类的含氢反应物的等离子体加工)进一步用于使所沉积的膜致密。例如,膜的密度可以通过H2等离子体后加工增加至少20%,例如增加至少40%。
所提供的基本上不含碳的含钼和含钨膜可以沉积在各种表面上,包括在金属(例如铜、镍、钴、钨等)、电介质(例如,基于氧化硅的电介质、硅氮化物、碳化硅、金属氧化物、金属氮化物等)上,以及在非晶硅和晶体硅上。在一些实施方案中,膜被沉积为衬里或扩散阻挡层。
在一种实现方案中,提供的基本上不含碳的含金属膜用作MOSFET栅电极材料。在一个示例中,所提供的膜被集成到pMOS设备结构中。pMOS设备的示意性截面图如图5所示。该设备(例如晶体管)包括半导体层501、源极区501、漏极区503和形成在半导体层501上方的栅极介电层505,并在源极区501和漏极区503之间的半导体层501中定义沟道区515。半导体层501包括半导体材料,例如硅(Si)、锗(Ge)或硅锗(Si)。在一个实施方案中,栅极电介质层505包括具有大于约3.9的介电常数的高k电介质。例如,栅极介电层505可以包括高k材料,例如HfO、HfSiO、HfSiON等。栅极介电层通常非常薄,例如厚度介于约之间。层509、511和513设置在栅极介电层505上方,并且共同形成栅电极。层509是直接形成在栅极介电层505上方并与栅极介电层505接触的可选覆盖层。在一些实施方案中,覆盖层509包括TiN、TaN和/或WN,并且具有介于约之间的厚度。覆盖层509上方的层511被称为含功函数金属层。层511包括本文提供的基本上不含碳的含钼或含钨材料,其中该材料具有高功函数,例如大于约4.9eV、大于约5.0eV或大于约5.1eV的功函数。在一些实施方案中,层511是具有大于约5.0的有效功函数的基本上不含碳的MoN层。基本上无碳层通过本文所述的ALD或CVD方法沉积,并且在一些实施方案中,另外用等离子体加工反应物加工,以增加其功函数。例如,在一些实施方案中,用等离子体活化的含氮反应物(例如N2)加工所沉积的基本上不含碳的含钼或含钨材料以增加形成的层的氮含量和功函数。在一些实施方案中,层511具有约 或之间的厚度。在一种实现方案中,含功函数金属层511具有约 的厚度。在一些实施方案中,基本上不含碳的含金属层511直接沉积在覆盖层509上。当覆盖层509不存在时,层511可以直接沉积在栅极介电层507上。最后,该设备可以任选地包括在基本不含碳的含金属层511上形成一个或多个导电层513。在一些实施方案中,导电层513包括TiAl、TiAlC、TiAlON和/或导电金属填充物(例如Mo、Co或W)中的一种或多种。图5中所示的设备是部分制造的设备的示意图,它没有描绘针对源极区和漏极区所形成的触点,所述触点可以在形成电极层之后形成。
所提供的基本上不含碳的含钼和含钨层可用于平面pMOS设备、FinFET pMOS设备或全环绕栅极(GAA)pMOS设备。可以获得功函数大于5.0eV,例如在约5.0-5.5eV之间的膜。
在另一应用中,将基本上不含碳的膜作为扩散阻挡层沉积在包含凹陷特征(例如通孔和沟槽)的衬底上。图6A-6B显示了制造期间示例性衬底的示意性截面图。参考图6A,提供了包含电介质层601的衬底,其中电介质可以是基于氧化硅的层间电介质,例如低k电介质,其中形成有凹陷特征603。参考图6B,基本上无碳的含钼或含钨膜605保形地沉积在电介质601上方,其中该膜作为凹陷特征的衬里。保形膜优选使用本文所述的前体通过ALD沉积。在一些实施方案中,膜605直接沉积在电介质上。在其他实施方案中,可以在沉积膜605之前在电介质上形成一个或多个附加层,例如粘附层。接下来,参考图6B,凹陷特征603用金属(例如铜或钴)填充。铜或钴可以例如通过电沉积到薄的保形金属种子层(未示出)上来沉积。所形成的结构包括位于介电层和金属填充的通孔或沟槽之间的基本上不含碳的含钼或含钨层的薄层。在一些实施方案中,膜605的厚度介于约之间,例如介于约之间。在一些实施方案中,膜605是扩散阻挡层,其防止铜扩散到电介质中。合适的扩散阻挡材料的示例包括MoN和WN。在一些实施方案中,膜605是可以促进常规扩散阻挡层(例如,TaN、TiN)粘附到导电种子层的粘附层。合适的粘附层材料的示例包括Mo和具有相对低的氮含量的MoN。在许多实施方案中,优选膜605是低电阻率膜,例如电阻率小于约1000μΩ·cm,例如小于约500μΩ·cm的膜。在一些实施方案中,这些膜是使用等离子体后加工沉积的基本上无碳的膜形成的,其中后加工降低了所沉积的膜的电阻率。例如,在一些实施方案中,沉积的膜(例如,MoN或WN膜)用在含氢气体(例如,H2)中形成的等离子体进行后加工,如参考图4B所述。
注意,虽然本文提供的描述使用钼沉积作为示例,但可以使用类似的前体和条件来沉积含钨层。例如,可以使用具有与图1A和1B所示相同结构的含钨前体(用钼代替钨)。
实验实施例
实施例1.使用图1B所示的双(叔丁基亚氨基)双(叔丁氧基)钼(化合物19)作为含钼前体,将基本上不含碳的MoN膜沉积在SiO2衬底上。在ALD处理室中将衬底暴露于前体19;然后清扫处理室以去除非表面结合的前体,然后将衬底与反应物(NH3、H2或NH3和H2的组合物,在混合物中或依次)接触,以使前体在衬底的表面上发生反应。清扫处理室,并且重复前体和反应物投配。使用介于1到500个之间的ALD循环。沉积是在没有等离子体的情况下在介于300-400℃之间的温度下进行的。
通过X射线光电子能谱法(XPS)和二次离子质谱法(SIMS)对沉积的MoN膜的成分分析显示碳含量在0.2-2原子%之间。对于2-10nm的膜厚度,膜电阻率为500-4,000μΩ·cm。
对2nm厚的膜进行H2等离子体加工60秒。膜在400℃下用在包含H2的处理气体中远程产生的等离子体(13.56MHz,2kW)进行加工。通过TEM测量得到的1nm的膜具有约600μΩ·cm的电阻率,表明与所沉积的膜的初始的3600μΩ·cm电阻率相比,电阻率降低至1/6。
实施例2(比较)。当钼前体在等离子体中用反应物处理时,证实会发生大量碳掺入。使用图1B中所示的双(叔丁基亚氨基)双(叔丁氧基)钼(化合物19)作为含钼前体,将MoC薄膜沉积在SiO2衬底上。在ALD处理室中将衬底暴露于前体19;然后清扫处理室以去除非表面结合的前体,然后将衬底与在H2中形成的等离子体接触以使衬底表面上的前体反应。清扫处理室,并重复前体和反应物投配。使用200次ALD循环。沉积在250℃的温度下进行。通过X射线光电子能谱对15nm膜的成分分析表明,Mo为58原子%,C为41原子%。据信,高能等离子体反应物会引起有机配体的不受控制的分解,从而能够容易地形成高度热力学稳定的碳化钼膜。因此,使用等离子体反应物难以获得基本上不含碳的金属含钼膜。
实施方案3.在400℃对3nm基本上不含碳的MoN膜执行使用N2和氩气的混合物的等离子体加工150秒。等离子体以3kW的功率远程生成。制造了MOS电容器,并通过将平带电压与有效氧化物厚度的关系曲线外推为零来获得有效功函数。与未经加工的膜相比,经等离子体加工的膜显示出大约0.08eV的有效功函数增加。确定由于等离子体加工引起的成分变化的单独实验表明,等离子体加工后氮含量高出约10%。
装置
本文描述的沉积方法可以在多种装置中进行。一种合适的装置包括:处理室,其具有用于引入反应物的一个或多个入口;在处理室中的衬底保持器,其配置成在沉积期间将衬底保持在适当的位置;以及任选地,等离子体产生机构,其配置成在处理气体中产生等离子体。该装置可以包括具有程序指令的控制器,该程序指令用于致使本文描述的任何方法步骤执行。本文所述的沉积方法可在对应ALD和CVD装置(可以从Lam Research Corp.(Fremont,CA)获得,如和工具)中进行。
例如,在一些实施方案中,该装置包括具有程序指令的控制器,其包括用于下述操作的指令:使钼或钨前体引入处理室,其中该前体为本文所述的任何前体;以及引起前体与反应物之间的反应,以在衬底上形成基本上不含碳的含钼或含钨材料层。控制器可包括用于引起本文描述的任何方法的程序指令。
图7示出了适用于使用所提供的方法来沉积含钼膜的沉积装置的示例。图7示意性地示出了可以用于使用原子层沉积(ALD)和/或化学气相沉积(CVD)(其中任何一个都可以任选地是等离子体增强的)来沉积材料的处理站700的实施方案。应注意,在许多实施方案中,避免了沉积反应的等离子体增强,以防止将碳掺入到膜中。为简单起见,处理站700被描绘为具有用于维持低压环境的处理室主体702的独立处理站。然而,应当理解,多个处理站700可以包括在共同的处理工具环境中。此外,应当理解,在一些实施方案中,可以由一个或多个计算机控制器以编程方式调整处理站700的一个或多个硬件参数,包括下面详细讨论的那些硬件参数。
处理站700与反应物输送系统701流体连通,以将处理气体输送至分配喷头706。反应物输送系统701包含混合容器704,混合容器704用于共混和/或调节处理气体以输送至喷头706。一个或多个混合容器入口阀720可以对处理气体导入至混合容器704进行控制。类似地,喷头入口阀705可对处理气体导入至喷头706进行控制。
一些含金属前体可以在处理站汽化之前以及随后输送到处理站之前以固体或者液体形式储存。例如,图7的实施方案包含汽化点703,汽化点703用于汽化将供应至混合容器704的固体反应物。在一些实施方案中,汽化点703可以是加热的蒸发器。在一些实施方案中,惰性气体流在低于大气压下流过加热的固态钼或钨前体,或鼓泡通过加热液态钼或钨前体,并将该前体蒸气运送至处理室。从这样的蒸发器产生的前体蒸气会在下游输送导管凝结。不兼容气体暴露于凝结的反应物可产生小颗粒。这些小颗粒可能阻塞导管、阻碍阀操作、污染衬底等。处理这些问题的一些方法涉及清扫和/或抽空输送导管以去除残留反应物。然而,清扫输送导管可增加处理站循环时间,降低处理站吞吐量。因此,在一些实施方案中,汽化点703下游的输送导管可以被热追踪。在一些示例中,混合容器704也可以被热追踪。在一个非限制性示例中,汽化点703下游的导管具有从约100℃升高至在混合容器704处的约200℃的升高的温度分布。
喷头706朝衬底712分配处理气体。在图7所示的实施方案中,衬底712位于喷头706下方,并且示出为安置在基座708上。应当理解,喷头706可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将处理气体分配至衬底712。尽管未明确示出,但在一些实施方案中,喷头706是包括至少两种类型的导管的双气室喷头,其中第一类型的导管专用于含钼或含钨前体蒸气的输送,而第二类型的导管专用于反应(例如H2、NH3等)物的输送。在这些实施方案中,在进入处理室之前,不允许含钼前体与反应物在导管中混合,且如果连续输送至室中,则不共享导管。
在一些实施方案中,微体积707位于喷头706下方。在微体积中而不是在处理站的整个体积中执行ALD和/或CVD处理可以减少反应物暴露和清扫时间,可以减少改变处理条件(例如,压力、温度等)的时间,可以限制处理站机械手对处理气体的暴露等。示例性的微体积大小包括但不限于0.1升和2升之间的容积。这个微量体积也影响生产力吞吐量。当每个循环的沉积速率下降时,循环时间也同时减少。在某些情况下,对于给定的目标膜厚度,循环时间减少的效果足够显著以提高模块的总体产量。
在一些实施方案中,可以升高或降低基座708以使衬底712暴露于微体积707和/或改变微体积707的体积。例如,在衬底传送阶段中,可以降低基座708以使得衬底712能被加载在基座708上。在沉积处理阶段期间,可以升高基座708以将衬底712定位在微体积707内。在一些实施方案中,微体积707可以完全包围衬底712以及基座708的一部分以在沉积处理期间形成高流阻抗的区域。
任选地,基座708可以在沉积处理的部分期间降低和/或升高,以调节微体积707内的处理压力、反应物浓度等。在一种使处理室主体702在沉积处理期间保持在基础压力下的情况下,降低基座708可以使得微体积707能被抽空。微体积与处理室体积的示例性比率包括但不限于1:700和1:10之间的体积比。应当理解,在一些实施方案中,可以通过合适的计算机控制器以编程方式调整基座高度。
尽管这里描述的示例性微体积变化涉及高度可调节的基座,但是应当理解,在一些实施方案中,喷头706的位置可以相对于基座708调节以改变微体积707的体积。此外,应当理解的是,基座708和/或喷头706的竖直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座708可包括用于使衬底712的方位旋转的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器以编程方式执行。
回到图7所示的实施方案,喷头706和基座708与RF功率源714和匹配网络716电通信以用于对等离子体供电。在其他实施方案中,使用不具有等离子体产生器的装置,以使用提供的方法来沉积含钼或含钨膜。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、射频(RF)源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源714和匹配网络716可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。类似地,RF功率源714可以提供任何适当频率的RF功率。在一些实施方案中,RF功率源714可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可包括但不限于,介于50kHz和700kHz之间的频率。示例性的高频RF频率可包括但不限于,介于1.8MHz和2.45GHz之间的频率。应当理解,任何合适的参数可被离散地或连续地调节以提供用于表面反应的等离子体能量。在一个非限制性示例中,相对于被连续供电的等离子体,可对等离子体功率间歇地施以脉冲,以减少对衬底表面的离子轰击。在一些实施方案中,等离子体用于对沉积的基本上不含碳的膜进行后加工。
在一些实施方案中,等离子体可由一个或多个等离子体监控器原位监控。在一种情况中,等离子体功率可通过一个或多个电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或处理气体的浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方案中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方案中,可使用其它监控器来监控等离子体和其它处理特性。这样的监控器可包括但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来控制等离子体。在一个示例中,用于设置等离子体处理阶段的等离子体条件的指令可被包含在沉积处理配方的相应的等离子体激活配方阶段中。在一些情况下,处理配方阶段可按顺序排列,使得用于沉积处理阶段的所有指令与该处理阶段同时执行。在一些实施方案中,用于设置一个或多个等离子体参数的指令可以包括在等离子体处理阶段之前的配方阶段中。例如,第一配方阶段可包括用于设定惰性气体和/或反应物气体的流速的指令,用于将等离子体产生器设定到功率设定点的指令,以及用于第一配方阶段的时间延迟指令。第二后续配方阶段可以包括用于启用等离子体产生器的指令和用于第二配方阶段的时间延迟指令。第三配方阶段可以包括用于禁用等离子体产生器的指令和用于第三配方阶段的时间延迟指令。应当理解,可以在本公开的范围内以任何合适的方式进一步细分和/或迭代这些配方阶段。
在一些实施方案中,基座708可以通过加热器710进行温度控制。此外,在一些实施方案中,沉积处理站700的压力控制可以由蝶形阀718提供。如图7的实施方案所示,蝶形阀718节流由下游真空泵(未示出)提供的真空。然而,在一些实施方案中,还可以通过改变引入处理站700的一种或多种气体的流速来调节处理站700的压力控制。
图8示出了多站式处理工具800的实施方案的示意图,其具有入站装载锁802和出站装载锁804,其一者或者两者可以包含远程等离子体源。可以使用这种工具,以使用本文提供的方法来处理衬底。在大气压下,机械手806被配置为将晶片从通过晶舟808装载的盒经由大气端口810移动至入站装载锁802内。晶片由机械手806放置在入站装载锁802中的基座812上,关闭大气端口810,且抽空装载锁。当入站装载锁802包含远程等离子体源时,晶片在被引入处理室814之前,可以暴露于装载锁中的远程等离子体处理。此外,晶片另外也可以在入站装载锁802中加热,例如以移除湿气和吸附的气体。接下来,通向处理室814的室传输端口816被打开,且另一个机械手(未示出)将晶片放置到反应器中在所述反应器中所示的第一站的基座上以用于处理。尽管图8所示的实施方案包括装载锁,但是应当理解,在一些实施方案中,可以使晶片直接进入处理站。
绘出的处理室814包含四个处理站,图8所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为818)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。虽然绘出的处理室814包含四个站,但应理解,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有五个或更多个站,而在其它实施方案中,处理室可以具有三个或者更少的站。
图8还绘出了用于在处理室814内传输晶片的晶片搬运系统890的实施方案。在一些实施方案中,晶片搬运系统890可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图8还绘出了用于控制处理工具800的处理条件和硬件状态的系统控制器850的实施方案。系统控制器850可以包含一个或多个存储器设备856、一个或多个海量存储设备854和一个或多个处理器852。处理器852可以包含CPU或者计算机、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器850控制处理工具800的所有活动。系统控制器850执行存储在海量存储设备854、载入存储器设备856、并在处理器852上执行的系统控制软件858。系统控制软件858可以包含用于控制时序、气体的混合、室和/或站压强、室和/或站温度、吹扫条件和时序、晶片温度、RF功率水平、RF频率、衬底、基座、卡盘和/或承受器位置、以及由处理工具800执行的特定处理的其它参数的指令。系统控制软件858可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制根据所公开的方法执行各种处理工具处理所必需的处理工具组件的操作。系统控制软件858可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,系统控制软件858可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。例如,ALD处理的每个阶段可以包括用于由系统控制器850执行的一个或多个指令。用于设置ALD处理阶段的处理条件的指令可以包括在相应的ALD配方阶段中。在一些实施方案中,可以顺序地布置ALD配方阶段,使得ALD处理阶段的所有指令与该处理阶段同时执行。
在一些实施方案中可以采用存储在与系统控制器850关联的海量存储设备854和/或存储器设备856上的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座818,并控制衬底和处理工具800的其它部分之间的间隔。
处理气体控制程序可以包括用于控制气体组分和流速以及可选地用于在沉积之前使气体流入一个或多个处理站以便稳定处理站中的压力的代码。处理气体控制程序可包括用于控制任何公开范围内的气体组成和流速的代码。压力控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。压力控制程序可以包括用于将处理站中的压力保持在任何所公开的压力范围内的代码。
加热器控制程序可以包括用于控制流到加热单元的电流的代码,该加热单元用于加热衬底。替代地,加热器控制程序可以控制传热气体(例如氦气)向衬底的传送。加热器控制程序可以包括将衬底的温度保持在任何公开范围内的指令。
等离子体控制程序可以包括用于设置施加到一个或多个处理站中的处理电极的RF功率水平和频率的代码,例如使用本文公开的任何RF功率水平。等离子体控制程序还可以包括用于控制每次等离子体暴露的持续时间的代码。
在一些实施方案中,可以存在与系统控制器850相关联的用户界面。用户界面可以包含显示屏、装置和/或处理条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由系统控制器850调节的参数可涉及处理条件。非限制性示例包含处理气体组成和流率、温度、压强、等离子体条件(例如,RF功率水平、频率和暴露时间)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器850的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具800的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性示例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持处理条件。
可以使用任何合适的室来实施所公开的实施方案。示例性沉积设备包括但不限于来自产品系列的装置,事实产品系列可从Lam Research Corp.(Fremont,California)获得。两个或更多个站可以执行相同的功能。类似地,两个或更多个站可以执行不同的功能。可以根据需要设计/配置每个站以执行特定的功能/方法。
在一些实施方案中,该装置包括用于沉积基本上不含碳的膜的处理室,以及配置用于用远程等离子体加工这些膜以使膜致密、降低膜的电阻率或增加它们的功函数的不同处理室。在一些实施方案中,该装置被编程或配置为将衬底从沉积处理室转移到等离子体加工处理室而不将衬底暴露于环境大气、水分或氧气。
图9是根据某些实施方案的适用于进行薄膜沉积处理的处理系统的框图。系统900包括传送模块903。传送模块903提供清洁、加压的环境,以最小化当正被处理的衬底在各个反应器模块之间移动时被污染的风险。根据某些实施方案,安装在传送模块903上的是两个多站式反应器909和910,每个反应器能够执行原子层沉积(ALD)和/或化学气相沉积(CVD)。反应器909和910可以包括多个站911、913、915和917,其可以根据所公开的实施方案顺序地或非顺序地执行操作。这些站可包括加热的基座或衬底支撑件、一个或多个气体入口或喷头或分散板。
安装在传送模块903上的还可以是一个或多个单站或多站式模块907,其能够执行等离子体或化学(非等离子体)预清洁,或者与所公开的方法有关的任何其他处理。在一些情况下,模块907可以用于各种处理,以例如制备用于沉积处理的衬底。模块907还可以被设计/配置为执行各种其他处理,例如蚀刻或抛光。系统900还包括一个或多个晶片源模块901,其中在处理之前和之后存储晶片。大气传送室919中的大气机械手(未示出)可以首先将晶片从源模块901移动到装载锁921。传送模块903中的晶片传送装置(通常是机械臂单元)将晶片从装载锁921移动到安装在传送模块903上的模块中以及在安装在传送模块903上的模块中。
在多种实施方案中,系统控制器929用于控制沉积过程中的处理条件。控制器929通常将包括一或多个存储器设备和一或多个处理器。处理器可包括CPU或计算器、模拟和/或数字输入/输出连接部、步进马达控制器板,等等。
控制器929可控制沉积装置的活动中的全部。系统控制器929执行系统控制软件,系统控制软件包括用于控制定时、气体的混合物、室压、室温、晶片温度、射频(RF)功率水平、晶片卡盘或基座位置以及特殊处理的其他参数的成组的指令。存储在与控制器929相关联的存储器设备的其他计算机程序可在一些实施方案中被采用。
通常会有与控制器929相关联的用户界面。用户界面可包括显示屏、该装置和/或处理条件的图形软件显示器以及诸如指点设备、键盘、触摸屏、话筒等用户输入设备。
系统控制逻辑可以用任何合适的方式来配置。一般而言,该逻辑可被设计或配置在硬件和/或软件中。用于控制驱动电路的指令可被硬编码或作为软件被提供。指令可通过“编程”提供。这种编程被理解为包括任何形式的逻辑,包括数字信号处理器、专用集成电路以及具有实现为硬件的具体算法的其他器件中的硬编码逻辑。编程还被理解为包括可在通用处理器上执行的软件或固件指令。系统控制软件可以任何合适的计算机可读编程语言进行编码。
用于控制前体流量以及处理序列中的其他处理的计算机程序代码可以任何常用计算机可读编程语言:例如,汇编语言、C、C++、Pascal、Fortran或其他编写。编译的目标代码或脚本由处理器执行以执行程序中所识别的任务。同样如所指示的,程序代码可以是硬编码的。
控制器参数与处理条件有关,诸如,例如处理气体组分和流率、温度、压强、冷却气压、衬底温度以及室壁温度。这些参数以配方的形式被提供给用户,且可利用用户界面输入。用于监控处理的信号可通过系统控制器929的模拟和/或数字输入连接被提供。用于控制处理的信号通过沉积装置900的模拟和数字输出连接被输出。
可以以许多不同方式设计或配置系统软件。例如,根据所公开的实施方案,可以编写各种室部件子程序或控制对象以控制执行沉积处理(以及在一些情况下的其他处理)所必需的室部件的操作。用于该目的的程序或程序段的示例包括衬底定位代码、处理气体控制代码、压力控制代码和加热器控制代码。
在一些实现方式中,控制器929是系统的一部分,该系统可以是上述实施例的一部分。这种系统可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件集成。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统的类型,控制器929可以被编程以控制本文公开的任何处理,包含控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、在一些系统中的射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方的一部分。
在一些实现方式中,控制器可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的处理。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供处理配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的处理类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的处理和控制)工作。用于这些目的的分布式控制器的实施例可以是与结合以控制室内处理的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
示例的系统可以包含但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个处理步骤,控制器可以与下列中的一者或多者通信:其它工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具。
混流器
在另一方面,提供了一种用于在输送到处理室之前混合载气和含金属前体的流动混合器。所述流动混合器适于提高含金属前体向喷头输送的均匀性,并可用于任何含金属前体,包括但不限于本文所述的钼和钨前体。
用于钼或含钼材料的ALD或CVD的含钼前体的示例包括MoF6、MoCl5、二氯二氧化钼(MoO2Cl2)、四氯氧化钼(MoOCl4)和六羰基钼(Mo(CO)6)。式MoxOxHz和H的其他Mo卤氧化物是卤素(氟(F)、氯(Cl)、溴(Br)或碘(I)),并且x、y和z是大于零的任何数字,其可以形成稳定的分子。这些包括四氟化钼(MoOF4)、二溴二氧化钼(MoO2Br2)和碘氧化钼MoO2I和Mo4O11I。有机金属前体也可以与示例一起使用,其包括具有环戊二烯基配体的Mo前体。其他示例包括式Mo2Ln的前体,其中每个L独立地选自酰胺(amidate)配体、脒(amidinate)配体和胍(guanidinate)配体,其中n为2-5。Mo2Ln前体包括多重钼-钼键(例如双键或具有2-5键序的任何多重键)。进一步的示例包括含卤化物的杂配钼化合物(即,具有不同类型配体的化合物)。此类前体的具体示例为包括钼、与钼形成键的至少一种卤化物、以及具有N、O和S元素中任一者的至少一种有机配体的化合物,其中这些元素中的任一种的原子与钼形成键。提供氮或氧键合的合适有机配体的示例包括脒基(amidinates)、酰胺根(amidates)、亚氨基吡咯烷基(iminopyrrolidinates)、二氮杂二烯(diazadienes)、β-亚氨基酰胺基(beta-iminoamides)、α-亚氨基烷氧基(alpha-imino alkoxides)、β-氨基烷氧基(beta-aminoalkoxides)、β-二酮亚胺基(beta-diketiminates)、β-酮亚胺基(beta-ketoiminates)、β-二酮基(beta-diketonates)、胺(amines)和吡唑特(pyrazolates)。提供硫键合的合适有机配体的示例包括硫醚(thioethers)、硫醇基(thiolates)、二硫醇烯(dithiolenes)、二硫醇基(dithiolates)以及a-亚氨基硫醇烯(a-imino thiolenes)。这些配体可经取代或未经取代。在一些实施方案中,这些配体包括一或多个取代基,其独立地选自H、烷基、氟代烷基、烷基甲硅烷基、烷基氨基以及烷氧基取代基所组成的群组。有机配体可以为中性或阴离子(例如单阴离子或双阴离子),且钼可以为各种氧化态,例如+1、+2、+3、+4、+5和+6。
当金属前体蒸气被输送到喷头时,它通常与载气流(例如N 2、氩气、氦气等),混合以提供所需浓度的前体。这种混合通常在流动混合器中执行,该混合器具有连接到喷头的出口。应注意,在许多实施方案中,金属前体蒸气与第一载气流一起被输送到混合管,然后被第二载气流稀释。为了清楚起见,载气中的这种更集中的含金属前体流将被称为金属前体流,并且不包括前体的载气流将被称为载气流。
在这些流的混合过程中可能遇到的问题之一是金属前体向喷头的不均匀输送。例如,喷头边缘附近的外围区域可以接收具有比喷头的较居中部分较低浓度的金属前体流。这进而可能导致金属前体在处理室中的不均匀分布。提供了一种流动混合器,其被配置用于提高金属前体与载气的混合均匀性。在一些实施方案中,流动混合器输送金属前体,使得金属前体在所有喷头出口处的浓度相差不超过2体积%。在所描述的实现方式中,流动混合器包括:(a)外流体导管,其包括:用于使所述载气进入所述外流体导管的入口、用于将所述载气与所述含金属前体混合的混合区和用于从所述外流体导管除去与所述含金属前体混合的所述载气的出口;(b)至少部分地位于所述外导管内部的内流体导管,其中所述内流体导管包括用于使所述含金属前体进入所述内流体导管的入口,以及配置成将所述含金属前体释放到所述外流体导管内的出口,其中从所述内流体导管的入口到所述外流体导管的入口的距离大于从所述内流体导管的出口到所述外流体导管的入口的距离,从而支持所述流动混合器中的所述载气和所述含金属的前体的相反流动,其中所述距离是指z方向上的距离。
所描述的流动混合器在图10中示出,其示出了流动混合器1001的示意性侧视图,以及示出内流体导管的入口的部分的横截面图。参照图10,外流体导管1003具有大致圆柱形形状,并且在外流体导管1003的顶部具有用于允许载气进入的入口(未示出)。外流体导管的入口连接到载气源。载气(不含金属前体)的流动由向下的箭头1005表示。外流体导管1003的出口1007位于与外流体导管1003底部的入口相对的位置。出口适合于连接至喷头(未示出)并将混合的含金属前体的流1009与载气输送至喷头。内流体导管1011(至少部分地)位于外流体导管内部并且具有与外流体导管1003同轴的部分。内流体导管1011具有入口1013,该入口1013被配置为允许来自金属前体源的金属前体进入。金属前体通常与载气混合流入内流体导管,但这种流的金属前体浓度高于喷头的目标浓度,并且需要在混合管中用载气进一步稀释。进入内流体导管1011的金属前体流由箭头1015显示。内流体导管1011的出口1017被配置为将如箭头1019所示向上流动的含金属前体释放到外流体导管1003中,其中金属前体流与载气流混合。值得注意的是,内流体导管1011的入口1013到内流体导管1013的出口1017在z方向(竖直方向)上的距离1018小于内流体导管1011的入口1013到位于外流体导管1003最顶部的外流体导管1003的入口(未示出)的距离。这种配置能够支持载气(由向下箭头1005所示)和含金属前体(由向上箭头1019所示)的相对流动,从而使得流的混合更有效。
外流体导管1003具有混合区1021,其中允许含金属前体和载气流不受限制地混合,从而形成混合流,如向下箭头1023所示。重要的是提供足够长度的混合区,因为在该区发生的混合会影响喷头中前体浓度的均匀性。在一些实施方案中,混合区L1在z方向上的长度为至少约102mm,例如至少约127mm。例如,在1,000sccm的流量和大约41mm的外管外径的情况下,102mm长的混合区提供了充分的混合。在一些实施方案中,流动混合区在z方向上的长度L1比外流体导管1003的内径的比率为至少约2,例如至少约3。
在一些实施方案中,例如在图10中所示的实施方案中,外流体导管1003还具有限制区1025,其中混合流1023被限制在位于外流体导管1003内部的多个更窄的通道中。例如,在一些实施方案中,限制区包含六个更窄的非连通通道,其将混合流传送到出口1007。限制区在z方向上具有长度L2(例如,介于约1-5mm之间)。限制区内的混合流由向下箭头1027表示。
在一些实施方案中,流动混合器1001被设计成使得内流体导管1013的出口1017包括流动转向器1029,其被配置为在含金属前体流与载气流在外流体导管1003中混合之前使含金属前体流转向,使得转向的含金属前体流在外流体导管中保持与载气流的速度方向相反的速度分量。
转向器可以包括两个平行的限流板,其被配置为限制板之间的含金属前体的流动。例如,含金属前体的向上流动可以朝横向方向转向,进行小于90度的转弯,从而保留与向下方向的载气流相反的速度分量。保持这种相反的速度分量是提高混合效率的重要因素。在一些实施方案中,流动转向器1029包括具有多个(例如六个)均匀间隔的径向开口的输送三通。
在一些实现方案中,外流体导管的内径比内流体导管的内径的比率介于约1.5-10之间,例如介于约1.5-5之间。在一个具体示例中,外流体导管的内径为约40.5mm,而内流体导管的内径为约4.8mm。在一些实现方案中,流动混合器在z方向上的总长度介于约76-510mm之间,例如介于约102-508mm之间,例如约124.5mm。流动混合器可以由与含金属前体相容的各种材料制成,包括铝、不锈钢和陶瓷。
多充气部喷头
在另一方面,提供了一种用于将多种反应物输送到处理室的多充气部喷头。喷头可以用于输送反应物的任何组合,包括但不限于本文所述的含钼和含钨前体。在一些实施方案中,多充气部喷头包括(a)喷头面板,其包括用于输送第一反应物的第一多个导管和用于输送第二反应物的第二多个导管,其中第一多个导管被配置为与第二多个导管流体隔离;和(b)围绕喷头面板的周边定位的喷头外壳,其中喷头面板能释放地附接到喷头面板上。因为喷头被配置为具有可移除的面板,所以可以高效地执行通常包含非常小的通道的该面板的清洁。例如,可以用溶剂清洁面板,例如通过将面板浸入溶剂中和/或用溶剂清洗通道。在一些实施方案中,面板包括直径为约1mm或更小的开口,例如0.5mm或更小的开口,在面板从基座移除之后可以有效地清洁这些开口。
在一些实施方案中,多充气部喷头是双充气部喷头,其中第一多个导管被配置用于输送反应物(例如,H2、NH3、SiH4、B2H6、碳氢化合物等)并且第二多个导管被配置用于输送含金属的前体(例如,含钼或含钨的前体)。图11A示出了双充气部喷头1101的一部分的视图,其包括面板1103和附接到面板1103的外壳1105,其中外壳1105围绕面板1103的周边定位。面板1103的顶部包含导管1107的大量开口,其被配置为通过面板输送含金属的前体。含金属前体向下输送到面板上,并在侧面受到喷头外壳的限制。面板1103还包含多个流体导管1106,其中流体导管1106不与金属前体导管1107流体连通。导管1106被配置为从位于外壳1105中的反应物输送环1109接收反应物(例如,H2、NH3等),并且通过喷头面板1103横向分配反应物。反应物导管1106具有面板1103底部的多个出口(未示出),其被配置用于将反应物输送到处理室。在一些实施方案中,这些出口具有约1mm或更小或0.5mm或更小的直径。金属前体导管和反应物导管两者的出口都通向处理室。导管被设计成使得金属前体和反应物在喷头1101的主体中不会相互接触。
虽然喷头面板1103用于将金属前体和反应物分配和输送到处理室而不将它们混合,但喷头外壳1105用于限制喷头面板1103上方用于金属前体的体积,并容纳被配置用于将反应物输送到喷头面板1103的反应物导管1106的输送环1109。通过的反应物的流动由箭头1110示出。外壳还可以包括加热器1111,其可以是环形的,并且嵌入在外壳内形成的凹陷中。外壳通常还包括用于支撑用于将喷头密封到金属前体输送管线的O形环或其他密封件的壁架1113。图11B示出了在喷头面板1103已经从外壳1105释放(例如,用于清洁)之后喷头面板1103和喷头外壳1105的一部分。该视图示出了可拆卸紧固件1115,其装配到外壳1105中的开口中,并被配置为使用面板1103周边周围的开口将外壳1105能释放地附接到面板1103。
喷头面板可由与含金属前体相容的任何材料(例如铝、不锈钢和陶瓷材料)制成。
进一步的实现方案
本文所述的装置和工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必然地,这些装置和工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包含以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上施加光致抗蚀剂;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或UV、或eUV或x-射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如RF或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
Claims (59)
1.一种在半导体衬底上形成基本上不含碳的含金属层的方法,该方法包括:
(a)将含金属前体引入容纳所述半导体衬底的处理室中,其中所述含金属前体是不含卤化物的、不含羰基的化合物,其包含与选自由钼和钨组成的群组的金属结合的至少一个配体,其中所述不含卤化物的、不含羰基的化合物不包括金属-碳键和金属-氧双键;以及
(b)在不存在等离子体的情况下使所述含金属前体与至少一种反应物反应以在所述半导体衬底上形成含金属层,其中所形成的所述含金属层是基本上不含碳的含钼或含钨层,所述层的碳含量小于约5原子%,其中所述层选自由Mo、W、MoN、WN、MoON、WON、MoB、WB、MoSi、WSi层及其组合所组成的群组。
2.根据权利要求1所述的方法,其中所形成的所述含金属层的碳含量小于约2原子%。
3.根据权利要求1所述的方法,其中所述含金属前体不包括β-氢原子。
4.根据权利要求1所述的方法,其中所形成的所述含金属层选自由Mo、MoN和MoON所组成的群组。
5.根据权利要求1所述的方法,其中所述含金属前体选自由前体1-16组成的群组,其中每个R和R1独立地选自由烷基、氟代烷基和烷基甲硅烷基组成的群组,其中R不包括β氢键,n为1-4,且m为1-4。
6.根据权利要求1所述的方法,其中所述含金属前体是选自化合物17-20的化合物。
7.根据权利要求1所述的方法,其还包括:
(c)用含氮反应物加工所形成的所述含金属层并且增加所述含金属层中的氮含量。
8.根据权利要求7所述的方法,其中,所述经加工的所述含金属层是功函数大于约4.9eV的MoN层。
9.根据权利要求7所述的方法,其中,所述经加工的含金属层是氮含量至少约25原子%的MoN层。
10.根据权利要求7所述的方法,其中所述经加工的含金属层是功函数大于约5.0eV的MoN层。
11.根据权利要求7所述的方法,其中(c)包括用在包含所述含氮反应物的处理气体中形成的等离子体加工所述半导体衬底。
12.根据权利要求1所述的方法,其还包括:
(c)通过用在包含H2的处理气体中形成的等离子体加工所述含金属层来致密化所形成的所述含金属层。
13.根据权利要求1所述的方法,其中在(b)中,所述含金属前体与所述至少一种反应物之间的反应发生在所述半导体衬底的所述表面上。
14.根据权利要求13所述的方法,其中(a)包括:
在所述半导体衬底的所述表面上形成所述含金属前体的层,并且从所述处理室中去除所述非表面结合的含金属前体;并且其中(b)包括:
将所述至少一种反应物引入所述处理室并与所述半导体衬底的所述表面上的所述含金属前体反应。
15.根据权利要求1所述的方法,其中所述基本上不含碳的含金属层是扩散阻挡层或衬里层。
16.根据权利要求1所述的方法,其中所述方法包括在pMOS设备中形成功函数大于约5.0eV的基本上不含碳的含金属层。
17.根据权利要求1所述的方法,其中形成功函数大于约5.0eV的所述基本上不含碳的金属层包括:在(b)之后用等离子体激活的含氮反应物加工所述半导体衬底,以增加所述含金属层中的氮含量。
18.根据权利要求1所述的方法,其中在(b)中在低于约450℃的温度下形成所述基本上不含碳的金属层。
19.根据权利要求1所述的方法,其中所述方法包括重复(a)和(b),使得所述方法包括约1-100个循环,其中每个循环包括(a)和(b)。
20.根据权利要求1所述的方法,其中所述至少一种反应物选自由H2、NH3和N2H4所组成的群组。
21.根据权利要求1所述的方法,其中(b)包括使所述含金属前体与H2反应。
23.根据权利要求21所述的半导体设备,其中所述基本上不含碳的含金属层是扩散阻挡层。
24.根据权利要求21所述的半导体设备,其中所述基本上不含碳的含金属层具有小于约1,000μ·cm-1的电阻率。
25.根据权利要求21所述的半导体设备,其中所述基本上不含碳的含金属层具有小于约600μ·cm-1的电阻率。
26.根据权利要求21所述的半导体设备,其中所述基本上不含碳的含金属层是Mo或MoN层。
27.一种包括栅电极的半导体设备,其中所述栅电极包括基本不含碳的含金属层,其中所述基本不含碳的含金属层中的碳含量小于约3原子%,并且其中所述基本不含碳的含金属层具有大于4.9eV的功函数,并且包含选自由钼和钨组成的群组的金属。
28.根据权利要求27所述的半导体设备,其中所述基本上不含碳的含金属层是MoN层。
29.根据权利要求27所述的半导体设备,其中所述基本上不含碳的含金属层具有大于约5.0eV的功函数。
31.根据权利要求27所述的半导体设备,其中,所述半导体设备是从由平面pMOS设备、FinFET pMOS设备和全环绕栅极(GAA)pMOS设备所组成的群组中选择的pMOS设备。
32.一种用于在半导体衬底上形成基本上不含碳的含金属层的装置,该装置包括:
(a)沉积处理室,其具有衬底支撑件、用于引入含金属前体的入口和用于引入至少一种反应物的第二入口;
(b)等离子体加工处理室,其不同于所述沉积处理室,其中所述等离子体加工处理室包括衬底支撑件和用于引入等离子体加工反应物的入口;以及
(c)包含程序指令的控制器,所述程序指令用于:
(i)在不存在等离子体的情况下在所述沉积处理室中引起含金属前体和至少一种反应物之间的表面受限反应以形成基本上不含碳的含金属材料层,其中所述金属选自由钼和钨组成的群组;
(ii)致使将所述半导体衬底从所述沉积处理室转移到所述等离子体加工处理室,而不使所述半导体衬底暴露于环境大气;以及
(iii)致使通过等离子体激活的等离子体加工反应物对所述基本上不含碳的含金属材料进行加工。
33.根据权利要求32所述的装置,其中所述等离子体加工反应物是含氮反应物和/或H2,并且其中(iii)包括用于在包含所述含氮反应物和/或H2的处理气体中形成远程等离子体的指令。
34.一种用于混合载气和含金属前体的流动混合器,该流动混合器包括:
(a)外流体导管,其包括:用于使所述载气进入所述外流体导管的入口、用于将所述载气与所述含金属前体混合的混合区和用于从所述外流体导管除去与所述含金属前体混合的所述载气的出口;
(b)至少部分地位于所述外导管内部的内流体导管,其中所述内流体导管包括用于使所述含金属前体进入所述内流体导管的入口,以及被配置成将所述含金属前体释放到所述外流体导管内的出口,其中从所述内流体导管的入口到所述外流体导管的入口的距离大于从所述内流体导管的出口到所述外流体导管的入口的距离,从而支持所述流动混合器中的所述载气和所述含金属的前体的相反流动,其中所述距离是指z方向上的距离。
35.根据权利要求34所述的流动混合器,其中所述内流体导管的所述出口包括流动转向器,其被配置为在所述含金属前体流与所述载气流在所述外流体导管中混合之前使所述含金属前体流转向,使得转向的所述含金属前体流在所述外流体导管中保持与所述载气流的速度方向相反的速度分量。
36.根据权利要求35所述的流动混合器,其中,所述流动转向器包括两个平行的限流板,其被配置成限制所述板之间的所述含金属前体的流动。
37.根据权利要求34所述的流动混合器,其中,所述流动混合区在z方向上具有至少约127mm的长度。
38.根据权利要求34所述的流动混合器,其中所述流动混合区在z方向上的长度比所述外流体导管的内直径的比率至少为2。
39.根据权利要求34所述的流动混合器,其中,所述流动混合区在z方向上的长度比所述外流体导管的内直径的比率至少为3。
40.根据权利要求34所述的流动混合器,其中所述外流体导管还包括位于所述混合区下游的限制区,其中所述限制区被配置为限制所述混合流进入多个非连通导管中。
41.根据权利要求34所述的流动混合器,其中,所述外流体导管和所述内流体导管包括基本上同轴的部分。
42.根据权利要求34所述的流动混合器,其中所述外流体导管的内直径比所述内流体导管的内直径的比率介于约1.5-10之间。
43.根据权利要求34所述的流动混合器,其中所述外流体导管的内直径为约40.5mm,并且所述内流体导管的内直径为约4.8mm。
44.根据权利要求34所述的流动混合器,其中,所述流动混合器在z方向上的长度介于约102-508mm之间。
45.根据权利要求34所述的流动混合器,其中,所述流动混合器包含选自由铝、不锈钢和陶瓷所组成的群组中的材料。
46.根据权利要求34所述的流动混合器,其中,所述外流体导管的出口被配置为连接到沉积装置的喷头。
47.一种用于沉积含金属层的沉积装置,其包括根据权利要求34所述的流动混合器。
48.一种在半导体衬底上沉积含金属层的方法,该方法包括:
(a)在根据权利要求34所述的流动混合器中将含金属前体与载气混合;以及
(b)将所形成的所述混合物输送至处理室,并且使所述含金属前体与反应物反应以在所述半导体衬底上形成所述含金属层。
49.一种用于将多种反应物输送到处理室的多充气部喷头,所述多充气部喷头包括:
(a)喷头面板,其包括用于输送第一反应物的第一多个导管和用于输送第二反应物的第二多个导管,其中所述第一多个导管被配置为与所述第二多个导管流体隔离;和
(b)围绕所述喷头面板的周边定位的喷头外壳,其中所述喷头面板能释放地附接到所述喷头外壳。
50.根据权利要求49所述的多充气部喷头,其中,所述喷头包括多个紧固件,所述多个紧固件被配置成将所述喷头面板能释放地附接到所述喷头外壳。
51.根据权利要求49所述的多充气部喷头,其中所述第一多个导管包括直径小于约1mm的开口。
52.根据权利要求49所述的多充气部喷头,其中所述第一多个导管包括直径为约0.5mm的开口。
53.根据权利要求49所述的多充气部喷头,其中所述多充气部喷头是双充气部喷头。
54.根据权利要求49所述的多充气部喷头,其中,所述喷头外壳包括与所述喷头面板的第一多个导管流体连接的导管。
55.根据权利要求49所述的多充气部喷头,其中,所述喷头外壳包括加热器。
56.根据权利要求49所述的多充气部喷头,其中,所述面板包括选自由铝、不锈钢和陶瓷所组成的群组中的材料。
57.一种沉积装置的喷头的面板,其中所述面板包括用于输送第一反应物的第一多个导管和用于输送第二反应物的第二多个导管,其中所述第一多个导管被配置为与所述第二多个导管流体隔离,并且其中所述面板被配置成能释放地附接到喷头外壳。
58.一种用于在半导体衬底上沉积含金属层的沉积装置,其中所述沉积装置包括根据权利要求49所述的多充气部喷头。
59.根据权利要求1所述的方法,其还包括:
将光致抗蚀剂涂敷到所述半导体衬底上;
将所述光致抗蚀剂曝光;
图案化所述光致抗蚀剂并将图案转移至所述半导体衬底;以及
选择性地从所述半导体衬底上去除所述光致抗蚀剂。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962890456P | 2019-08-22 | 2019-08-22 | |
US62/890,456 | 2019-08-22 | ||
PCT/US2020/070390 WO2021035236A1 (en) | 2019-08-22 | 2020-08-10 | Substantially carbon-free molybdenum-containing and tungsten-containing films in semiconductor device manufacturing |
Publications (1)
Publication Number | Publication Date |
---|---|
CN114269970A true CN114269970A (zh) | 2022-04-01 |
Family
ID=74659552
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202080059499.7A Pending CN114269970A (zh) | 2019-08-22 | 2020-08-10 | 半导体设备制造中的基本上不含碳的含钼和含钨膜 |
Country Status (6)
Country | Link |
---|---|
US (1) | US20220298624A1 (zh) |
EP (1) | EP4018471A4 (zh) |
JP (1) | JP2022544674A (zh) |
KR (1) | KR20220050192A (zh) |
CN (1) | CN114269970A (zh) |
WO (1) | WO2021035236A1 (zh) |
Families Citing this family (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2019213604A1 (en) | 2018-05-03 | 2019-11-07 | Lam Research Corporation | Method of depositing tungsten and other metals in 3d nand structures |
WO2020106649A1 (en) | 2018-11-19 | 2020-05-28 | Lam Research Corporation | Molybdenum templates for tungsten |
WO2020159882A1 (en) | 2019-01-28 | 2020-08-06 | Lam Research Corporation | Deposition of metal films |
WO2020185618A1 (en) | 2019-03-11 | 2020-09-17 | Lam Research Corporation | Precursors for deposition of molybdenum-containing films |
US11854770B2 (en) * | 2021-01-14 | 2023-12-26 | Applied Materials, Inc. | Plasma processing with independent temperature control |
US12114488B2 (en) * | 2021-05-05 | 2024-10-08 | Applied Materials, Inc. | Enhancing gapfill performance of dram word line |
JP2024047686A (ja) * | 2022-09-27 | 2024-04-08 | 東京エレクトロン株式会社 | 成膜方法、成膜装置、および成膜システム |
US20240218502A1 (en) * | 2023-01-04 | 2024-07-04 | Applied Materials, Inc. | Plasma-enhanced molybdenum deposition |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20060046521A1 (en) * | 2004-09-01 | 2006-03-02 | Vaartstra Brian A | Deposition methods using heteroleptic precursors |
US20170268107A1 (en) * | 2014-08-14 | 2017-09-21 | L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédé Georges Claude | Group 6 transition metal-containing compounds for vapor deposition of group 6 transition metal-containing films |
Family Cites Families (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2001298028A (ja) * | 2000-04-17 | 2001-10-26 | Tokyo Electron Ltd | 半導体デバイス製造方法 |
KR20090101592A (ko) * | 2008-03-24 | 2009-09-29 | 삼성전자주식회사 | 산화막 형성 방법 및 이를 이용한 게이트 형성 방법 |
IL213195A0 (en) * | 2010-05-31 | 2011-07-31 | Rohm & Haas Elect Mat | Photoresist compositions and emthods of forming photolithographic patterns |
US11286557B2 (en) * | 2014-01-24 | 2022-03-29 | Commissariat A L'energie Atomique Et Aux Engergies Alternatives | Method of forming a crystalline thin film having the formula MY2 using an ALD-formed amorphous thin film having the formula MYx as a precursor |
KR102156409B1 (ko) * | 2014-09-16 | 2020-09-15 | 에스케이하이닉스 주식회사 | 패턴 형성 방법 |
JP2019510877A (ja) * | 2016-02-19 | 2019-04-18 | メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツングMerck Patent Gesellschaft mit beschraenkter Haftung | モリブデンカルボニル前駆体を使用したモリブデン薄膜の蒸着 |
-
2020
- 2020-08-10 CN CN202080059499.7A patent/CN114269970A/zh active Pending
- 2020-08-10 EP EP20854552.5A patent/EP4018471A4/en active Pending
- 2020-08-10 KR KR1020227009362A patent/KR20220050192A/ko unknown
- 2020-08-10 WO PCT/US2020/070390 patent/WO2021035236A1/en unknown
- 2020-08-10 JP JP2022509591A patent/JP2022544674A/ja active Pending
- 2020-08-10 US US17/753,042 patent/US20220298624A1/en active Pending
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20060046521A1 (en) * | 2004-09-01 | 2006-03-02 | Vaartstra Brian A | Deposition methods using heteroleptic precursors |
US20170268107A1 (en) * | 2014-08-14 | 2017-09-21 | L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédé Georges Claude | Group 6 transition metal-containing compounds for vapor deposition of group 6 transition metal-containing films |
Non-Patent Citations (3)
Title |
---|
JILL S. BECKER ETAL: "Diffusion barrier properties of tungsten nitride films grown by atomic layer deposition from bis.tert-butylimido.bis.dimethylamido.tungsten and ammonia", 《APPLIED PHYSICS LETTERS》, vol. 82, no. 14, pages 2239 - 2241, XP012033693, DOI: 10.1063/1.1565699 * |
JILL S. BECKER ETAL: "Diffusion barrier properties of tungsten nitride films grown by atomic layer deposition from bis.tert-butylimido.bis.dimethylamido.tungsten and ammonia", APPLIED PHYSICS LETTERS》, vol. 82, no. 14, pages 2239 - 2241, XP012033693, DOI: 10.1063/1.1565699 * |
VILLE MIIKKULAINEN ETAL: "Atomic Layer Deposition of Molybdenum Nitride from Bis(tert-butylimido)-bis(dimethylamido)molybdenum and Ammonia onto Several Types of Substrate Materials with Equal Growth per Cycle", 《CHEM. MATER.》, vol. 19, no. 2, pages 263 - 269, XP093076082, DOI: 10.1021/cm0620279 * |
Also Published As
Publication number | Publication date |
---|---|
EP4018471A1 (en) | 2022-06-29 |
WO2021035236A1 (en) | 2021-02-25 |
US20220298624A1 (en) | 2022-09-22 |
KR20220050192A (ko) | 2022-04-22 |
EP4018471A4 (en) | 2024-01-17 |
JP2022544674A (ja) | 2022-10-20 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11821071B2 (en) | Precursors for deposition of molybdenum-containing films | |
US20220298624A1 (en) | Substantially carbon-free molybdenum-containing and tungsten-containing films in semiconductor device manufacturing | |
KR102694640B1 (ko) | 저온 ald 막들을 위한 챔버 언더코팅 준비 방법 | |
US10679848B2 (en) | Selective atomic layer deposition with post-dose treatment | |
US10825679B2 (en) | Selective growth of SIO2 on dielectric surfaces in the presence of copper | |
KR102439698B1 (ko) | Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막 | |
CN110402477B (zh) | 在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长 | |
KR20220084002A (ko) | Ald 다중-스테이션/배치 반응기에서의 순차적인 프리커서 공급 | |
TWI589722B (zh) | 用以透過與有機金屬共反應物之交叉歧化反應而沉積SiC與SiCN膜之設備及方法 | |
KR20160118968A (ko) | Ald 및 ale에 의해 컨포멀한 막들의 증착 | |
US10418236B2 (en) | Composite dielectric interface layers for interconnect structures | |
US20200392624A1 (en) | Methods and apparatus for depositing yttrium-containing films | |
US20230098270A1 (en) | Precursors for high-temperature deposition of silicon-containing films | |
TW202403079A (zh) | 含金屬膜的沉積及腔室清潔 | |
CN118414450A (zh) | 用于针对低温前体改进保形性的原子层沉积脉冲序列工程 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination |