CN114269969A - 多区段式基座的温度控制 - Google Patents

多区段式基座的温度控制 Download PDF

Info

Publication number
CN114269969A
CN114269969A CN202080058573.3A CN202080058573A CN114269969A CN 114269969 A CN114269969 A CN 114269969A CN 202080058573 A CN202080058573 A CN 202080058573A CN 114269969 A CN114269969 A CN 114269969A
Authority
CN
China
Prior art keywords
temperature
segments
sections
support assembly
substrate support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080058573.3A
Other languages
English (en)
Inventor
拉梅什·钱德拉塞卡拉
迈克尔·菲利普·罗伯茨
亚伦·宾汉
阿施施·索拉卜
阿德里安·拉沃伊
普尔凯特·阿加瓦尔
拉维·库马尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN114269969A publication Critical patent/CN114269969A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater
    • H05B3/22Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible
    • H05B3/28Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible heating conductor embedded in insulating material
    • H05B3/283Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible heating conductor embedded in insulating material the insulating material being an inorganic material, e.g. ceramic

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Surface Heating Bodies (AREA)
  • Control Of Resistance Heating (AREA)

Abstract

一种处理半导体衬底的系统包含:衬底支撑件组件,其被配置成支撑所述半导体衬底。所述衬底支撑件组件包含:M个电阻加热器,其被分别设置于所述衬底支撑件组件的层中的M个区段中,其中M为大于1的整数。所述层邻近于所述半导体衬底。所述衬底支撑件组件包含:N个温度传感器,其被设置于所述层中的N个位置处,其中N为大于1且小于或等于M的整数。所述系统还包括:控制器,其被配置成基于由所述N个温度传感器中的一者所感测的温度和所述M个区段中的一或多者的平均温度而控制所述M个电阻加热器中的一或多者。

Description

多区段式基座的温度控制
相关申请的交叉引用
本申请要求于2019年6月24日申请的美国临时申请No.62/865,621的优先权。上述引用的申请其全部公开内容都通过引用合并于此。
技术领域
本发明大体上涉及衬底处理系统,更具体而言,涉及多区段式基座的温度控制。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
衬底处理系统可以用于执行衬底(例如半导体晶片)的蚀刻、沉积和/或其他处理。可以在衬底上执行的处理的示例包含(但不限于)化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、原子层蚀刻(ALE)、等离子体增强原子层沉积(PEALD)和/或其他蚀刻、沉积以及清洁处理。在处理期间,衬底被设置于衬底处理系统的处理室中的衬底支撑件(例如基座、静电卡盘(ESC)等)上。将处理气体混合物导入处理室中以处理衬底。在一些示例中,可激励等离子体以增强处理室内的化学反应。
在衬底处理期间,可通过设置于衬底支撑件中的电阻加热器而控制衬底的温度。在一些示例中,将电阻加热器设置于单独受控的两个或更多个区段中。为了在由电阻加热器加热的区段中保持热均匀性,通常需要各个区段中的直接温度测量或单独校准的间接温度测量(例如,通过加热器电阻与温度的已知相关性)。
发明内容
一种处理半导体衬底的系统包含:衬底支撑件组件,其被配置成支撑所述半导体衬底。所述衬底支撑件组件包含:M个电阻加热器,其被分别设置于所述衬底支撑件组件的层中的M个区段中,其中M为大于1的整数。所述层邻近于所述半导体衬底。所述衬底支撑件组件包含:N个温度传感器,其被设置于所述层中的N个位置处,其中N为大于1且小于或等于M的整数。所述系统还包括:控制器,其被配置成基于由所述N个温度传感器中的一者所感测的温度和所述M个区段中的一或多者的平均温度而控制所述M个电阻加热器中的一或多者。
在其他特征中,所述M个区段包含:第一圆形区段,其位于所述层的中心区域处;第二环形区段,其围绕所述第一圆形区段;第一组区段,其位于围绕所述第二环形区段的第一环形区域中;以及第二组区段,其位于围绕所述第一环形区域的第二环形区域中。
在另一特征中,所述第一组区段相对于所述第二组区段旋转一定角度。
在另一特征中,所述第一组区段相对于所述第二组区段旋转四十五度的角度。
在另一特征中,所述第一和第二环形区域具有不同的宽度。
在另一特征中,所述第二环形区段具有与所述第一和第二环形区域中的每一者不同的宽度。
在另一特征中,所述第一和第二组区段中的每一者包含四个区段。
在其他特征中,所述N个温度传感器包含:第一温度传感器,其位于所述第一圆形区段内;第一对温度传感器,其沿着所述层的第一直径而位于所述第二环形区段与所述第一组区段之间的第一边界处;以及第二对温度传感器,其沿着所述层的第二直径而位于所述第一组区段与所述第二组区段之间的第二边界处。所述第一温度传感器位于所述第一与第二直径的交点处。
在其他特征中,所述第一和第二对温度传感器的位置对应于平行四边形的顶点;并且所述第一和第二直径形成所述平行四边形的对角线。
在另一特征中,所述控制器被配置成独立于所述M个电阻加热器中的其他电阻加热器而控制所述M个电阻加热器中的一个电阻加热器。
在另一特征中,所述控制器被配置成基于所述半导体衬底的目标温度轮廓而控制所述M个电阻加热器中的一或多者。
在还有的其他特征中,一种用于支撑半导体衬底的衬底支撑件组件包含:基板,其包含邻近于所述半导体衬底的一层。所述衬底支撑件组件包含:M个电阻加热器,其分别设置于所述层中的M个区段中,其中M为大于1的整数。所述M个区段包含:第一圆形区段,其位于所述层的中心区域处;第二环形区段,其围绕所述第一圆形区段;第一组区段,其位于围绕所述第二环形区段的第一环形区域中;以及第二组区段,其位于围绕所述第一环形区域的第二环形区域中。所述衬底支撑件组件包含:N个温度传感器,其被设置于所述层中的N个位置处,其中N为大于1且小于或等于M的整数。所述N个温度传感器包含:第一对温度传感器,其沿着所述层的第一直径而位于所述第二环形区段与所述第一组区段之间的第一边界处;第二对温度传感器,其沿着所述层的第二直径而位于所述第一组区段与所述第二组区段之间的第二边界处;以及第一温度传感器,其位于所述第一圆形区段中且在所述第一与第二直径的交点处。
在其他特征中,所述第一和第二对温度传感器的位置对应于平行四边形的顶点;并且所述第一和第二直径形成所述平行四边形的对角线。
在另一特征中,所述第一组区段相对于所述第二组区段旋转一定角度。
在另一特征中,所述第一组区段相对于所述第二组区段旋转四十五度的角度。
在另一特征中,所述第一和第二环形区域具有不同的宽度。
在另一特征中,所述第二环形区段具有与所述第一和第二环形区域中的每一者不同的宽度。
在另一特征中,所述第一和第二组区段中的每一者包含四个区段。
在其他特征中,一种处理半导体衬底的系统包含:所述衬底支撑件组件;以及控制器,其被配置成基于由所述N个温度传感器中的一者所感测的温度和所述M个区段中的一或多者的平均温度而控制所述M个电阻加热器中的一或多者。
在另一特征中,所述控制器被配置成独立于所述M个电阻加热器中的其他电阻加热器而控制所述M个电阻加热器中的一个电阻加热器。
在另一特征中,所述控制器被配置成基于所述半导体衬底的目标温度轮廓而控制所述M个电阻加热器中的一或多者。
在其他特征中,一种系统包含:所述衬底支撑件组件;以及控制器,其被配置成利用由所述N个温度传感器中的一者所感测的温度并结合对所述M个区段的开环控制而控制所述M个电阻加热器中的一或多者。对所述M个区段的所述开环控制包含将供应至所述M个区段中的每一者的功率与所述半导体衬底的测量温度相关联。
在其他特征中,一种系统包含:所述衬底支撑件组件;以及控制器,其被配置成基于由所述N个温度传感器中的一者所感测的温度和所述M个电阻加热器中的第一电阻加热器与所述M个电阻加热器中的第二电阻加热器的电阻比,相对于所述第二电阻加热器而控制所述第一电阻加热器。
根据详细描述、权利要求和附图,本公开内容的适用性的进一步的范围将变得显而易见。详细描述和具体示例仅用于说明的目的,并非意在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1A为衬底处理系统的示例的功能框图;
图1B根据本公开显示了衬底支撑件的加热器区段;
图2显示了设置于图1B所示的加热器区段中的温度传感器;
图3A和3B根据本公开显示了加热系统的示例的功能框图;
图4为根据本公开的用于设置加热器区段和温度传感器的方法的流程图;
图5为根据本公开的用于控制加热器区段的第一方法的流程图;以及
图6为根据本公开的用于控制加热器区段的第二方法的流程图。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
虽然本公开内容包含与衬底处理系统的衬底支撑件中的多个区段的温度控制相关的特定示例,但本文所述的系统和方法可应用于使用分区电阻加热的其他类型的部件中的温度控制。
在诸如原子层沉积(ALD)之类的膜沉积处理中,所沉积的膜的性质在空间(即,水平面的x-y坐标)分布上变化。例如,衬底处理工具可具有膜厚度不均匀性(NU)的相应规格,其可作为测量值集合的全范围、半范围和/或标准偏差来计量,该测量值集合是在半导体衬底的表面上的预定位置处测得。在一些示例中,可通过解决NU的直接原因和/或引入抵消性NU以补偿和消除现有NU而使NU减小。在其他示例中,可特意地不均匀地沉积和/或移除材料,以补偿在处理中的其他(例如先前或后续的)步骤的已知不均匀性。在这些其他示例中,可计算和使用预定的非均匀沉积/移除轮廓。
沉积的ALD膜的各种性质可能受到在沉积期间的衬底温度影响。根据本公开的系统和方法被配置成调整衬底各处的温度分布以减小厚度NU。例如,可调整温度分布以补偿特定衬底处理工具的已知NU(其称为轮廓补偿),以产生用于特定处理期间的预定NU轮廓(其称为轮廓调节)等等。
例如,在ALD处理(例如,氧化物膜的沉积)期间,将衬底设置在例如ALD基座之类的衬底支撑件上。通常,ALD基座包含单一区段。根据本公开的ALD基座包含多区段(例如2至10个以上的区段)加热器层。可将加热器层嵌入基座的上层内。加热器层可包含聚酰亚胺和硅酮加热器层,其至少部分地被包封在铝上层(例如,被配置成支撑/接触设置在衬底支撑件上的衬底的上层)中。在该示例中,铝上层的设置可用作法拉第笼(Faraday cage)。在其他示例中,上层可以是陶瓷层(例如,Al2O3、AlN等)。加热器层的各个区段控制基座的相应区段的温度。上层被设置于基座的基底(例如,基板)上,且热可从上层传递至基板(其可能是冷却的)。
可对区段的布置(例如,数量、形状、几何等)进行配置以补偿ALD处理所导致的已知膜厚度NU。这些区段可包含(但不限于):两个以上的具有不同宽度的径向(即,环形)区段;两个以上的分段径向区段(即,包含多个区间/方位角区段的径向区段);邻近衬底边缘且/或与衬底边缘重叠的外侧径向区段;以及被设置成调整承载环的温度(例如,以控制/校正用于沉积和/或通过修整的移除的径向轮廓)的外侧径向区段。
在一示例中,这些区段包含十个区段,包括中央区段、内侧中间半径区段、四个外侧中间半径区段(即,包含四个区间的外侧中间半径区段)和四个外侧边缘区段(即,包含四个区间的外侧边缘区段)。在一些示例中,这些径向区段可以包含多于四个的区间(例如,八个以上)。此外,相邻径向区段的方位角区段可能未对齐。替代地,一个径向区段的方位角区段可相对于相邻的径向区段而具有不同的旋转方位(即,时钟方位)。
这些区段中的每一者包含电阻加热器。电阻加热器包含电阻元件,其由具有高电阻温度系数(TCR)的材料制成。因此,在整个本公开内容中,电阻加热器也称为高TCR加热器或高TCR加热器元件。在一些示例中,加热器元件具有摄氏每度大于0.001的高TCR。仅举例而言,可以使用钼、钨(W)、铜、或镍加热器元件。在其他示例中,加热器元件具有摄氏每度小于0.001的较低TCR。仅举例而言,可使用不绣钢(SST)合金。
控制加热器区段的温度(即,控制供应至多个区段中的电阻加热器的功率)以在处理期间实现衬底的目标温度轮廓(也称为热图)。控制多个区段的温度的一种方法为开环控制,其将供应至各个区段的功率与晶片的测量温度相关联。然而,该方法在单独使用时具有若干缺点。例如,一个缺点为开环控制缺少关于任何负载变化的数据,这些负载变化可能在晶片周围的环境中发生并且可能导致衬底温度的变化。另一缺点为,当从一温度设定点移动至另一温度设定点时,响应时间比使用闭环控制时更慢。即,当改变供应至一或更多区段的功率以导致期望的温度变化时,发生实际温度变化所花费的时间可能比使用闭环控制时更慢许多。
相对而言,在闭环控制(例如,PID控制)中,使用反馈回路以控制供应至各个区段的功率,其提供两个益处。第一,与开环控制相比,闭环控制使得温度控制对其他刺激(例如,任何负载变化)的强健性更高;第二,当从一温度设定点移动至另一温度设定点时,响应时间比开环控制更快。即,当改变供应至一或更多区段的功率以引致期望的温度变化时,发生实际温度变化所花费的时间比开环控制更快。
如果晶片的温度与热电偶(TC)之间存在相关性,则在基座中使用多个区段且各个区段具有热电偶(TC)可足以控制晶片上的温度分布。作为替代,可使用提供选定区段的局部温度与区段的平均温度的的最小数量TC以控制晶片上的温度分布,如下文所说明的。
本公开内容涉及一种温度控制方案,其将基座表面的局部温度测量(例如,利用TC、或电阻温度计,其也称为电阻温度传感器(RTD))与区段的平均温度结合或与开环控制结合,如下文所述。具体而言,所提出的温度控制方案将少于所有区段的(例如,利用TC或RTD或类似方法的)局部温度测量与其中不包含TC的区段上的开环电流/电压控制相结合。TC提供区段的局部温度,其代表区段中的点位置处的温度。此外,当与局部温度测量结合时,这些区段中的加热器元件的平均温度测量被用于将基座表面温度精确地设置为指定的温度轮廓。
基于高TCR的平均区段温度测量方法可以与多个(例如10个)区段一起使用,且可提供对所有区段进行闭环控制的能力。在基于高TCR的方法中,可对区段中的高TCR加热器元件的电阻进行测量。可利用查找表或公式将电阻与温度相关联,以提供区段中的平均温度。基于高TCR的方法可以提供区段的平均温度,其可照原样使用或与局部温度量测结合使用。本公开的这些和其他方面在下文中详细描述。
现在参考图1A和1B,显示了根据本公开的包含衬底支撑件(例如ALD基座)104的衬底处理系统100的示例。衬底支撑件104被设置于处理室108内。在处理期间,衬底112被设置于衬底支撑件104上。在一些示例中,衬底支撑件104可以被配置成使得与衬底112的接触最小化(例如,仅有衬底112的外缘可接触衬底支撑件104的上表面、衬底112可被设置于最小接触面积(MCA)特征上等等)。在其他示例中,衬底支撑件104可被配置成提供背侧气体夹持。
气体输送系统120包含气体源122-1、122-2、...、以及122-N(统称为气体源122),其连接至阀124-1、124-2、...、以及124-N(统称为阀124)以及质量流量控制器126-1、126-2、…、以及126-N(统称为MFC 126)。MFC126控制由气体源122至歧管128的气体流动,气体在歧管128处进行混合。歧管128的输出系经由选用性的压力调节器132而供应至歧管136。歧管136的输出被输入至多注入器喷头140。虽然显示了歧管128和136,但也可使用单一歧管。
衬底支撑件104包含多个区段。例如,如图1B所示,衬底支撑件104包含中央区段144、内侧中间半径区段148、四个外侧中间半径区段(即,包含四个区间152-1、152-2、152-3、以及152-4的外侧中间半径区段152)、以及四个外侧边缘区段(即,包含四个区间156-1、156-2、156-3、以及156-4的外侧边缘区段156)。外侧边缘区段156的区间偏离外侧中间半径区段152的区间(即,相对于外侧中间半径区段152的区间而旋转)(例如,45°)。在一些示例中,衬底支撑件104可以包含第二外侧边缘区段158,其位于外侧边缘区段156的径向外侧。例如,第二外侧边缘区段158的内径可以大于衬底112的直径。如下所述,可以通过使用设置于这些区段中的各个区段中的可单独控制的电阻加热器160以控制衬底支撑件104的温度。
在一些示例中,外侧边缘区段156可重叠和/或延伸超出(即,在径向方向上)衬底112的外缘。例如,对于300mm的衬底而言,外侧边缘区段156的半径可大于300mm。此外,外侧边缘区段156的宽度(即,从内半径至外半径的距离)可以小于内侧中间半径区段148以及外侧中间半径区段152的宽度。例如,外侧边缘区段156的宽度可以为大约10mm(例如,+/-2mm),而内侧中间半径区段148和外侧中间半径区段152的各子的宽度可为大约40mm(例如,+/-2mm)。外侧边缘区段156的相对窄的宽度可促进衬底112的外缘处的微调。
在一些示例中,衬底支撑件104可包含冷却剂通道164。冷却流体从流体贮存器168和泵170供应至冷却剂通道164。可将压力传感器172、174分别设置于歧管128或歧管136中以测量压力。阀178及泵180可以用于将反应物从处理室108中排空、和/或控制处理室108内的压力。
控制器182包含配料控制器184,其控制由多注入器喷头140所提供的配料。控制器182还控制来自气体输送系统120的气体输送。控制器182利用阀178和泵180以控制处理室中的压力和/或反应物排空。控制器182如下所述地控制衬底支撑件104以及衬底112的温度。
图2显示了基座的径向区段。这些径向区段被标记为R1、R2、...、以及R10。热电偶TC1、TC2、...、以及TC5(以实心圆描绘)如图所示地设置。虽然在整个本发明中使用TC以用于说明,但应理解,RTD可代替TC使用或与TC结合使用。具体而言,TC1位于中央区段R1(元件144)的中心。如图所示,热电偶TC2、TC3、TC4、以及TC5以交叉形式设置于这些径向区段之间的边界处。
具体而言,如图所示,两个热电偶TC3和TC5以交叉形式设置于径向区段R2(元件148)与径向区段R3、R4、R5、以及R6(元件152)之间的边界上的直径上相对的两端。如图所示,两个热电偶TC3和TC5可沿着穿过径向区段R4和R6的中心的基座的第一直径而设置。如图所示,第一直径还穿过径向区段R7与R8的接合处,且穿过径向区段R9与R10的接合处。如图所示,两个热电偶TC3和TC5可分别邻近或靠近径向区段R4及R6的中心。
如图所示,另外两个热电偶TC2和TC4以交叉形式设置于径向区段R3、R4、R5、以及R6(元件152)与径向区段R7、R8、R9、以及R10(元件156)之间的边界上的直径上相对的两端。如图所示,另外两个热电偶TC2和TC4可沿着穿过径向区段R3和R5的中心的基座的第二直径而设置。如图所示,第二直径也穿过径向区段R7与R10的接合处,且穿过径向区段R8与R9的接合处。如图所示,热电偶TC2可位于径向区段R7与R10的接合处,且热电偶TC4可位于径向区段R8与R9的接合处。
例如,基座的第一和第二直径可以九十度的角度或另一角度相交。例如,热电偶TC2、TC3、TC4、以及TC5可位于一平行四边形的顶点处;且第一和第二直径形成该平行四边形的对角线。如图所示,热电偶TC1可位于第一和第二直径的交点处。
当以该方式设置时,热电偶TC1、TC2、...、以及TC5可获取基座的区段间热相互作用及两个不同直径处的局部温度。通过从高TCR加热器元件获得的各个区段的平均温度以及从选定区段中的TC获得的选定区段的局部温度,可建构所有区段的热图。此外,通过利用选定区段的局部温度与各区段的平均温度的组合以控制加热器元件,可实现遍及晶片各处的目标温度分布。
可利用局部温度测量以进行高TCR加热器的校准(即,确定温度与电阻的对应关系)。例如,在开环校准方法中,使输入到区段R1至R10的功率按顺序(即,R1,然后R2,然后R3,依此类推)增加X%,并且测量晶片温度。定义晶片温度对各个区段的功率值的敏感度测量(例如,dT/dp,其中T表示晶片温度;且P表示以电压、电流、或两者表示的功率)。在收集晶片温度数据时,还并行地测量各个区段的平均温度,并且定义晶片温度对各个区段的平均温度的敏感度测量dT/dTheater-element。还可定义平均区段温度对各个区段的功率值的另一敏感度测量dTheater-element/dp。因此,控制变量可以是供应至各个区段的功率或区段的平均温度。通过控制任一者或两者,可以控制晶片的温度。
将平均区段温度用作额外(即,辅助)控制变量(用于改善晶片温度分布轮廓的目标)或主要控制变量可取决于时间。在稳态下,可使用开环控制对晶片的温度进行控制。当切换状态(例如,因负载变化)时,平均区段温度可用作主要控制变量。一区段的平均温度可通过分析来自该区段中的高-TCR加热器元件的电阻-温度关系数据而获得。例如,平均温度可为面积加权平均,其中该面积含有该高-TCR加热器元件。
在某些情况下,可避免计算平均区段温度的附加步骤。例如,如果期望将平均区段温度用作辅助变量(例如,用于瞬态响应),则两个区段中的加热器元件的电阻比可用于增加或减小供应至两个区段中的一者中的加热器元件的功率,而非如下所述地使用两个区段的平均温度。
可以使用若干方法以控制晶片温度。例如,最不复杂的方法涉及基于各个区段的平均温度而控制供应至各个区段的功率。最复杂的方法涉及为整个热图定义最小均方(LMS)温度目标、以及利用脉宽调变(PWM)控制供应至各个区段的功率。
在中等复杂度的混合式方法中,将最小数量的TC与平均区段温度结合使用以控制特定区段。在第四个方法中,在稳态下可利用开环控制以控制区段的温度;并且当切换状态时(例如当负载变化时),平均区段温度可用作主要控制变量。
以下为混合式方法的一些示例。在一示例中,在图2中,TC1、TC2、以及TC3可分别控制区段R1、R2的输入以及所有外侧区段的基线。平均区段温度被用作控制多个区段之间的电阻比的输入。利用开环控制以控制多个区段之间的电阻比。
例如,考虑外侧区段R7、R8、R9、以及R10。这些区段具有相同的面积。假设这些区段中的加热器元件的电阻是相等的。如果这些区段期望具有相同的目标温度,则这些区段中的一者(例如R8)利用区段R8的局部温度来驱动至目标温度。将区段R7、R9、以及R10驱动至与目标温度相对应的相同电阻值。假设这些区段起初在冷态时或加热后的稳态下处于相同的电阻值,如果在热态时这些区段处于相同的电阻值,则这些区段将处于相同的温度(即,目标温度)。
现在假设区段R10将比区段R8更热。如果已知将在区段R8与R10之间实现的温度比,则可驱动区段R10以使其具有比区段R8更高的电阻值,以使区段R8与R10的电阻比对应于区段R8与R10的温度比。因此,当切换状态时(例如当负载变化时),除了使用最小数量的TC(局部温度测量)以控制特定区段外,还可使用平均区段温度以控制方位角方向上的这些区段之间的电阻比。在混合式方法的另一示例中,TC5可控制区段R6,而平均区段温度可控制区段R2。
图3A显示了可以用于控制图2中所示的加热器区段R1至R10的控制器300。例如,可以通过图1A中所示的控制器182以实现控制器300。加热器驱动器302可以用于在控制器300的控制下供应功率至选定的TCR加热器304。例如,TCR加热器304可以用于实现电阻加热器160(图示于图1A中)。电流传感器308可以用于对由加热器驱动器302供应至TCR加热器304的电流进行感测。电压传感器310可以用于对由加热器驱动器302供应至TCR加热器304的电压进行感测。控制器300基于来自电流传感器308和/或电压传感器310的各自的电流和/或电压量测而判定TCR加热器304中的每一者的电阻。
图3B显示了:控制器300使用电阻估计器312以监控加热器区段的工作周期,并基于对应的工作周期而估计加热器区段的电阻。在此示例中,假设电压或电流为恒定值,并且改变电流或电压的工作周期。换言之,控制器300基于已知电压或电流以及电流或电压的工作周期而估计电阻。因此,在此示例中,将电流传感器308以及电压传感器310省略。
在图3A和3B中,控制器300控制加热器区段R1-R10中的TCR加热器304。控制器300选择加热器区段(例如,图2中所示的任何区段Rl、R2等)。加热器驱动器302供应功率至选定的TCR加热器304。图3A和3B的控制器300以及其他部件的操作在下文中参照图5和6而详细描述。
图4根据本公开显示了用于设置多个区段(以及相应的加热器)以及温度传感器(例如TC和/或RTD)的方法400。在402,方法400包含在基座的上层中的多个区段中设置高TCR加热器元件,其中该基座的上层被配置成在处理期间支撑衬底。在404,方法400包含如下设置多个区段。这些区段包含在基座的上层的中心区域中的第一区段(例如,图1B和2中所示的区段R1 144)、围绕该中心区域的内侧环形区域中的第二区段(例如,图1B和2中所示的区段R2 148)、围绕内侧环形区域的外侧环形区域中的第一组区段(例如,图1B和2中所示的区段R3、R4、R5、以及R6或区段152)、以及围绕外侧环形区域的外侧边缘区域中的第二组区段(例如,区段R7、R8、R9、以及R10或区段156)。第二组区段可以相对于第一组区段而偏移一定角度(例如,45°)(例如,如图1B所示)。
在406,方法400包含如下设置数量少于区段数的多个温度传感器(例如TC和/或RTD)。一个TC被设置于第一区段(例如,图2中所示的区段R1 144中的TC1)。第一对TC(图2中所示的TC3和TC5)沿着内侧环形区域(区段R2 148)与外侧环形区域(区段152)之间的第一边界并沿着第一直径设置。第二对TC(图2中所示的TC2和TC4)沿着外侧环形区域(区段152)与外侧边缘区域(区段156)之间的第二边界并沿着第二直径设置。
在408,方法400包含沿着对角线为第一与第二直径的平行四边形的顶点而设置第一与第二对温度传感器。TC1被设置于第一与第二直径的交点处(即,对角线的交点处)。
图5根据本公开显示了用于控制区段(例如图1B和2中所示的R1-R10)的第一方法500。方法500由图3A和3B中所示的控制器300以及其他部件执行。在502,方法500利用如图2所示且参照图4所述而设置的温度传感器以测量选定(少于全部)区段的局部温度。在504,方法500测量各个区段的平均温度。
在506,方法500确定这些区段是否处于稳态。在508,当这些区段处于稳态时,方法500利用开环控制以控制这些区段(即,通过将供应至各个区段的功率与测量的晶片温度相关联)。
在510,方法500确定一或更多个区段是否需要(例如,因负载变化)改变状态。如果一或更多个区段不需要改变状态(即,如果这些区段处于稳态),则方法500返回至508。在512,如果一或更多个区段需要改变状态,则方法500利用这些区段中的至少一者(但非全部)的测量局部温度与所有区段的平均温度的组合以控制供应至该一或更多区段的功率。
图6根据本公开显示了用于控制区段(例如图1B和2中所示的R1-R10)的第二方法600。方法600由图3A和3B中所示的控制器300和其他部件执行。在602,方法600利用如图2所示且参照图4所述而设置的温度传感器以测量选定(少于全部)区段的局部温度。
在604,方法600确定这些区段是否处于稳态。在606,当这些区段处于稳态时,方法600利用开环控制以控制这些区段(即,通过将供应至各个区段的功率与测量的晶片温度相关联)。
在608,方法600确定一或更多个区段是否需要改变状态(例如,因负载变化)。如果一或更多个区段不需改变状态(即,如果这些区段处于稳态),则方法600返回至606。在610,如果一或更多个区段需要改变状态,则方法600基于需改变状态的区段的期望温度变化而决定两个区段之间的电阻比、其中一者需要改变状态。
在612,方法600利用这些区段中的至少一者(但非全部)的测量局部温度与两个区段之间的电阻比的组合以控制供应至需要改变状态的区段的功率。因此,基于这些区段中的至少一者(但非全部)的测量局部温度与两个区段之间的电阻比的组合,使供应至需改变状态的区段的功率增加或减小。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。
应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方案在上面被描述为具有某些特征,但是相对于本公开的任何实施方案描述的那些特征中的任何一个或多个,可以在任何其它实施方案的特征中实现和/或与任何其它实施方案的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方案不是相互排斥的,并且一个或多个实施方案彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。
如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。
根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何处理,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。
程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。
在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供处理配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。
在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的处理的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。
因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的处理和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的处理。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (23)

1.一种处理半导体衬底的系统,其包含:
衬底支撑件组件,其被配置成支撑所述半导体衬底,所述衬底支撑件组件包含:
M个电阻加热器,其被分别设置于所述衬底支撑件组件的层中的M个区段中,所述层邻近于所述半导体衬底,其中M为大于1的整数;以及
N个温度传感器,其被设置于所述层中的N个位置处,其中N为大于1且小于或等于M的整数;以及
控制器,其被配置成基于由所述N个温度传感器中的一者所感测的温度和所述M个区段中的一或多者的平均温度而控制所述M个电阻加热器中的一或多者。
2.根据权利要求1所述的系统,其中所述M个区段包含:
第一圆形区段,其位于所述层的中心区域处;
第二环形区段,其围绕所述第一圆形区段;
第一组区段,其位于围绕所述第二环形区段的第一环形区域中;以及
第二组区段,其位于围绕所述第一环形区域的第二环形区域中。
3.根据权利要求2所述的系统,其中所述第一组区段相对于所述第二组区段旋转一定角度。
4.根据权利要求2所述的系统,其中所述第一组区段相对于所述第二组区段旋转四十五度的角度。
5.根据权利要求2所述的系统,其中所述第一和第二环形区域具有不同的宽度。
6.根据权利要求2所述的系统,其中所述第二环形区段具有与所述第一和第二环形区域中的每一者不同的宽度。
7.根据权利要求2所述的系统,其中所述第一和第二组区段中的每一者包含四个区段。
8.根据权利要求2所述的系统,其中所述N个温度传感器包含:
第一温度传感器,其位于所述第一圆形区段内;
第一对温度传感器,其沿着所述层的第一直径而位于所述第二环形区段与所述第一组区段之间的第一边界处;以及
第二对温度传感器,其沿着所述层的第二直径而位于所述第一组区段与所述第二组区段之间的第二边界处,
其中所述第一温度传感器位于所述第一与第二直径的交点处。
9.根据权利要求8所述的系统,其中:
所述第一和第二对温度传感器的位置对应于平行四边形的顶点;并且
所述第一和第二直径形成所述平行四边形的对角线。
10.根据权利要求1所述的系统,其中所述控制器被配置成独立于所述M个电阻加热器中的其他电阻加热器而控制所述M个电阻加热器中的一个电阻加热器。
11.根据权利要求1所述的系统,其中所述控制器被配置成基于所述半导体衬底的目标温度轮廓而控制所述M个电阻加热器中的一或多者。
12.一种用于支撑半导体衬底的衬底支撑件组件,所述衬底支撑件组件包含:
基板,其包含邻近于所述半导体衬底的一层;
M个电阻加热器,其分别设置于所述层中的M个区段中,其中M为大于1的整数,且其中所述M个区段包含:
第一圆形区段,其位于所述层的中心区域处;
第二环形区段,其围绕所述第一圆形区段;
第一组区段,其位于围绕所述第二环形区段的第一环形区域中;以及
第二组区段,其位于围绕所述第一环形区域的第二环形区域中;以及
N个温度传感器,其被设置于所述层中的N个位置处,其中N为大于1且小于或等于M的整数,且其中所述N个温度传感器包含:
第一对温度传感器,其沿着所述层的第一直径而位于所述第二环形区段与所述第一组区段之间的第一边界处;
第二对温度传感器,其沿着所述层的第二直径而位于所述第一组区段与所述第二组区段之间的第二边界处;以及
第一温度传感器,其位于所述第一圆形区段中且在所述第一与第二直径的交点处。
13.根据权利要求12所述的衬底支撑件组件,其中:
所述第一和第二对温度传感器的位置对应于平行四边形的顶点;并且
所述第一和第二直径形成所述平行四边形的对角线。
14.根据权利要求12所述的衬底支撑件组件,其中所述第一组区段相对于所述第二组区段旋转一定角度。
15.根据权利要求12所述的衬底支撑件组件,其中所述第一组区段相对于所述第二组区段旋转四十五度的角度。
16.根据权利要求12所述的衬底支撑件组件,其中所述第一和第二环形区域具有不同的宽度。
17.根据权利要求12所述的衬底支撑件组件,其中所述第二环形区段具有与所述第一和第二环形区域中的每一者不同的宽度。
18.根据权利要求12所述的衬底支撑件组件,其中所述第一和第二组区段中的每一者包含四个区段。
19.一种处理半导体衬底的系统,其包含:
根据权利要求12所述的衬底支撑件组件;以及
控制器,其被配置成基于由所述N个温度传感器中的一者所感测的温度和所述M个区段中的一或多者的平均温度而控制所述M个电阻加热器中的一或多者。
20.根据权利要求19所述的系统,其中所述控制器被配置成独立于所述M个电阻加热器中的其他电阻加热器而控制所述M个电阻加热器中的一个电阻加热器。
21.根据权利要求19所述的系统,其中所述控制器被配置成基于所述半导体衬底的目标温度轮廓而控制所述M个电阻加热器中的一或多者。
22.一种系统,其包含:
根据权利要求12所述的衬底支撑件组件;以及
控制器,其被配置成利用由所述N个温度传感器中的一者所感测的温度并结合对所述M个区段的开环控制而控制所述M个电阻加热器中的一或多者,
其中对所述M个区段的所述开环控制包含将供应至所述M个区段中的每一者的功率与所述半导体衬底的测量温度相关联。
23.一种系统,其包含:
根据权利要求12所述的衬底支撑件组件;以及
控制器,其被配置成基于由所述N个温度传感器中的一者所感测的温度和所述M个电阻加热器中的第一电阻加热器与所述M个电阻加热器中的第二电阻加热器的电阻比,相对于所述第二电阻加热器而控制所述第一电阻加热器。
CN202080058573.3A 2019-06-24 2020-06-22 多区段式基座的温度控制 Pending CN114269969A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962865621P 2019-06-24 2019-06-24
US62/865,621 2019-06-24
PCT/US2020/038874 WO2020263719A1 (en) 2019-06-24 2020-06-22 Temperature control of a multi-zone pedestal

Publications (1)

Publication Number Publication Date
CN114269969A true CN114269969A (zh) 2022-04-01

Family

ID=74060348

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080058573.3A Pending CN114269969A (zh) 2019-06-24 2020-06-22 多区段式基座的温度控制

Country Status (6)

Country Link
US (1) US20220243332A1 (zh)
JP (1) JP2022540767A (zh)
KR (1) KR20220024891A (zh)
CN (1) CN114269969A (zh)
TW (1) TW202116124A (zh)
WO (1) WO2020263719A1 (zh)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5667622A (en) * 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
JP2000339039A (ja) * 1999-05-25 2000-12-08 Tokyo Electron Ltd 加熱手段の温度制御方法、その装置及び熱処理装置
US6270638B1 (en) * 1997-05-23 2001-08-07 Kabushiki Kaisha Riken Pyro-sensor and pyro-control circuit
CN104750140A (zh) * 2013-12-31 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔加热控制方法及装置
CN107546150A (zh) * 2016-06-24 2018-01-05 东京毅力科创株式会社 基板处理系统和温度控制方法
KR20180046946A (ko) * 2016-10-28 2018-05-10 세메스 주식회사 기판 지지 유닛, 그를 포함하는 기판 처리 장치 및 그 제어 방법

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3825277B2 (ja) * 2001-05-25 2006-09-27 東京エレクトロン株式会社 加熱処理装置
CN107004626B (zh) * 2014-11-20 2019-02-05 住友大阪水泥股份有限公司 静电卡盘装置
US10582570B2 (en) * 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5667622A (en) * 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
US6270638B1 (en) * 1997-05-23 2001-08-07 Kabushiki Kaisha Riken Pyro-sensor and pyro-control circuit
JP2000339039A (ja) * 1999-05-25 2000-12-08 Tokyo Electron Ltd 加熱手段の温度制御方法、その装置及び熱処理装置
CN104750140A (zh) * 2013-12-31 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔加热控制方法及装置
CN107546150A (zh) * 2016-06-24 2018-01-05 东京毅力科创株式会社 基板处理系统和温度控制方法
KR20180046946A (ko) * 2016-10-28 2018-05-10 세메스 주식회사 기판 지지 유닛, 그를 포함하는 기판 처리 장치 및 그 제어 방법

Also Published As

Publication number Publication date
US20220243332A1 (en) 2022-08-04
KR20220024891A (ko) 2022-03-03
WO2020263719A1 (en) 2020-12-30
JP2022540767A (ja) 2022-09-20
TW202116124A (zh) 2021-04-16

Similar Documents

Publication Publication Date Title
US11028482B2 (en) Use of voltage and current measurements to control dual zone ceramic pedestals
US9864361B2 (en) Flexible temperature compensation systems and methods for substrate processing systems
US11908715B2 (en) Dynamic temperature control of substrate support in substrate processing system
US20190332094A1 (en) Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
CN110199383B (zh) 一种利用热控元件进行esc温度估算的虚拟计量方法
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
JP7335243B2 (ja) Ald膜特性の補正および可調節性のためのマルチゾーン台座
CN112585738A (zh) 利用基于tcr元件的加热器渐进式加热衬底的部件
US20210398829A1 (en) Ceramic pedestal with multi-layer heater for enhanced thermal uniformity
CN114269969A (zh) 多区段式基座的温度控制
US20240194506A1 (en) Dual zone heaters for metallic pedestals
CN114258583A (zh) 半导体衬底处理中用于修正方位角不均匀性的旋转的使用
CN113574634A (zh) 调节多区域衬底支撑件的温度瞬时以快速调整关键尺寸不均匀性
CN117242561A (zh) 在基于高tcr控制中的信号滤波方案的使用
TW202106918A (zh) 使用電壓與電流量測以控制雙區陶瓷支座

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination