CN114253382A - 统一保留和唤醒夹钳装置和方法 - Google Patents

统一保留和唤醒夹钳装置和方法 Download PDF

Info

Publication number
CN114253382A
CN114253382A CN202110962487.1A CN202110962487A CN114253382A CN 114253382 A CN114253382 A CN 114253382A CN 202110962487 A CN202110962487 A CN 202110962487A CN 114253382 A CN114253382 A CN 114253382A
Authority
CN
China
Prior art keywords
voltage
control word
controller
power supply
supply rail
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110962487.1A
Other languages
English (en)
Inventor
查尔斯·奥古斯丁
帕斯卡·迈尔扎根
方水英
阿卜杜拉·阿夫扎尔
卡尔蒂克·苏布拉曼尼亚
穆罕默德·凯拉
阿文德·拉曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/128,076 external-priority patent/US12007826B2/en
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN114253382A publication Critical patent/CN114253382A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/324Power saving characterised by the action undertaken by lowering clock frequency
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/0175Coupling arrangements; Interface arrangements
    • H03K19/017509Interface arrangements
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/263Arrangements for using multiple switchable power supplies, e.g. battery and AC
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/08Clock generators with changeable or programmable clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/12Synchronisation of different clock signals provided by a plurality of clock generators
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3296Power saving characterised by the action undertaken by lowering the supply or operating voltage
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M1/00Details of apparatus for conversion
    • H02M1/08Circuits specially adapted for the generation of control voltages for semiconductor devices incorporated in static converters
    • H02M1/088Circuits specially adapted for the generation of control voltages for semiconductor devices incorporated in static converters for the simultaneous control of series or parallel connected semiconductor devices
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M1/00Details of apparatus for conversion
    • H02M1/36Means for starting or stopping converters
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Dc-Dc Converters (AREA)
  • Power Sources (AREA)
  • Continuous-Control Power Sources That Use Transistors (AREA)

Abstract

本公开涉及统一保留和唤醒夹钳装置和方法。描述了利用闭环全局保留夹钳来提供原位状态保留的控制器。该控制器使用自适应方案来解决di/dt和可靠性约束,其中电流较小的步阶被迅速改变,而电流较大的步阶被缓慢改变。电压调节器的闭环控制器被修改为不仅在低功率状态(例如,C1LP)期间控制保留Vmin,而且还控制从低功率状态(例如,从C1LP和从C6)的快速唤醒。

Description

统一保留和唤醒夹钳装置和方法
优先权要求
本申请要求2020年9月21日递交的标题为“Unified Retention and Wake-UpClamp Apparatus and Method”、序列号为63/081,228的美国临时申请的优先权,该美国申请被通过引用完全并入。
技术领域
本公开涉及统一保留和唤醒夹钳装置和方法。
背景技术
现代处理核心或处理器具有多个功率状态,以降低它们在空闲或停滞(例如,低功率状态)时的功率消耗。高级配置和电源接口(Advanced Configuration and PowerInterface,ACPI)为软件(例如,操作系统)提供了标准,以执行功率管理。ACPI中的处理器功率状态被定义为C状态,并且包括C0、C1、C2、C3及之后的状态。C0是处理器或处理器核心的操作状态或活跃状态。C1是处理器或处理器核心的第一级低功率状态,也被称为停机状态。在C1状态中,处理器或处理器核心不执行指令,但被预期会基本上瞬间返回到执行状态。在一个示例中,在低功率状态C1中,处理器核心时钟被门控,以节省动态电容Cdyn。C1功率状态具有非常短的进入时间(Tentry)和退出时间(或Texit),以及小的收支平衡时间(break-even time,BET),该收支平衡时间被定义为停留在C1状态中以开始节省功率以分摊进入和退出该状态所花费的能量所需要的最短时间。C1状态的小BET是由于进入该状态的能量开销低——只支付时钟门控/解除门控Cdyn的成本。然而,C1状态可能不允许节省泄漏功率——使得其成为短停滞时段的最佳选择。另一方面,超低功率节省状态,例如C6状态,除了时钟Cdyn之外,几乎消除了泄漏功率。然而,由于拷贝和恢复处理器核心状态分别需要的时间,C6状态的Tentry和Texit较高,而且由于进入和离开该功率状态的能量开销,BET也较高。这使得C6状态成为非常长的空闲时段的更好选择,以分摊其巨大的能量开销。
当退出低功率状态(例如,C1LP状态)时,门控的供应电压被从保留Vmin增大到活跃Vmin。这里,Vmin指的是最低操作电压,低于该电压,逻辑或处理器就不能正常工作。在这个事件期间,充电电流可能突然增大,导致di/dt和可靠性约束。类似地,在退出另一个低功率状态(例如,C6)时,门控的电源轨电压被从低电压(例如,~0V)增大到活跃Vmin,于是di/dt和可靠性约束存在。
对于现有的电力供应生成器和/或调节器来说,在确保在非常宽的泄漏负载电流范围上的稳定操作的同时,以及在各种功率状态等等之间的转变期间输入电力供应发生变化时,向电力供应输出提供稳定且快速的功率调节,是一个挑战。
发明内容
根据本公开的一方面,提供了一种具有统一保留和唤醒夹钳的装置,该装置包括:与输入电力供应轨和输出电力供应轨相耦合的多个功率门;移位器,用于生成控制字以控制所述多个功率门;以及控制器,用于指示所述移位器何时上移所述控制字的值、下移所述控制字的值、或者维持所述控制字的值,其中所述控制器用于以非单调方式上移或移位以减小参考节拍频率与自激振荡器的节拍频率之间的误差。
根据本公开的一方面,提供了一种具有统一保留和唤醒夹钳的装置,该装置包括:与输入电力供应轨和输出电力供应轨相耦合的多个功率门;移位器,用于生成控制字以控制所述多个功率门;以及控制器,用于指示所述移位器何时上移所述控制字的值、下移所述控制字的值、或者维持所述控制字的值,其中所述控制器用于移位所述控制字的值,以使得值变化小的控制字比值变化更大的控制字被修改得更快。
根据本公开的一方面,提供了一种系统,包括:存储器;与所述存储器耦合的处理器;以及与所述处理器通信地耦合的无线接口,其中所述处理器包括如上所述的低压差调节器。
根据本公开的一方面,提供了一种用于统一保留和唤醒的方法,该方法包括:将多个功率门耦合到输入电力供应轨和输出电力供应轨;由移位器生成控制字以控制所述多个功率门;并且由控制器指示所述移位器何时上移所述控制字的值、下移所述控制字的值、或者维持所述控制字的值,其中所述控制器用于以非单调方式上移或移位以减小参考节拍频率与自激振荡器的节拍频率之间的误差。
附图说明
通过以下给出的详细描述并且通过本公开的各种实施例的附图将更充分理解本公开的实施例,然而详细描述和附图不应当被理解为将本公开限制到特定实施例,而只是用于说明和理解的。
图1图示了混合信号低压差(LDO)调节器。
图2图示了一个表格,该表格示出了宽范围的功率门,这些功率门被对于图1的LDO使能或禁用以利用两个不同的输入电力供应水平来提供特定的低电压供应输出。
图3A根据一些实施例图示了包括用于保留夹钳和自适应唤醒模式的全数字比例微分(PD)控制器的装置。
图3B根据一些实施例图示了包括用于保留夹钳和自适应唤醒模式的PD控制器的装置。
图3C根据一些实施例图示了基于电阻梯(R梯)的开环保留夹钳。
图3D根据一些实施例图示了基于R梯的闭环保留夹钳。
图3E图示了示出功率门的p型晶体管的Vgs与电流的关系的图线。
图3F图示了一图线,该图线示出了对漏极电流变化和唤醒速度有较大影响的p型功率门的阈值电压附近的栅极电压(VGS)的测量数据。
图4根据一些实施例图示了图3A的装置的时钟的时序图。
图5根据一些实施例图示了图3A-3B的装置的两个时钟之间的同步器逻辑。
图6A-6B根据一些实施例图示了分别示出使用基线设计和图3A-3B的装置在从低功率状态退出期间的功率门(PG)电压的图线。
图7A-7B根据一些实施例图示了分别示出使用基线设计和图3A-3B的装置在从低功率状态退出期间的PG电流的图线。
图8A-8B根据一些实施例图示了分别示出使用基线设计和图3A-3B的装置在从低功率状态退出期间的PG di/dt的图线。
图9根据一些实施例图示了用于控制图3A-3B的装置的功率门的方法。
图10根据一些实施例图示了图3A-3B的装置的分布式网络,以向一个或多个逻辑块提供经调节的电力供应。
图11根据一些实施例图示了具有用于保留夹钳和自适应唤醒模式的全数字PD控制器的智能设备或计算机系统或SoC(片上系统)。
具体实施方式
解决di/dt和可靠性约束的现有解决方案以固定的速率逐步接通次级功率门,例如以16个步阶接通。然而,在每个步阶期间电流是不均匀的,因此具有最大电流的步阶限制了接通的速率。
对于中间空闲时段,一些实施例使用一种新的功率状态,称为C1LP状态,与C6功率状态相比,它的功率状态退出时间Texit快得多,并且与C1功率状态相比,它的节电效果好得多。C1LP利用用于将给定的处理器核心置于C6状态中的现有功率门(power gate,PG)与基于电阻梯或者说R梯的数模转换器(DAC)电路一起实现快速且可靠的唤醒。当退出C6状态时,连接到一些初级PG(primary PG,PPG)的门节点的R梯模拟输出电压在每一个TR-LADDER步阶中被逐渐降低,从而确保门控的核心电压轨(VOUT)从大约0平稳转变到VIN。R梯通过满足PG的可靠性约束实现了快速而可靠的唤醒,同时限制了这种共享轨道设计中的活跃核心所看到的电压垂落。
可通过简单地向C1LP核心的R梯应用固定的流片后调谐数字代码来使能开环保留夹钳(retention clamp),以将其存储器元件(例如,(触发器和/或静态随机访问存储器(SRAM))保留在其VRETENTION附近。然而,这个数字代码需要有针对最坏情况工艺、电压(Vin)和温度(Process,Voltage,and Temperature,PVT)条件的裕量,因为它们会影响核心的关断(泄漏)电流以及在保留期间来自PPG的导通电流。注意,作为电阻分压器的R梯DAC电路本身对工艺和温度变化具有一阶弹性,但对于可能由于动态电压和频率缩放(DynamicVoltage and Frequency Scaling,DVFS)事件而发生的Vin变化没有弹性。例如,对于0.5V、0.6V或0.68V目标VRETENTION测量的开环R梯夹钳分别显示了在PVT上的12-14、12-15或13-16的很宽的所需R梯代码范围,激励了对于闭环解决方案的需要,来收紧这个范围并且最大化C1LP的功率效益。
一些实施例描述了一种全数字闭环保留夹钳,其包括(除了现有的R梯和PG以外):(1)环形振荡器(ring-oscillator,RO)电压传感器,和(2)用电压斜率信息来增强的继电器式控制器(bang-bang controller)。继电器式控制器(在每一个TR-LADDER步阶)对RO传感器在保留期间的给定时间(t)的节拍频率BF(t)进行采样。然后控制器将当前BF(t)与参考节拍频率(reference beat frequency,RBF)进行比较,其中RFB是一旦流片后通过在核心VRETENTION运行RO传感器来找到的。环路积分器基于以下各项如下被递增、递减或保持不变:1)定义为e(t)=BF(t)-RBF的电流误差;2)定义为BF(t)-BF(t-i)的输出电压VOUT的斜率,其中i≥1。当VOUT小于(或大于)VRETENTION,同时VOUT的斜率小于(或大于)阈值RSLP时,积分器被递增(或递减)。否则,环路积分器值被保持不变。
各种实施例的控制方案允许了环路积分器以接近输出极点(其是给定时间点的负载电流的函数)的速率调整其累积,以避免不稳定。在一些实施例中,TR-LADDER可被设置为(一阶)独立于:1)由于工艺和温度(process and temperature,PT)和老化引起的负载电流变化,2)解耦电容器,和3)VIN。在一些实施例中,TR-LADDER可被设置得略大于表示从控制器到PG的时延的最低可能延迟TPATH,同时控制器自动允许环路积分器以接近电流输出极点的速率调整其累积以避免不稳定。
一些实施例的装置使用泄漏功率减小特征和使用闭环全局保留夹钳的原位状态保留。一些实施例使用一种自适应方案来解决di/dt和可靠性约束,其中电流较小的步阶被迅速改变,而电流较大的步阶被缓慢改变。在一些实施例中,电压调节器的闭环控制器被修改为不仅在低功率状态(例如,C1LP)期间控制保留Vmin,而且还控制从低功率状态(例如,从C1LP和从C6)的快速唤醒。与否则使用三个分开的应用逐步接通次级功率门的控制器的情况相比,闭环控制器的设计减小了面积、功率和设计开销。在各种实施例中,提供了一种装置,其使用数字电压传感器、比例差分控制器、数字控制的模拟电压生成器、以及功率门,来使能保留控制和快速唤醒。
在一些实施例中,一种装置包括与输入电力供应轨和输出电力供应轨耦合的多个功率门,以及生成控制字以控制多个功率门的移位器。该装置包括控制器,用于指示移位器何时上移控制字的值、下移控制字的值或者维持控制字的值,其中控制器用于以非单调方式上移或移位,以减小参考节拍频率与自激振荡器的节拍频率之间的误差。
在一些实施例中,控制器在保留模式或唤醒模式中操作。在一些实施例中,在保留模式中,当输出电力供应轨上的电压小于保留电压时并且当输出电力供应轨上的电压具有负斜率或者基本为零的斜率时,控制器指示移位器上移控制字的值。在一些实施例中,在保留模式中,当输出电力供应轨上的电压大于保留电压时并且当输出电力供应轨上的电压具有正斜率时,控制器指示移位器下移控制字的值。在一些实施例中,当输出电力供应轨上的电压大于保留电压时并且当输出电力供应轨上的电压大于参考斜率时,控制器指示移位器下移控制字的值。在一些实施例中,参考斜率是可变斜率。
在一些实施例中,在唤醒模式中,如果输出电力供应轨上的电压的斜率小于或等于参考斜率,则控制器指示移位器上移控制字的值。在一些实施例中,在唤醒模式中,如果输出电力供应轨上的电压的斜率大于参考斜率,则控制器指示移位器维持控制字的值。在一些实施例中,自激振荡器生成第一时钟,其中该装置包括时钟同步器,该时钟同步器使第一时钟与第二时钟同步。在一些实施例中,控制器包括计数器,其中时钟同步器生成第三时钟,并且其中计数器经由第三时钟确定第一时钟的频率。在一些实施例中,计数器接收第二时钟。在一些实施例中,控制器包括第一比较器,其将计数器的输出与指示出第一时钟的过去频率计数的数字值进行比较,并且其中第一比较器生成指示出第一时钟的频率相对于过去频率计数的变化方向的输出。
在一些实施例中,控制器包括第二比较器来将计数器的输出与参考频率计数进行比较,其中第二比较器生成指示出第一时钟与参考频率计数之间的误差的输出。在一些实施例中,该装置包括一逻辑来根据第一和第二比较器的输出生成控制字。在一些实施例中,多个功率门包括p型器件。在一些实施例中,控制器指示移位器何时上移控制字的值、下移控制字的值或者维持控制字的值,其中,控制器移位控制字的值,以使得值变化小的控制字比值变化更大的控制字被修改得更快。
各种实施例有许多技术效果。例如,用各种实施例的方案减少了从低功率状态(例如,C1LP和C6状态)的退出时间。各种实施例的方案使能了在不同的低功率状态中的细粒度功率节省。由于其低成本实现,由改进的控制器实现的净功率节省改善了热受限的片上系统(SoC)的每瓦特性能。其他技术效果将从各种附图和实施例中清楚显现。
在接下来的描述中,论述了许多细节以提供对本公开的实施例的更透彻说明。然而,本领域技术人员将会清楚,没有这些具体细节也可实现本公开的实施例。在其他情况下,以框图形式而不是详细示出公知的结构和设备,以避免模糊本公开的实施例。
注意,在实施例的相应附图中,信号以线条表示。一些线条可能更粗,以指示更多的构成信号路径,和/或在一端或多端具有箭头,以指示主信息流方向。这种指示并不打算是限制性的。更确切地说,这些线条与一个或多个示范性实施例被联合使用来帮助更容易理解电路或逻辑单元。由设计需要或偏好决定的任何表示的信号可实际上包括可在任一方向上行进并且可利用任何适当类型的信号方案实现的一个或多个信号。
图1图示了混合信号低压差(low dropout,LDO)调节器100。LDO调节器100包括多个功率门晶体管101,它们耦合到输入电力供应轨Vin(用于提供输入电力Vin)和输出电力供应轨Vout(用于提供输出电力供应Vout)。这里,“N”个功率门晶体管被示为可操作来由数字控制来接通或关断的晶体管MP1至MPN。该数字控制可以是指示出要接通哪些晶体管的总线或码字。在一些实施例中,功率门晶体管MP1至MPN是二进制加权的。在一些实施例中,功率门晶体管MP1至MPN是温度计加权的。多个功率门晶体管101由上/下移位器102驱动,该移位器递增或递减控制码字的值。在传统的LDO中,上/下移位器102被指示根据比较器103的输出来上移或下移码字的值。比较器103可以是时钟比较器,其在每个Tclk周期将模拟参考Vref与模拟电压Vout进行比较。比较器103的输出是误差e(t),它随时间变化并且在每个Tclk周期被更新。
有了各种功率节省模式,LDO 100被预期对于Vin上的不同输入电力供应电压水平以及对于不同的Vref值,在输出供应轨Vout上提供稳定的输出电力供应Vout。这里,节点名称和信号名称是可互换使用的。例如,Vout取决于句子的上下文可以指输出电压或者供应轨或节点。此外,负载104可改变其对于不同功率模式的电流加载要求,并且LDO 100被预期在加载条件变化期间在输出电力供应轨Vout上提供很小波纹或者没有纹波。这里,负载被表示为电容器Cload和与电容器Cload并联的电阻器Rload。负载104可以是任何适当的负载,例如处理器核心、缓存、IO(输入-输出)电路,等等。
然而,LDO调节器100具有有限的Vout范围(例如,Vout大约等于VRETENTION)和较轻的基于泄漏的负载电流目标,其可随着工艺、电压和温度(PVT)和老化以指数方式变化。这里,VRETENTION是在输出电力供应轨Vout上提供的最小电压,它允许了各种电路和逻辑门保持运作而不丢失其状态值。在高度可变的轻负载电流情形下,维持LDO的稳定性(转化为低Vout纹波)是一个挑战。
提供稳定的LDO的一种方式是使用混合信号比例-微分(PD)控制方案,其允许了LDO 100的环路积分器以接近输出极点(其是给定时间点的负载电流的函数)的速率调整其累积,以避免不稳定。然而,用混合信号比例-微分(PD)控制方案转换图1的LDO 100要求额外的电容器和精心设计,这导致了将电路体系结构缩放到不同技术节点的问题和挑战。
对于LDO 100,比较器103对Vout进行采样并且在每一个Tclk时段将其与Vref进行比较(其中对于此特定保留情况Vref=VRETENTION)。在给定的时间(t),基于误差e(t)=Vout–Vref来递增或递减环路积分器,如下:如果e(t)小于0,则递增环路积分器,并且增大功率门101的强度;否则,如果e(t)大于0,则递减环路积分器,并且减小功率门101的强度。这里,调整功率门101的强度是指调整被源发至Vout电力供应轨的电流的量。在稳定状态期间,LDO 100的最小误差是1LSB(最低有效位)。为了在所有情况下维持环路稳定性,要满足以下条件:
Tclk>TPATH+K*TLOAD,其中TPATH是从比较器103到功率门101的总时延,并且TLOAD大约是RloadCload并且表示输出极点的倒数,输出极点是负载电流(由RLOAD表示)和解耦电容(Cload)两者的函数。换句话说,Tclk需要被设置在低于Vout的变化率的速率,以允许Vout在采取下一个递增/递减决策之前稳定下来。在快速管芯和高温(例如,温度大于或接近100摄氏度)的泄漏电流负载场景下(例如,保留期间),泄漏电流很高,因此Rload很小,导致TLOAD很小,因此Tclk需要相对较快。而慢速管芯和低温(例如,温度接近0摄氏度)的情况则正好相反。这些关于Tclk的冲突条件使得它更难维持环路稳定性,特别是在由于工艺、温度或老化变化引起的指数性变化的负载电流下。
处理这一难题的一种可能的方法是基于感测负载电流来调整Tclk,其中在给定时间接通的功率门的数目被用作负载电流的指示。如果这个数字高于某个阈值,那么就使得Tclk更小(即,更快),反之亦然。然而,正如参考图2所说明的,在非常宽的负载电流范围下,这个方案是不实际的。
图2图示了一个表格200,该表格示出了宽范围的功率门,这些功率门需要对于图1的LDO被使能或禁用以利用两个不同的输入电力供应水平来提供特定的低电压供应输出。表格200示出了在Vout=VRETENTION=0.55V下典型处理器核心的泄漏电流,示出了由于Vin和温度的变化,即使对于相同的管芯工艺拐点,接通功率门的数目也有很大的差异。在这个示例中,当Vin为1.15V时,功率门的范围是1到34,而当Vin为0.75V时,功率门的范围是1到54。为了使这个方案发挥作用,可能需要查找表和大量的校准。
图3A根据一些实施例图示了包括用于保留夹钳和自适应唤醒模式的全数字比例微分(PD)控制器的装置300。在一些实施例中,比较器103被替换为全数字PD控制器308,该控制器308包括:振荡器301、同步器302、计数器303、用于存储先前或过去节拍频率的存储设备304、比较器305和306、以及逻辑307a和307b。
在一些实施例中,振荡器301是自激环形振荡器,由输出电力供应轨Vout提供的电压来供电。在这个示例中,N个数目的反相器(3011-N)被耦合在一起,形成环形。实施例不限于这种特定的环形振荡器样式。任何适当的由Vout供电的振荡器都可用来生成ROCLK。ROCLK可与计数器303所使用的Tclk无关。例如,Tclk可由锁相环(phase locked loop,PLL)生成。因此,在一些实施例中,同步器302用于同步两个时钟——Tclk和ROCLK——并且生成被称为ROCLKSYNC的同步版本的ROCLK。在各种实施例中,上/下移位计数器102被状态机控制,该状态机依据是选择保留模式还是唤醒模式而具有不同的条件检查。
在一些实施例中,装置300在保留期间的每个Tclk周期找到自激振荡器301(也称为RO传感器301)的节拍频率BF(t)。BF(t)是通过对一个Tclk中的RO周期的数目计数来找到的。在各种实施例中,二进制计数器303被用来对来自ROCLKSYNC信号的RO周期的数目进行计数。任何适当的计数器都可被用于实现计数器303。在保留模式中,BF(t)被比较器306与参考节拍频率(RBF)进行比较。该比较产生误差信号e(t)。任何适当的低功率比较器都可被用于实现比较器306。这里,当在分类测试期间,在VRETENTION条件下(例如,在炎热条件下)运行RO传感器301时,对于每个管芯(或者每个管芯群体)找到RBF。在一些实施例中,RBF是可编程的值,可使用软件(例如,操作系统)或硬件(例如,熔丝)来调整该值。在一些实施例中,RBF被存储在非易失性存储器(NVM)中。在各种实施例中,比例-差分(PD)控制器308还包括比较器305,来将BF(t)与先前或过去的BF(t)值(例如,BF(t-i))进行比较。比较器306的输出指示出BF的斜率或微分dv(t)。先前值可以是前一个值,或者可以是可指示出节拍频率的趋势的某个过去的值。
环路积分器(它包括功率门101)被逻辑307a和307b基于以下所列项来递增、递减或保持不变:1)定义为e(t)=BF(t)-RBF的当前误差,和2)定义为dv(t)=BF(t)-BF(t-i)的VOUT的微分,其中i≥1并且BF(t-i)是存储为周期t-i中的RO的数字代码的BF,如下:
如果[e(t)<0)AND(dv(t)≤Thresh],则递增环路积分器
否则如果[e(t)>0)AND(dv(t)≥Thresh],则递减环路积分器
否则环路积分器保持不变
这里,Thresh是预定的值或者可编程的变量阈值,其可由硬件、软件或两者的组合来编程。参考Thresh为0来描述各种实施例。然而,也可使用其他值。
当Vout小于VRETENTION(比例项),同时Vout的斜率也小于“Thresh”(微分项)时,积分器被递增。类似地,当Vout大于VRETENTION,同时Vout也有上升的趋势,并且斜率大于“Thresh”时,环路积分器被递减。否则,环路积分器值被保持不变。
在一些实施例中,功率门101的某个小子集——称为初级功率门(PPG)——被使用模拟电压作为门控制来同时激活。通过例如在门控制电压的16个步阶中从全断状态转到全通状态,实现了快速、di/dt和可靠性友好的唤醒。在一些实施例中,PMOS功率门晶体管的阈值电压附近的栅极电压(VGS)对漏极电流变化和唤醒速度有更大的影响。于是希望从该点附近开始唤醒,因此在该电压点附近要求控制电压的分辨率更高。由于管芯间和/或管芯内的变化,在阈值电压周围引入更细的电压步阶是很困难的,而且这种控制的校准也很耗时和具有挑战性。因此,最快的唤醒方案受到生成最大电流变化的步阶的限制。
在一些实施例中,自适应唤醒方案是通过使能唤醒模式来实现的。在这个过程中,比较器306的输出没有被使用,因为唤醒模式中的控制器308没有调节到特定的电压。相反,dv(t)被逻辑307a与参考斜率RSLP进行比较。RSLP是在分类测试期间当在如下两个电压下运行RO传感器时对于每个管芯(或者管芯的群体)找到的:(a)VRETENTION、HOT或BOL条件,以及(b)VRETENTION+ΔV、HOT或BOL条件,并且取各个BF(t)值之间的差值。根据一些实施例,ΔV是TCLK时间段中满足由仿真确定的di/dt和FiSH约束的最安全允许电压变化。在一些实施例中,由逻辑307a执行的逻辑包括:
如果[dv(t)≤RSLP]→递增环路积分器
否则→环路积分器保持不变
对于一些实施例的装置,Tclk的设置独立于:1)由于工艺/温度/老化引起的负载电流变化,2)解耦电容器,和3)输入供应电压Vin。在一些情况下,Tclk可被设置到具有最高可能频率的最低可能延迟(例如,大于TPATH),同时PD控制器308自动允许环路积分器以接近输出极点的速率调整其累积以避免不稳定。将Tclk设置到尽可能低(例如,大于TPATH)对于控制器308的更一般的使用场景来说,对于快速响应电压垂落是有用的,在这种更一般使用场景中负载电流可表现出快速di/dt事件(这种情况在保留期间可能不会发生)。
在一些实施例中,用反馈机制提供功率门模式(例如,对系统进行功率门控)(与唤醒模式相反)。在这种功率门模式中,代码被以连续的步阶从最大值递减到零。如果电压变化的斜率(负值,因为电压在减小)低于-1xRSLP值,那么进一步的递减就被阻止了。在一些实施例中,代码被维持,直到电压变化的斜率高于或等于-1xRSLP为止。在一些实施例中,这个过程被继续,直到代码达到零为止。这种基于反馈的功率门模式使得能够逐渐降低来自电力供应的电压和电流,这防止了共享的非门控轨中的电压过冲。注意,过冲对于电路是有害的,因为它降低了晶体管的可靠性,例如老化、电迁移,等等。
图3B根据一些实施例图示了包括用于保留夹钳和自适应唤醒模式的PD控制器的装置320。装置320与装置300相同,除了数模转换器(DAC)321以外,该DAC将来自上/下移位器102的控制字转换为模拟电压以偏置功率门101。保留夹钳和自适应唤醒模式的方案在其他方面与参考图3A描述的相同。
图3C根据一些实施例图示了示出基于电阻梯(R梯)的开环保留夹钳的装置330。装置300包括R梯321(它是DAC),该R梯包括一连串的通过门(包括并联耦合的P和N晶体管)。该连串通过门与选择器(在这个示例中也是通过门)耦合。选择器可由信号S1至Sn以及S1b至Snb来控制,其中S1b是S1的逆反。多路复用器332基于唤醒或保留夹钳代码选择选择器中的一个或多个。选择信号是数字信号,而R梯321的输出是模拟的,这使得R梯321成为DAC。R梯321的输出被用于控制初级功率门(PPG)和次级功率门(SPG)。在这个示例中,PPG包括p型门MPPG和n型门MNPG,如图所示,它们串联耦合在共享Vcc非门控供应轨Vin和共享Vcc门控供应轨Vout之间。次级功率门包括共享Vcc非门控供应轨Vin和共享Vcc门控供应轨Vout之间的p型MPSG,如图所示。这里,R梯输入代码S[1:n]基于流片后调谐被设定一次(左),并且对于3个保留电压目标跨3个管芯和3个温度测量了所需要的R梯代码(见图线333)。
图3D图示了基于R梯的闭环保留夹钳以支持C1lP状态。基于R梯的闭环保留夹钳支持C1LP状态(左上),在100-400MHz FR-Ladder和25C至100C上有和没有基于斜率的控制的VOUT纹波(右),以及用闭环夹钳减小保留电压保护带。
图3E根据一些实施例图示了闭环保留/唤醒夹钳。这里,示出了在不同的FR-LADDER速度下开环和闭环的唤醒时间(左图),以及开环唤醒的测量到的最差情况垂落。
在一些实施例中,继电器式控制器308(在每一个TR-LADDER步阶)对RO传感器在保留期间的给定时间(t)的节拍频率BF(t)进行采样。然后控制器将当前BF(t)与参考节拍频率(RBF)进行比较,其中RFB是一旦流片后通过在核心VRETENTION运行RO传感器来找到的。环路积分器基于以下各项如下被递增、递减或保持不变:1)定义为e(t)=BF(t)-RBF的电流误差,以及2)定义为BF(t)-BF(t-i)的VOUT的斜率,其中i≥1。仅当VOUT小于(大于)VRETENTION,同时VOUT的斜率小于(大于)阈值RSLP时,积分器才被递增(递减)。否则,环路积分器值被保持不变。一些实施例使用了一种控制方案,其允许了环路积分器以接近输出极点(其是给定时间点的负载电流的函数)的速率调整其累积,以避免不稳定。
利用提出的方案,TR-LADDER可被设置为(一阶)独立于:1)由于PT和老化引起的负载电流变化,2)解耦电容器,和3)VIN。理论上,我们可将TR-LADDER设置得略大于表示从控制器到PG的时延的最低可能延迟TPATH,同时控制器自动允许环路积分器以接近电流输出极点的速率调整其累积以避免不稳定。
图3D给出了对于典型管芯,在50C、VIN=1.1V、FR-LADDER=100MHz-400MHz以及目标VOUT为0.5V-0.68V时,有和没有斜率控制时测量到的VOUT。最坏情况下的电压纹波(在VOUT目标为0.68V时)从禁用斜率控制并且同时需要在FR-LADDER=400MHz的频率下操作时的175mV,由于斜率增强控制而降低到~25mV,同时在降低4倍的FR-LADDER频率100MHz下操作。此外,斜率控制确保了在固定的FR-LADDER 100MHz下在从25C至100C的宽温度范围内有更低的纹波,而不需要像[3]中那样使用某种自适应控制频率,尽管核心泄漏电流随温度变化有几个数量级。由于对于给定的目标VRETENTION,RO频率随温度变化,因此我们需要对参考RBF代码设置保护带。
图3D示出了当考虑到最坏情况下的操作温度为100C时,在50C时设有保护带的VRETENTION与目标VRETENTION的关系,它在闭环夹钳的情况下仅高出+5%。然而,在开环夹钳情况下,需要23%的保护带来满足温度范围。如果我们也考虑到VIN的变化,则设有保护带的开环VRETENTION甚至会超出目标VRETENTION,导致用C1LP不能节省泄漏功率。
在基于R梯的开环唤醒设计中,如前所述,使用R梯模拟输出电压作为PG门控制,逐渐激活PPG。如图3F中的示出测量数据的图线360中所示,PMOS PG的阈值电压附近的栅极电压(VGS)对漏极电流变化和唤醒速度有更大影响。于是从该点附近开始唤醒是有益的,因此在该电压点附近要求控制电压的分辨率更高。由于管芯间/管芯内的变化,在阈值电压周围引入更细的电压步阶是很困难的,而且这种控制的校准也很耗时和具有挑战性。因此,最快的唤醒方案受到生成最大电流变化的步阶的限制。
为了实现自适应唤醒方案,如图3A-3B和图3D所示,闭环夹钳被以统一的方式使用。由于我们没有调节到特定的电压,所以不需要与RBF进行比较。相反,电流斜率信息被与参考斜率RSLP进行比较,该参考斜率RSLP是在制造测试期间为每个管芯(或者管芯的群体)找到的,并且将RSLP作为满足可行性和di/dt约束的TR-LADDER时间段中的最安全的允许电压变化。在这个闭环方案中,通过从非零CODE(例如从WARM-UP-CODE)开始启动R-LADDER,可进一步改善唤醒时间,该非零CODE跳过了不对电流作出贡献的初始“K”步阶,如大于0.45V的VGS所示。也可以使这个WARM-UP-CODE是可编程的。
图4根据一些实施例图示了图3A-3B和图3D的装置的时钟的时序图400。为了解决运行频率达到例如几百MHz的采样时钟Tclk和运行频率为数GHz的环形振荡器时钟ROCLK之间的时钟同步问题,ROCLK在Tclk的正相位期间被激活,并且计数器输出在Tclk的下一个正边缘被采样,如时序图400所示。然而,突然(例如,异步)停止ROCLK可能导致计数器303的最小延迟(或保持时间)失败。为了解决这个问题,在一些实施例中,在使用图5所示的同步器逻辑来馈给计数器303之前使得ROCLK与TCLK同步。
图5根据一些实施例图示了图3A-3B的装置的两个时钟之间的同步器逻辑500。逻辑500包括如图所示那样耦合在一起的触发器501和502、反相器503以及AND逻辑门504。ROCLK被触发器501在其数据端口(D)接收并且被Tclk采样。然后Tclk的反相版本被触发器502用来对触发器501的输出Q进行采样。然后,AND逻辑门504在Tclk和触发器502的输出Q之间执行AND逻辑功能。AND逻辑门504的输出是ROCLKSYNC。
图6A-6B根据一些实施例图示了分别示出使用基线设计和图3A-3B的装置在从低功率状态退出期间的功率门(PG)电压的图线600和620。
图7A-7B根据一些实施例图示了分别示出使用基线设计和图3A-3B的装置在从低功率状态退出期间的PG电流的图线700和720。
图8A-8B根据一些实施例图示了分别示出使用基线设计和图3A-3B的装置在从低功率状态退出期间的PG di/dt的图线800和820。
图9根据一些实施例图示了用于控制图3A-3B的装置的功率门的方法900。虽然按特定顺序图示了各块,但顺序是可被修改的。例如,一些块可在其他块之前被执行,或者被同时执行,而不改变实施例的实质。块901、902、903、904、905和906适用于保留模式。块902、904、907和908适用于唤醒模式。块可由硬件、软件或者两者的组合来执行。
在块901,确定斜率或微分dv(t)。例如,dv(t)由比较器305生成并且被提供给逻辑307。在块902,确定误差e(t)。例如,误差e(t)由比较器306生成并且被提供给逻辑307。
在块903,逻辑307确定e(t)是否小于零以及dv(t)是否小于或等于Thresh(例如,0)。如果这两个条件都为真,那么如块904所示,递增环路积分器并且为上/下移位器102断言输出Up。上/下移位器102然后接通额外的一个或多个功率门晶体管。在一些实施例中,当核心从C6状态唤醒时,块904可接受额外的输入WARM-UP-CODE,它从WARM-UP-CODE的非零状态启动环路积分器。
在块905,逻辑307确定e(t)是否大于零以及dv(t)是否大于或等于Thresh(例如,0)。如果这两个条件都为真,那么如块906所示,递减环路积分器并且为上/下移位器102断言输出Down(或者解除断言Up)。上/下移位器102然后关断额外的一个或多个功率门晶体管101。如果没有满足块903和905的条件,那么逻辑307就前进到块907,在这里它维持先前对Up/Down信号的设置,并且接通和关断的功率门的数目保持不变。然后,该过程又从块901和902重复进行。
在一些实施例中,在唤醒模式期间,逻辑307a将dv(t)与RSLP进行比较,以确定是否递增环路积分器或让其为相同的值。在块908,如果确定dv(t)小于或等于RSLP,则处理器前进到块904,否则该过程前进到块907。
在一些实施例中,由逻辑307进行的操作可由软件执行。与流程图900(和/或各种实施例)相关联并且被执行来实现所公开的主题的实施例的程序软件代码/指令可被实现为被称为“程序软件代码/指令”、“操作系统程序软件代码/指令”、“应用程序软件代码/指令”或者简称为“软件”或嵌入在处理器中的固件的操作系统或特定应用、组件、程序、对象、模块、例程或者其他指令序列或者指令序列的组织的一部分。在一些实施例中,与流程图900(和/或各种实施例)相关联的程序软件代码/指令由处理器或逻辑执行。
在一些实施例中,与流程图900(和/或各种实施例)相关联的程序软件代码/指令被存储在计算机可执行存储介质中并且由处理器(或处理器核心)执行。这里,计算机可执行存储介质是可用于存储程序软件代码/指令和数据的有形机器可读介质,该程序软件代码/指令和数据当被计算设备执行时,使得一个或多个处理器执行可在针对所公开的主题的一个或多个所附权利要求中记载的(一个或多个)方法。
有形机器可读介质可包括可执行软件程序代码/指令和数据在各种有形位置(例如包括ROM、易失性RAM、非易失性存储器和/或缓存和/或本申请中引用的其他有形存储器)中的存储。此程序软件代码/指令和/或数据的一些部分可被存储在这些存储装置和存储器设备中的任何一者中。另外,程序软件代码/指令可以是从其他存储装置获得的,包括例如通过集中式服务器或对等网络等等(包括互联网)获得。可在不同的时间和在不同的通信会话中或者在同一通信会话中获得软件程序代码/指令和数据的不同部分。
(与流程图900和其他实施例相关联的)软件程序代码/指令以及数据可在各个软件程序或应用被计算设备执行之前被完全获得。或者,可在执行需要时动态地(例如,刚好及时地)获得软件程序代码/指令和数据的一些部分。或者,获得软件程序代码/指令和数据的这些方式的某种组合可发生,例如对于不同的应用、组件、程序、对象、模块、例程或者其他指令序列或指令序列的组织。从而,不要求数据和指令在特定的时刻全部在有形机器可读介质上。
有形计算机可读介质的示例包括但不限于可记录和不可记录类型的介质,例如易失性和非易失性存储器设备、只读存储器(ROM)、随机访问存储器(RAM)、闪存设备、软盘和其他可移除盘、磁存储介质、光存储介质(例如、致密盘只读存储器(CD ROM)、数字多功能盘(DVD),等等),等等。软件程序代码/指令可被临时存储在数字有形通信链路中,同时通过这种有形通信链路实现电的、光的、声学的或者其他形式的传播信号,例如载波、红外信号、数字信号,等等。
一般而言,有形机器可读介质包括以机器(即,计算设备)可访问的形式提供信息(即,以数字形式存储和/或传输信息,例如数据封包)的任何有形机制,其可被包括在例如通信设备、计算设备、网络设备、个人数字助理、制造工具、移动通信设备(无论其是否能够从通信网络(例如互联网)下载和运行应用和补贴应用,例如
Figure BDA0003222585900000181
Figure BDA0003222585900000182
等等)或者包括计算设备的任何其他设备中。在一个实施例中,基于处理器的系统采取如下形式或者被包括在如下事物内:PDA(个人数字助理)、蜂窝电话、笔记本计算机、平板设备、游戏机、机顶盒、嵌入式系统、TV(电视)、个人桌面计算机,等等。或者,在所公开的主题的一些实施例中可使用传统的通信应用和(一个或多个)补贴应用。
图10根据一些实施例图示了图3A-3B的装置的分布式网络1000,以向一个或多个逻辑块提供经调节的电力供应。在一些实施例中,网络1000包括基于图3A-3B的装置300、320的“N”个分布式LDO 10011-N。这些分布式LDO可维持负载-1至负载-N上的各种负载条件。虽然图10的实施例图示了每个LDO的单独PD控制器,但在一些实施例中,在多个LDO之间共享PD控制器308。即使参考各种实施例所示出的LDO的示例是用于在低功率状态期间供应泄漏电流,但实施例也可用于使用比例-微分控制(PD)的方法在活跃操作期间供应动态电流。此外,由于全数字实现,对于更大的功率域,根据一些实施例,PD LDO方案可被复制N次,从而保证了设计的可缩放性。在一些实施例中,PD开销被保持在最低水平,这样就可在没有显著的面积和/或功率惩罚的情况下实现复制。
图11根据一些实施例图示了具有用于保留夹钳和自适应唤醒模式的全数字PD控制器的智能设备或计算机系统或SoC(片上系统)。在一些实施例中,设备5500表示适当的计算设备,例如计算平板、移动电话或智能电话、膝上型电脑、桌面型电脑、物联网(Internet-of-Things,IOT)设备、服务器、可穿戴设备、机顶盒、具备无线能力的电子阅读器,等等。将会理解,某些组件被概括示出,并且在设备5500中没有示出这种设备的所有组件。
在一些实施例中,设备5500表示适当的计算设备,例如计算平板、移动电话或智能电话、膝上型电脑、桌面型电脑、物联网(Internet-of-Things,IOT)设备、服务器、可穿戴设备、机顶盒、具备无线能力的电子阅读器,等等。将会理解,某些组件被概括示出,并且在设备5500中没有示出这种设备的所有组件。
在一示例中,设备5500包括SoC(片上系统)5501。SoC 5501的示例边界在图11中利用虚线图示,其中一些示例组件被图示为包括在SoC5501内——然而,SoC 5501可包括设备5500的任何适当组件。
在一些实施例中,设备5500包括处理器5504。处理器5504可包括一个或多个物理设备,例如微处理器、应用处理器、微控制器、可编程逻辑器件、处理核心,或者其他处理实现,例如多个计算、图形、加速器、I/O和/或其他处理芯片的分解组合。处理器5504执行的处理操作包括对其上执行应用和/或设备功能的操作平台或操作系统的执行。处理操作包括关于与人类用户或与其他设备的I/O(输入/输出)的操作、关于功率管理的操作、关于将计算设备5500连接到另一设备的操作,等等。处理操作还可包括关于音频I/O和/或显示I/O的操作。
在一些实施例中,处理器5504包括多个处理核心(也称为核心)5508a、5508b、5508c。虽然在图11中只图示了三个核心5508a、5508b、5508c,但处理器5504可包括任何其他适当数目的处理核心,例如数十个或者甚至数百个处理核心。处理器核心5508a、5508b、5508c可被实现在单个集成电路(IC)芯片上。另外,芯片可包括一个或多个共享和/或私有缓存、总线或互连、图形和/或存储器控制器,或者其他组件。
在一些实施例中,处理器5504包括缓存5506。在一示例中,缓存5506的一些区段可专用于个体核心5508(例如,缓存5506的第一区段专用于核心5508a,缓存5506的第二区段专用于核心5508b,等等依此类推)。在一示例中,缓存5506的一个或多个区段可以是两个或更多个核心5508之间共享的。缓存5506可被分割成不同的级别,例如第1级(L1)缓存、第2级(L2)缓存、第3级(L3)缓存,等等。
在一些实施例中,处理器核心5504可包括取得单元来取得指令(包括具有条件分支的指令)来供核心5504执行。指令可以是从诸如存储器5530之类的任何存储设备取得的。处理器核心5504也可包括解码单元来对取得的指令解码。例如,解码单元可将取得的指令解码成多个微操作。处理器核心5504可包括调度单元来执行与存储经解码的指令相关联的各种操作。例如,调度单元可保存来自解码单元的数据,直到指令准备好派谴为止,例如,直到解码的指令的所有源值变得可用为止。在一个实施例中,调度单元可调度和/或发出(或派谴)解码的指令到执行单元以便执行。
执行单元可在派谴的指令被解码(例如,被解码单元解码)和派谴(例如,被调度单元派谴)之后执行这些指令。在一实施例中,执行单元可包括多于一个执行单元(例如,成像计算单元、图形计算单元、通用计算单元,等等)。执行单元也可执行各种算术操作,例如加法、减法、乘法和/或除法,并且可包括一个或多个算术逻辑单元(arithmetic logic unit,ALU)。在一实施例中,协处理器(未示出)可联合执行单元执行各种算术操作。
另外,执行单元可无序执行指令。因此,处理器核心5504在一个实施例中可以是无序处理器核心。处理器核心5504也可包括引退单元。引退单元可在执行的指令被提交之后引退这些指令。在一实施例中,执行的指令的引退可导致处理器状态被从指令的执行提交、指令使用的物理寄存器被解除分配,等等。处理器核心5504还可包括总线单元来使能处理器核心5504的组件和其他组件之间经由一个或多个总线的通信。处理器核心5504还可包括一个或多个寄存器来存储被核心5504的各种组件访问的数据(例如与指派的app优先级和/或子系统状态(模式)关联有关的值)。
在一些实施例中,设备5500包括连通性电路5531。例如,连通性电路5531包括硬件设备(例如,无线和/或有线连接器和通信硬件)和/或软件组件(例如,驱动器、协议栈),来例如使得设备5500能够与外部设备通信。设备5500可与诸如其他计算设备、无线接入点或基站等等之类的外部设备分离。
在一示例中,连通性电路5531可包括多个不同类型的连通性。概括而言,连通性电路5531可包括蜂窝连通性电路、无线连通性电路,等等。连通性电路5531的蜂窝连通性电路一般指的是由无线运营商提供的蜂窝网络连通性,例如经由以下所列项来提供:GSM(global system for mobile communications,全球移动通信系统)或者变体或衍生物,CDMA(code division multiple access,码分多址接入)或者变体或衍生物,TDM(timedivision multiplexing,时分复用)或者变体或衍生物,第3代合作伙伴计划(3rdGeneration Partnership Project,3GPP)通用移动电信系统(Universal MobileTelecommunications Systems,UMTS)系统或者变体或衍生物,3GPP长期演进(Long-TermEvolution,LTE)系统或者变体或衍生物,3GPP LTE高级版(LTE-Advanced,LTE-A)系统或者变体或衍生物,第五代(5G)无线系统或者变体或衍生物,5G移动网络系统或者变体或衍生物,5G新无线电(New Radio,NR)系统或者变体或衍生物,或者其他蜂窝服务标准。连通性电路5531的无线连通性电路(或无线接口)指的是非蜂窝的无线连通性,并且可包括个人区域网(例如蓝牙、近场等等)、局域网(例如Wi-Fi)和/或广域网(例如WiMax),和/或其他无线通信。在一示例中,连通性电路5531可包括网络接口,例如有线或无线接口,例如,使得系统实施例可被包含到无线设备(例如,蜂窝电话或个人数字助理)中。
在一些实施例中,设备5500包括控制中枢5532,该控制中枢5532表示关于与一个或多个I/O设备的交互的硬件设备和/或软件组件。例如,处理器5504可经由控制中枢5532与显示器5522、一个或多个外围设备5524、存储设备5528、一个或多个其他外部设备5529等等中的一个或多个通信。控制中枢5532可以是芯片集、平台控制中枢(Platform ControlHub,PCH),等等。
例如,控制中枢5532图示了连接到设备5500的附加设备的一个或多个连接点,例如,通过这些附加设备用户可与系统交互。例如,可附接到设备5500的设备(例如,设备5529)包括麦克风设备、扬声器或立体声系统、音频设备、视频系统或其他显示设备、键盘或小键盘设备或者用于特定应用的其他I/O设备,例如读卡器或其他设备。
如上所述,控制中枢5532可与音频设备、显示器5522等等交互。例如,通过麦克风或其他音频设备的输入可为设备5500的一个或多个应用或功能提供输入或命令。此外,取代显示输出,或者除了显示输出以外,可提供音频输出。在另一示例中,如果显示器5522包括触摸屏,则显示器5522也充当输入设备,该输入设备可至少部分由控制中枢5532管理。在计算设备5500上也可以有额外的按钮或开关来提供由控制中枢5532管理的I/O功能。在一个实施例中,控制中枢5532管理诸如加速度计、相机、光传感器或其他环境传感器之类的设备,或者可被包括在设备5500中的其他硬件。输入可以是直接用户交互的一部分,以及向系统提供环境输入以影响其操作(例如对噪声的过滤,调整显示器以进行亮度检测,对相机应用闪光灯,或者其他特征)。
在一些实施例中,控制中枢5532可利用任何适当的通信协议(例如PCIe(Peripheral Component Interconnect Express,快速外围组件互连)、USB(UniversalSerial Bus,通用串行总线)、Thunderbolt、高清晰度多媒体接口(High DefinitionMultimedia Interface,HDMI)、Firewire,等等)耦合到各种设备。
在一些实施例中,显示器5522表示提供视觉和/或触觉显示来供用户与设备5500交互的硬件(例如,显示设备)和软件(例如,驱动器)组件。显示器5522可包括显示接口、显示屏和/或用于向用户提供显示器的硬件设备。在一些实施例中,显示器5522包括向用户提供输出和输入两者的触摸屏(或触摸板)设备。在一示例中,显示器5522可直接与处理器5504通信。显示器5522可以是像在移动电子设备或膝上型电脑设备中那样的内部显示设备或者经由显示接口(例如,DisplayPort等等)附接的外部显示设备中的一个或多个。在一个实施例中,显示器5522可以是头戴式显示器(head mounted display,HMD),例如立体显示设备,来用于虚拟现实(virtual reality,VR)应用或增强现实(augmented reality,AR)应用中。
在一些实施例中,虽然在附图中没有图示,但除了处理器5504以外(或者取代处理器5504),设备5500还可包括图形处理单元(Graphics Processing Unit,GPU),该图形处理单元包括一个或多个图形处理核心,其可控制在显示器5522上显示内容的一个或多个方面。
控制中枢5532(或者平台控制器中枢)可包括硬件接口和连接器,以及软件组件(例如,驱动器、协议栈),来进行例如到外围设备5524的外围连接。
将会理解,设备5500既可以是其他计算设备的外围设备,也可以有外围设备连接到它。设备5500可具有“坞接”连接器来连接到其他计算设备,以便例如管理(例如,下载和/或上传、改变、同步)设备5500上的内容。此外,坞接连接器可允许设备5500连接到某些外设,这些外设允许计算设备5500控制例如到视听或其他系统的内容输出。
除了专属坞接连接器或其他专属连接硬件以外,设备5500还可经由常见的或者基于标准的连接器来进行外围连接。常见类型可包括通用串行总线(Universal Serial Bus,USB)连接器(其可包括多种不同硬件接口中的任何一种)、包括MiniDisplayPort(MDP)的DisplayPort,高清晰度多媒体接口(High Definition Multimedia Interface,HDMI)、Firewire或者其他类型。
在一些实施例中,连通性电路5531可耦合到控制中枢5532,例如除了直接耦合到处理器5504以外或者取代直接耦合到处理器5504。在一些实施例中,显示器5522可耦合到控制中枢5532,例如除了直接耦合到处理器5504以外或者取代直接耦合到处理器5504。
在一些实施例中,设备5500包括经由存储器接口5534耦合到处理器5504的存储器5530。存储器5530包括用于存储设备5500中的信息的存储器设备。
在一些实施例中,存储器5530包括装置来维持稳定钟控,如参考各种实施例所述。存储器可包括非易失性存储器设备(如果到存储器设备的电力中断,则状态不会变化)和/或易失性存储器设备(如果到存储器设备的电力中断,则状态不确定)。存储器设备5530可以是动态随机访问存储器(dynamic random-access memory,DRAM)设备、静态随机访问存储器(static random-access memory,SRAM)设备、闪存设备、相变存储器设备或者具有适当的性能来用作进程存储器的某种其他存储器设备。在一个实施例中,存储器5530可充当设备5500的系统存储器,以存储数据和指令来在一个或多个处理器5504执行应用或进程时使用。存储器5530可存储应用数据、用户数据、音乐、照片、文档或其他数据,以及与设备5500的应用和功能的执行有关的系统数据(无论是长期的还是暂时的)。
各种实施例和示例的元素也可以被提供作为用于存储计算机可执行指令(例如,实现本文论述的任何其他过程的指令)的机器可读介质(例如,存储器5530)。机器可读介质(例如,存储器5530)可包括但不限于闪存、光盘、CD-ROM、DVD ROM、RAM、EPROM、EEPROM、磁卡或光卡、相变存储器(phase change memory,PCM)或者适合用于存储电子或计算机可执行指令的其他类型的机器可读介质。例如,本公开的实施例可作为计算机程序(例如,BIOS)被下载,该计算机程序可经由通信链路(例如,调制解调器或网络连接)借由数据信号被从远程计算机(例如,服务器)传送到作出请求的计算机(例如,客户端)。
在一些实施例中,设备5500包括温度测量电路5540,例如用于测量设备5500的各种组件的温度。在一示例中,温度测量电路5540可被嵌入,或者耦合或附接到其温度要被测量和监视的各种组件。例如,温度测量电路5540可测量核心5508a、5508b、5508c、电压调节器5514、存储器5530、SoC 5501的主板和/或设备5500的任何适当组件中的一个或多个的温度(或者其内的温度)。在一些实施例中,温度测量电路5540包括低功率混合反向(lowpower hybrid reverse,LPHR)带隙基准(bandgap reference,BGR)和数字温度传感器(digital temperature sensor,DTS),它利用亚阈值金属氧化物半导体(metal oxidesemiconductor,MOS)晶体管和PNP寄生双极结晶体管(Bi-polar Junction Transistor,BJT)器件来形成作为可配置BGR或DTS操作模式的基础的反向BGR。LPHR体系结构使用低成本MOS晶体管和标准的寄生PNP器件。基于反向带隙电压,LPHR可作为可配置的BGR工作。通过比较可配置BGR与缩放的基极-发射极电压,该电路也可作为具有线性传递函数的DTS来运转,并具有单温度修整以获得高准确度。
在一些实施例中,设备5500包括功率测量电路5542,例如用于测量设备5500的一个或多个组件消耗的功率。在一示例中,除了测量功率以外,或者取代测量功率,功率测量电路5542还可测量电压和/或电流。在一示例中,功率测量电路5542可被嵌入,或者耦合或附接到其功率、电压和/或电流消耗要被测量和监视的各种组件。例如,功率测量电路5542可测量由一个或多个电压调节器5514供应的功率、电流和/或电压、供应到SoC 5501的功率、供应到设备5500的功率、由设备5500的处理器5504(或任何其他组件)消耗的功率,等等。
在一些实施例中,设备5500包括一个或多个电压调节器电路,一般称为电压调节器(voltage regulator,VR)5514。VR 5514按适当的电压水平生成信号,这些信号可被供应来操作设备5500的任何适当组件。仅作为示例,VR 5514被图示为向设备5500的处理器5504供应信号。在一些实施例中,VR 5514接收一个或多个电压标识(Voltage Identification,VID)信号,并且基于VID信号生成处于适当水平的电压信号。对于VR5514可利用各种类型的VR。例如,VR 5514可包括“降压”VR、“升压”VR、降压和升压VR的组合、低压差(low dropout,LDO)调节器、开关DC-DC调节器、基于恒定导通时间控制器的DC-DC调节器,等等。降压VR一般用于其中输入电压需要被以小于单位一的比率变换成输出电压的电力输送应用中。升压VR一般用于其中输入电压需要被以大于单位一的比率变换成输出电压的电力输送应用中。在一些实施例中,每个处理器核心具有其自己的VR,该VR被PCU 5510a/b和/或PMIC 5512控制。在一些实施例中,每个核心具有分布式LDO的网络来提供对功率管理的高效控制。LDO可以是数字的、模拟的或者是数字或模拟LDO的组合。在一些实施例中,VR 5514包括电流跟踪装置来测量通过(一个或多个)电力供应轨的电流。
在一些实施例中,VR 5514包括数字控制方案,来管理比例-积分-微分(proportional-integral-derivative,PID)滤波器(也被称为数字III型补偿器)的状态。数字控制方案控制PID滤波器的积分器实现饱和占空比的非线性控制,在此期间PID的比例和微分项被设置为0,而积分器及其内部状态(先前值或存储器)被设置为作为当前标称占空比加上deltaD之和的占空比。deltaD是用于从ICCmin到ICCmax调节电压调节器的最大占空比增量,并且是可以在流片后设置的配置寄存器。状态机从非线性全开状态(这使输出电压Vout回到调节窗口)转移到维持输出电压略高于所需参考电压Vref的开环占空比。在按指令的占空比的这个开环状态中经过一段时间后,状态机于是缓降开环占空比值,直到输出电压接近指令的Vref为止。这样,来自VR 5514的输出供应上的输出颤动被完全消除(或基本消除),并且只有一个单一的欠冲过渡,这可导致基于比较器延迟和负载的di/dt与可用输出解耦电容的保证Vmin。
在一些实施例中,VR 5514包括单独的自启动控制器,它在没有熔丝和/或调整信息的情况下发挥作用。自启动控制器保护VR 5514免受大的浪涌电流和电压过冲的影响,同时能够遵循系统施加的可变VID(电压标识)参考渐变。在一些实施例中,自启动控制器使用内置到控制器中的松弛振荡器来设置降压转换器的开关频率。可利用时钟或电流参考来将该振荡器初始化到接近期望的操作频率。VR 5514的输出与振荡器弱耦合,以设置闭环操作的占空比。该控制器是自然偏置的,从而输出电压总是略高于设置点,消除了对任何工艺、电压和/或温度(process,voltage,and/or temperature,PVT)施加的调整的需要。
在一些实施例中,设备5500包括一个或多个时钟生成器电路,一般称为时钟生成器5516。时钟生成器5516可按适当的频率水平生成时钟信号,这些信号可被供应给设备5500的任何适当组件。仅作为示例,时钟生成器5516被图示为向设备5500的处理器5504供应时钟信号。在一些实施例中,时钟生成器5516接收一个或多个频率标识(FrequencyIdentification,FID)信号,并且基于FID信号以适当的频率生成时钟信号。
在一些实施例中,设备5500包括向设备5500的各种组件供应电力的电池5518。仅作为示例,电池5518被图示为在向处理器5504供应电力。虽然在附图中没有图示,但设备5500可包括充电电路,以例如基于从交流电(Alternating Current,AC)适配器接收的AC电力供应来对电池再充电。
在一些实施例中,电池5518周期性地检查实际电池容量或能量,并且充电到预设的电压(例如,4.1V)。电池随后决定电池容量或能量。如果容量或能量不足,那么电池中的装置或者与之相关联的装置就会将充电电压略微增大到容量充足的点(例如,从4.1V到4.11V)。执行周期性检查并且略微增大充电电压的过程,直到充电电压达到规格限制(例如4.2V)为止。本文描述的方案有一些益处,例如可以延长电池寿命,可以降低能量储备不足的风险,可以尽可能地使用突发功率,和/或甚至可以使用更高的突发功率。
在一些实施例中,充电电路(例如,5518)包括降压-升压转换器。这个降压-升压转换器包括DrMOS或者DrGaN器件,用于替代传统降压-升压转换器的半桥。这里的各种实施例是参考DrMOS来描述的。然而,实施例也适用于DrGaN。DrMOS器件由于降低了寄生性和优化了MOSFET封装,因此允许了更好的功率转换效率。由于死区时间管理是在DrMOS内部的,因此死区时间管理比传统的降压-升压转换器更准确,从而使转换效率更高。更高的操作频率允许了更小的电感器尺寸,这进而又降低了包括基于DrMOS的降压-升压转换器的充电器的z高度。各种实施例的降压-升压转换器包括用于DrMOS器件的双折自举(dual-foldedbootstrap)。在一些实施例中,除了传统的自举电容器以外,还添加了折叠式自举电容器,这些折叠式自举电容器将电感器节点交叉耦合到两组DrMOS开关。
在一些实施例中,设备5500包括功率控制单元(Power Control Unit,PCU)5510(也称为功率管理单元(Power Management Unit,PMU)、功率管理控制器(PowerManagement Controller,PMC)、功率单元(p单元),等等)。在一示例中,PCU 5510的一些部分可由一个或多个处理核心5508实现,并且PCU 5510的这些部分利用虚线框来象征性图示并且被标注为PCU 5510a。在一示例中,PCU 5510的一些其他部分可在处理核心5508外部实现,并且PCU 5510的这些部分利用虚线框来象征性图示并且被标注为PCU 5510b。PCU 5510可为设备5500实现各种功率管理操作。PCU 5510可包括硬件接口、硬件电路、连接器、寄存器等等,以及软件组件(例如,驱动器、协议栈),来为设备5500实现各种功率管理操作。
在各种实施例中,PCU或者PMU 5510以层次化方式组织,形成层次化功率管理(hierarchical power management,HPM)。各种实施例的HPM构建了一种能力和基础设施,允许了对平台的封装级管理,同时仍然迎合可能存在于封装中的构成管芯之间的自治岛屿。HPM并不假定物理分区到域的预定映射。HPM域可与集成在小管芯内部的功能对齐,与小管芯边界对齐,与一个或多个小管芯对齐,与配套管芯对齐,甚至与分立的CXL器件对齐。HPM解决了同一管芯的多个实例的集成,与集成在同一管芯或者单独管芯上的专有功能或者第三方功能相混合,甚至是经由CXL(例如,Flexbus)连接的加速器,这些加速器可能在封装内部,或者以分立的外形参数存在。
HPM使设计者能够满足可缩放性、模块化和后期绑定的目标。HPM还允许利用可能已经存在于其他管芯上的PMU功能,而不是在平坦方案中被禁用。HPM使得能够管理任何任意的功能集合,与它们的集成水平无关。各种实施例的HPM是可缩放的、模块化的,可与对称的多芯片处理器(multi-chip processor,MCP)一起工作,也可与非对称的MCP一起工作。例如,HPM不需要信号PM控制器和封装基础设施,就能在合理的缩放限制之外增长。HPM使得能够在封装中后期添加管芯,而不需要基础管芯基础设施的改变。HPM解决了不同工艺技术节点的管芯在单个封装中耦合的分解解决方案的需求。HPM还解决了封装内和封装外的配套管芯集成解决方案的需求。
在各种实施例中,每个管芯(或者小管芯)包括功率管理单元(PMU)或者p单元。例如,处理器管芯可具有监督者p单元,被监督者p单元,或者双重角色的监督者/被监督者p单元。在一些实施例中,I/O管芯具有其自己的双重角色p单元,例如监督者和/或被监督者p单元。每个管芯中的p单元可以是通用p单元的实例。在一个这样的示例中,所有的p单元具有相同的能力和电路,但被(动态或者静态地)配置为扮演监督者、被监督者和/或两者的角色。在一些实施例中,用于计算管芯的p单元是计算p单元的实例,而用于IO管芯的p单元是不同于计算p单元的IO p单元的实例。取决于角色,p单元获取特定的责任来管理多芯片模块和/或计算平台的功率。虽然各种p单元被描述为多芯片模块或者片上系统中的管芯,但p单元也可以是外部设备的一部分,例如I/O设备的一部分。
这里,各种p单元不一定是相同的。HPM体系结构可操作非常不同类型的p单元。p单元的一个共同特征是,它们被预期接收HPM消息,并且被预期能够理解这些消息。在一些实施例中,IO管芯的p单元可能与计算管芯的p单元不同。例如,IO p单元中的每一类寄存器的寄存器实例的数目与计算管芯的p单元中的不同。IO管芯有能力成为CXL连接器件的HPM监督器,但计算管芯可能不需要有这种能力。IO管芯和计算管芯也具有不同的固件流程,以及可能具有不同的固件镜像。这些都是实现方式可做出的选择。HPM体系结构可选择具有一个超集固件镜像,并且选择性地执行与固件所关联的管芯类型相关的流程。或者,对于每个p单元类型可以有一个客户固件;它可允许每个p单元类型的固件存储要求的大小更加精简。
每个管芯中的p单元可被配置为监督者p单元、被监督者p单元或者具有监督者/被监督者的双重角色。这样,p单元可为各种域执行监督者或被监督者的角色。在各种实施例中,p单元的每个实例能够自主地管理本地专用资源,并且包含聚合数据和在实例间通信的结构,以使得被配置为共享资源监督者的实例能够进行共享资源管理。提供了一种基于消息和导线的基础设施,它可被复制和配置来促进多个p单元之间的管理和流动。
在一些实施例中,功率和热阈值由监督者p单元传达给被监督者p单元。例如,监督者p单元了解每个管芯的工作负载(当前和未来)、每个管芯的功率测量值以及其他参数(例如,平台级功率边界),并且为每个管芯确定新的功率限制。这些功率限制随后由监督者p单元经由一个或多个互连和架构被传达给被监督者p单元。在一些实施例中,架构表示一组架构和互连,包括第一架构、第二架构和快速响应互连。在一些实施例中,第一架构用于监督者p单元和被监督者p单元之间的共同通信。这些共同通信包括基于若干个因素(例如,未来的工作负载、用户行为,等等)规划的管芯的电压、频率和/或功率状态的变化。在一些实施例中,第二架构用于监督者p单元和被监督者p单元之间的更高优先级的通信。更高优先级的通信的示例包括由于可能的热失控条件、可靠性问题等等而要节流的消息。在一些实施例中,快速响应互连被用于传达所有管芯的快速或者硬节流。在此情况下,例如,监督者p单元可向所有其他p单元发送快速节流消息。在一些实施例中,快速响应互连是传统的互连,其功能可由第二架构执行。
各种实施例的HPM体系结构实现了对称和/或非对称管芯的可缩放性、模块化和后期绑定。这里,对称管芯是相同大小、类型和/或功能的管芯,而非对称管芯是不同大小、类型和/或功能的管芯。层次化方案还允许了利用可能已经存在于其他管芯上的PMU功能,而不是在传统的平坦功率管理方案中被禁用。HPM并不假定物理分区到域的预定映射。HPM域可与集成在小管芯内部的功能对齐,与小管芯边界对齐,与一个或多个小管芯对齐,与配套管芯对齐,甚至与分立的CXL器件对齐。HPM使得能够管理任何任意的功能集合,与它们的集成水平无关。在一些实施例中,基于一个或多个因素宣布p单元为监督者p单元。这些因素包括存储器大小、物理约束(例如,引脚输出数目)和传感器的位置(例如,温度、功率消耗,等等),以确定处理器的物理限制。
各种实施例的HPM体系结构提供了一种缩放功率管理的手段,使得单个p单元实例不需要意识到整个处理器。这使得功率管理的颗粒度能够更小,并且改善了响应时间和有效性。层次化结构维持了对用户的单片视图。例如,在操作系统(OS)级别,HPM体系结构给予OS单一的PMU视图,即使PMU在物理上是分布在一个或多个监督者-被监督者配置中的。
在一些实施例中,HPM体系结构是集中式的,其中一个监督者控制所有被监督者。在一些实施例中,HPM体系结构是分散的,其中各种管芯中的各种p单元通过对等通信控制整体功率管理。在一些实施例中,HPM体系结构是分布式的,其中对于不同的域有不同的监督者。分布式体系结构的一个示例是树状体系结构。
在一些实施例中,设备5500包括功率管理集成电路(Power ManagementIntegrated Circuit,PMIC)5512,以例如为设备5500实现各种功率管理操作。在一些实施例中,PMIC 5512是可重配置功率管理IC(Reconfigurable Power Management IC,RPMIC)和/或IMVP(
Figure BDA0003222585900000311
Mobile Voltage Positioning,
Figure BDA0003222585900000312
移动电压定位)。在一示例中,PMIC在与处理器5504分离的IC管芯内。这可为设备5500实现各种功率管理操作。PMIC 5512可包括硬件接口、硬件电路、连接器、寄存器等等,以及软件组件(例如,驱动器、协议栈),来为设备5500实现各种功率管理操作。
在一示例中,设备5500包括PCU 5510或PMIC 5512的一者或两者。在一示例中,PCU5510或者PMIC 5512中的任何一者可在设备5500中不存在,因此这些组件是利用虚线来图示的。
设备5500的各种功率管理操作可由PCU 5510、由PMIC 5512或者由PCU 5510和PMIC 5512的组合来执行。例如,PCU 5510和/或PMIC 5512可为设备5500的各种组件选择功率状态(例如,P状态)。例如,PCU5510和/或PMIC 5512可为设备5500的各种组件选择功率状态(例如,根据ACPI(Advanced Configuration and Power Interface,高级配置和电源接口)规范)。仅作为示例,PCU 5510和/或PMIC 5512可使得设备5500的各种组件转变到休眠状态、转变到活跃状态、转变到适当的C状态(例如,C0状态,或者另一适当的C状态,根据ACPI规范),等等。在一示例中,PCU 5510和/或PMIC 5512可控制由VR 5514输出的电压和/或由时钟生成器输出的时钟信号的频率,例如分别通过输出VID信号和/或FID信号。在一示例中,PCU 5510和/或PMIC 5512可控制电池功率使用、电池5518的充电以及与功率节省操作有关的特征。
时钟生成器5516可包括锁相环(phase locked loop,PLL)、锁频环(frequencylocked loop,FLL)或者任何适当的时钟源。在一些实施例中,处理器5504的每个核心具有其自己的时钟源。这样,每个核心可按独立于其他核心的操作频率的频率来操作。在一些实施例中,PCU 5510和/或PMIC 5512执行自适应或者动态频率缩放或调整。例如,如果核心没有以其最大功率消耗阈值或限度在操作,则可增大该处理器核心的时钟频率。在一些实施例中,PCU 5510和/或PMIC 5512确定处理器的每个核心的操作条件,并且当PCU 5510和/或PMIC 5512确定核心在以低于目标性能水平操作时,机会主义地调整该核心的频率和/或电力供应电压,而核心钟控源(例如,该核心的PLL)不会失去锁定。例如,如果核心在从电力供应轨汲取电流,该电流小于为该核心或处理器5504分配的总电流,则PCU5510和/或PMIC5512可临时增大对于该核心或处理器5504的功率汲取(例如,通过增大时钟频率和/或电力供应电压水平),使得该核心或处理器5504可以按更高的性能水平来运转。这样,可以为处理器5504临时增大电压和/或频率,而不会违反产品可靠性。
在一示例中,PCU 5510和/或PMIC 5512可例如至少部分基于从功率测量电路5542、温度测量电路5540接收测量、接收电池5518的充电水平和/或接收可用于功率管理的任何其他适当的信息,来执行功率管理操作。为此,PMIC 5512通信地耦合到一个或多个传感器来感测/检测对于系统/平台的功率/热行为具有影响的一个或多个因素中的各种值/变化。一个或多个因素的示例包括电流、电压垂落、温度、操作频率、操作电压、功率消耗、核心间通信活动,等等。这些传感器中的一个或多个可设在计算系统的一个或多个组件或者逻辑/IP块的物理近邻(和/或与其热接触/耦合)。此外,(一个或多个)传感器在至少一个实施例中可直接耦合到PCU5510和/或PMIC 5512以允许PCU 5510和/或PMIC 5512至少部分基于由这些传感器中的一个或多个检测到的(一个或多个)值来管理处理器核心能量。
还图示了设备5500的示例软件栈(虽然没有图示该软件栈的所有元素)。仅作为示例,处理器5504可执行应用程序5550、操作系统5552、一个或多个功率管理(PowerManagement,PM)特定应用程序(例如,一般称为PM应用5558),等等。PM应用5558也可被PCU5510和/或PMIC 5512执行。OS 5552也可包括一个或多个PM应用5556a、5556b、5556c。OS5552也可包括各种驱动器5554a、5554b、5554c等等,其中一些可以专用于功率管理目的。在一些实施例中,设备5500还可包括基本输入/输出系统(Basic Input/output System,BIOS)5520。BIOS 5520可与OS 5552通信(例如,经由一个或多个驱动器5554),与处理器5504通信,等等。
例如,PM应用5558、5556、驱动器5554、BIOS 5520等等中的一个或多个可用于实现功率管理特定任务,例如控制设备5500的各种组件的电压和/或频率,控制设备5500的各种组件的唤醒状态、休眠状态和/或任何其他适当的功率状态,控制电池功率使用、电池5518的充电、与功率节省操作有关的特征,等等。
在一些实施例中,电池5518是锂金属电池,具有压力室,以使电池上的压力均匀。压力室由金属板(例如均压板)支撑,金属板用于给电池均匀的压力。压力室可包括受压气体、弹性材料、弹簧板,等等。压力室的外皮可自由弯曲,在其边缘被(金属)外皮约束,但仍对压缩电池单元的板施加均匀的压力。压力室给电池以均匀的压力,这用于实现高能量密度的电池,例如,电池寿命增加20%。
在一些实施例中,电池5518包括混合技术。例如,(一个或多个)高能量密度电荷(例如,锂离子电池)携带设备和低能量密度电荷携带设备(例如,超级电容器)的混合被用作电池或者存储设备。在一些实施例中,控制器(例如,硬件、软件或者它们的组合)被用于分析峰值功率模式,并且使得对基于高能量密度电荷携带设备的电池单元的整体寿命的影响最小化,同时使峰值功率消除特征的服务时间最大化。该控制器可以是电池5518的一部分或者p单元5510b的一部分。
在一些实施例中,在PCU 5510a/b上执行的pCode具有为pCode的运行时间支持使能额外的计算和遥测资源的能力。这里pCode指的是由PCU5510a/b执行以管理SoC 5501的性能的固件。例如,pCode可为处理器设置频率和适当的电压。pCode的一部分可经由OS5552来访问。在各种实施例中,提供了机制和方法,这些机制和方法基于工作负载、用户行为和/或系统条件动态地改变能量性能偏好(Energy Performance Preference,EPP)值。在OS 5552和pCode之间可能有定义明确的接口。该接口可允许或者促进几个参数的软件配置和/或可向pCode提供提示。作为示例,一EPP参数可告知pCode算法是性能还是电池寿命更重要。
这种支持也可由OS 5552完成,其方式是将机器学习支持包括为OS5552的一部分,并且通过机器学习预测来调节OS提示给硬件(例如,SoC 5501的各种组件)的EPP值,或者通过以与动态调谐技术(Dynamic Tuning Technology,DTT)驱动器所做的类似的方式将机器学习预测递送给pCode。在这种模式中,OS 5552可看到与DTT可用的相同的遥测集合。作为DTT机器学习提示设置的结果,pCode可调节其内部算法,以实现激活类型的机器学习预测之后的最优功率和性能结果。作为示例,pCode可增大对处理器利用率变化的责任,以使能对用户活动的快速响应,或者可通过减小对处理器利用率的责任或者通过调节能量节省优化以节省更多的功率和增大性能损失来增大对于能量节省的偏向。这种方案可促进节省更多的电池寿命,以防使能的活动的类型相对于系统能够使能的失去一些性能水平。pCode可包括用于动态EPP的算法,该算法可取得两个输入,一个来自OS 5552,另一个来自软件,例如DTT,并且可选择性地选择提供更高的性能和/或响应性。作为此方法的一部分,pCode可在DTT中使能一个选项来对于不同类型的活动为DTT调节其反应。
在一些实施例中,pCode改善了SoC在电池模式中的性能。在一些实施例中,pCode允许了电池模式中的大幅提高的SoC峰值功率限制水平(从而允许了更高的极速模式性能)。在一些实施例中,pCode实现了功率扼制,并且是英特尔的动态调谐技术(DTT)的一部分。在各种实施例中,峰值功率限制被称为PL4。然而,实施例适用于其他峰值功率限制。在一些实施例中,pCode以防止系统意外关机(或者黑屏)的方式设置Vth阈值电压(平台将对SoC进行扼制的电压水平)。在一些实施例中,pCode根据阈值电压(Vth)计算Psoc,pk SoC峰值功率限制(例如,PL4)。这是两个依从的参数,如果一个被设置,则另一个可被计算出来。pCode用于基于系统参数,以及操作的历史,来最优地设置一个参数(Vth)。在一些实施例中,pCode提供了一种方案来基于可用电池功率(变化缓慢)动态地计算扼制水平(Psoc,th)并且设置SoC扼制峰值功率(Psoc,th)。在一些实施例中,pCode基于Psoc,th决定频率和电压。在这种情况下,扼制事件对SoC性能的负面影响较小。各种实施例提供了一种允许最大性能(Pmax)框架操作的方案。
在一些实施例中,VR 5514包括电流传感器,来感测和/或测量通过VR 5514的高侧开关的电流。在一些实施例中,电流传感器使用在反馈中带有电容耦合输入的放大器,以感测放大器的输入偏移,这可在测量期间来进行补偿。在一些实施例中,在反馈中带有电容耦合输入的放大器被用来在输入共模规格宽松的区域中操作放大器,从而使反馈环增益和/或带宽更高。在一些实施例中,在反馈中带有电容耦合输入的放大器被用来从转换器输入电压操作传感器,其方式是通过采用高PSRR(电源抑制比)调节器来创造一个局部、干净的供应电压,对开关区域中的电力网造成更少的干扰。在一些实施例中,该设计的一个变体可用于对输入电压和控制器供应之间的差异进行采样,并将其重创建于电源的漏极电压和复制开关之间。这允许了传感器不被暴露于供电电压。在一些实施例中,在反馈中带有电容耦合输入的放大器被用来补偿电流感测期间输入电压中的与电力输送网络相关(PDN相关)的变化。
一些实施例使用三个组件来基于USB TYPE-C设备5529的状态调整SoC 5501的峰值功率。这些组件包括OS峰值功率管理器(OS 5552的一部分)、USB TYPE-C连接器管理器(OS 5552的一部分)和USB TYPE-C协议设备驱动器(例如,驱动器5554a、5554b、5554c之一)。在一些实施例中,当USB TYPE-C电力宿设备附接到SoC 5501或者与SoC 5501脱离时,USB TYPE-C连接器管理器向OS峰值功率管理器发送同步请求,并且当电力宿转变设备状态时,USB TYPE-C协议设备驱动器向峰值功率管理器发送同步请求。在一些实施例中,当USBTYPE-C连接器附接到电力宿并且活跃(例如,高功率设备状态)时,峰值功率管理器从CPU取得功率预算。在一些实施例中,当USB TYPE-C连接器脱离或者附接并且电力宿设备空闲(最低设备状态)时,峰值功率管理器将功率预算还给CPU以获得性能。
在一些实施例中,提供了逻辑来为BIOS加电流程和休眠退出流程(例如,S3、S4和/或S5)动态地挑选最佳操作处理核心。对自举处理器(bootstrap processor,BSP)的选择被转移到早期加电时间,而不是在任何时间的固定硬件选择。为了获得最大的启动性能,该逻辑在早期加电时选择最快的胜任核心作为BSP。此外,为了获得最大的功率节省,该逻辑选择最高能效的核心作为BSP。选择BSP的处理器或切换发生在启动以及加电流程(例如,S3、S4和/或S5流程)期间。
在一些实施例中,这里的存储器是以多级存储器体系结构组织的,并且其性能由分散方案来支配。该分散方案包括p单元5510和存储器控制器。在一些实施例中,该方案基于应用在如何使用远离处理器核心的存储器级别,对于平台5500中逐渐远离处理器的存储器级别,动态地平衡若干个参数,例如功率、热能、成本、时延和性能。在一些示例中,对远处存储器(far memory,FM)的状态的决策作出是分散的。例如,处理器功率管理单元(p单元)、近处存储器控制器(near memory controller,NMC)和/或远处存储器主机控制器(farmemory host controller,FMHC)在其各自的级别上对FM的功率和/或性能状态作出决策。这些决策被协调起来,以便在给定的时间内提供FM的最优功率和/或性能状态。存储器的功率和/或性能状态自适应地变化,以适应不断变化的工作负载和其他参数,即使当(一个或多个)处理器处于特定功率状态中时。
在一些实施例中,实现了硬件和软件协调处理器功率状态策略(例如,C-状态的策略),该策略通过考虑在进入空闲的核心上预期调度的线程的性能和/或响应性需求,提供最优的功率状态选择,以为运行用户关键任务的核心实现改善的每周期指令(instructions per cycle,IPC)和性能。该方案提供了为在片上系统上运行的重要和/或用户关键的线程提供响应性增益的能力。耦合到多个处理核心的p单元5510接收来自操作系统5552的提示,该提示指示出基于情境切换中的线程的优先级,对于多个处理核心中的至少一个处理核心的功率状态或性能状态的偏向。
说明书中提及“一实施例”、“一个实施例”、“一些实施例”或者“其他实施例”的意思是联系这些实施例描述的特定特征、结构或特性被包括在至少一些实施例中,但不一定是所有实施例中。“一实施例”、“一个实施例”或“一些实施例”的各种出现不一定全都指的是相同实施例。如果说明书陈述“可”、“可能”或者“可以”包括某一组件、特征、结构或特性,那么并不是必须要包括该特定组件、特征、结构或特性。如果说明书或权利要求提及“一”元素,那么并不意味着只有一个该元素。如果说明书或权利要求提及“一额外”元素,那么并不排除有多于一个额外元素。
在整个说明书各处,以及在权利要求中,术语“连接”的意思是直接连接,例如连接的事物之间的电连接、机械连接或磁连接,没有任何中间设备。
术语“耦合”的意思是直接或间接连接,例如连接的事物之间的直接电连接、机械连接或磁连接,或者通过一个或多个无源或有源中间设备的间接连接。
这里的术语“邻近”一般指的是一个事物的位置与另一事物挨着(例如,紧挨着或者接近并且其间有一个或多个事物)或者毗邻(例如,与其邻接)。
术语“电路”或“模块”可以指被布置为与彼此合作来提供期望的功能的一个或多个无源和/或有源组件。
术语“信号”可以指至少一个电流信号、电压信号、磁信号或者数据/时钟信号。“一”和“该”的含义包括多数指代。“在…中”的含义包括“在…中”和“在…上”。
术语“模拟信号”是任何这样的连续信号:对于该连续信号,该信号的时变特征(变量)是某个其他时变量的表示,即,类似于另一时变信号。
术语“数字信号”是这样的物理信号:其是例如任意比特流的或者数字化的(采样并且模数转换的)模拟信号的离散值(量化离散时间信号)的序列的表示。
术语“缩放”一般是指将某个设计(图解和布局)从一个工艺技术转换到另一个工艺技术并且可随后减小布局面积。在一些情况下,缩放也指从一个工艺技术到另一个工艺技术扩大设计的规模并且可随后增大布局面积。术语“缩放”一般也指在同一技术节点内缩小或扩大布局和器件的规模。术语“缩放”还可以指相对于另一参数(例如电力供应水平)调整(例如,减慢或加速——即分别是缩小或放大)信号频率。
术语“基本上”、“接近”、“大致”、“近似”和“大约”一般指在目标值的+/-10%内。
除非另有指明,否则使用序数形容词“第一”、“第二”和“第三”等等来描述共同对象只是表明相似对象的不同实例被引用,而并不打算暗示这样描述的对象必须在时间上、空间上、排名上或者以任何其他方式处于给定的序列中。
对于本公开而言,短语“A和/或B”和“A或B”的意思是(A)、(B)或者(A和B)。对于本公开而言,短语“A、B和/或C”的意思是(A)、(B)、(C)、(A和B)、(A和C)、(B和C)或者(A、B和C)。
说明书中和权利要求中的术语“左”、“右”、“前”、“后”、“顶”、“底”、“上”、“下”等等(如果有的话)是用于描述性目的的,而并不一定用于描述永久的相对位置。例如,本文使用的术语“在…上方”、“在…下方”、“前侧”、“后侧”、“顶”、“底”、“在…上方”、“在…下方”和“在…上”指的是一个组件、结构或材料相对于设备内的其他引用的组件、结构或材料的相对位置,其中这种物理关系是值得注意的。这些术语在本文中仅被用于描述性目的,而且主要是在设备z轴的上下文内使用的,因此可相对于设备的朝向。因此,在本文提供的附图的上下文中在第二材料“上方”的第一材料也可在第二材料的“下方”,如果该设备相对于提供的附图的上下文被颠倒朝向的话。在材料的上下文中,一个材料被布置在另一材料上方或下方可直接接触或者可具有一个或多个居间的材料。另外,一个材料被布置在两个材料之间可与这两个层直接接触或者可具有一个或多个居间的层。与之不同,在第二材料“上”的第一材料是与该第二材料直接接触。在零件装配的上下文中要做出类似的区分。
要指出,附图的具有与任何其他附图的元素相同的标号(或名称)的那些元素可按与所描述的相似的任何方式来操作或工作,但不限于此。
对于实施例而言,这里描述的各种电路和逻辑块中的晶体管是金属氧化物半导体(metal oxide semiconductor,MOS)晶体管或其衍生物,其中MOS晶体管包括漏极、源极、栅极和体端子。晶体管和/或MOS晶体管衍生物也包括三栅和FinFET晶体管、全包围栅圆柱体晶体管、隧道效应FET(Tunneling FET,TFET)、方形线晶体管、或者矩形带状晶体管、铁电FET(ferroelectric FET,FeFET)或者像碳纳米管或自旋器件之类的实现晶体管功能的其他器件。MOSFET对称源极和漏极端子即是相同的端子并且在这里可互换使用。另一方面,TFET器件具有非对称源极和漏极端子。本领域技术人员将会明白,在不脱离本公开的范围的情况下,可以使用其他晶体管,例如双极结晶体管(BJT PNP/NPN)、BiCMOS、CMOS等等。
这里的术语“管芯”(die)一般是指一块连续的半导体材料(例如硅),其中晶体管或者构成处理器核心的其他组件可位于其中。多核心处理器可在单个管芯上具有两个或更多个处理器,但另一种情况是,可分别在两个或更多个管芯上提供两个或更多个处理器。每个管芯具有专用的功率控制器或者功率控制单元(p单元),其可动态或者静态地被配置为监督者或者被监督者。在一些示例中,管芯具有相同的尺寸和功能,即,对称的核心。然而,管芯也可以是不对称的。例如,一些管芯的尺寸和/或功能与其他管芯不同。每个处理器也可以是小管芯或者小芯片。
这里的术语“小管芯”(dielet)或者“小芯片”(chiplet)一般是指物理上分立的半导体管芯,通常以如下方式与相邻的管芯连接:使跨管芯边界的架构像单个架构那样运作,而不是作为两个分立的架构运作。从而,至少一些管芯可以是小管芯。每个小管芯可包括一个或多个p单元,它们可动态或静态地被配置为监督者、被监督者或者两者。
这里的术语“架构”(fabric)一般是指具有一组已知的源、目的地、路由规则、拓扑和其他属性的通信机制。源和目的地可以是任何类型的数据处理功能单元,例如功率管理单元。架构可以是沿着管芯的x-y平面的二维跨越和/或沿着垂直和水平放置的管芯的堆叠的x-y-z平面的三维(3D)跨越。单个架构可跨越多个管芯。架构可采取任何拓扑,例如网状拓扑、星形拓扑、菊链状拓扑。架构可以是具有多个代理的片上网络(network-on-chip,NoC)的一部分。这些代理可以是任何功能单元。
在此,术语“处理器核心”一般是指独立的执行单元,它可在某个时间与其他核心并行地运行一个程序线程。处理器核心可包括专用的功率控制器或者功率控制单元(p单元),其可被动态或者静态地配置为监督者或者被监督者。在一些示例中,这个专用p单元也被称为自主p单元。在一些示例中,所有的处理器核心都具有相同的尺寸和功能,即,对称的核心。然而,处理器核心也可以是不对称的。例如,一些处理器核心的尺寸和/或功能与其他处理器核心不同。处理器核心可以是虚拟处理器核心或者物理处理器核心。
这里,术语“互连”是指两个或更多个点或节点之间的通信链路,或者通道。它可包括一个或多个单独的传导路径,例如导线、通孔、波导、无源组件和/或有源组件。它也可包括架构。在一些实施例中,p单元经由接口与OS耦合。
这里,术语“接口”一般是指用于与互连进行通信的软件和/或硬件。接口可包括逻辑和I/O驱动器/接收器,以通过互连或者一条或多条导线发送和接收数据。
这里,术语“域”(domain)一般是指具有类似属性(例如,电源电压、操作频率、电路或逻辑的类型和/或工作负载类型)和/或由特定代理控制的逻辑或者物理周界。例如,域可以是由特定的监督者控制的一组逻辑单元或者功能单元。域也可被称为自主周界(Autonomous Perimeter,AP)。域可以是整个片上系统(SoC)或者SoC的一部分,并且由P单元管理。
这里,术语“监督者”(supervisor)一般是指功率控制器或者功率管理单元(“p单元”),其单独或者与一个或多个其他p单元合作,监视和管理一个或多个关联的功率域的功率和性能相关参数。功率/性能相关参数可包括但不限于域功率、平台功率、电压、电压域电流、管芯电流、负载线、温度、设备时延、利用率、时钟频率、处理效率、当前/未来工作负载信息以及其他参数。它可为一个或多个域确定新的功率或性能参数(限制、平均操作,等等)。这些参数随后可经由一个或多个架构和/或互连,被传达给被监督者p单元,或者直接传达给被控制或者监视的实体,例如VR或者时钟节流控制寄存器。监督者了解一个或多个管芯的工作负载(当前和未来)、一个或多个管芯的功率测量值和其他参数(例如,平台级功率边界),并且确定一个或多个管芯的新功率限制。这些功率限制随后由监督者p单元经由一个或多个架构和/或互连被传达给被监督者p单元。在一个管芯有一个p单元的示例中,监督者(Svor)p单元也被称为监督者管芯。
这里的术语“被监督者”(supervisee)一般是指功率控制器或者功率管理单元(“p单元”),其单独或者与一个或多个其他p单元合作,监视和管理一个或多个关联的功率域的功率和性能相关参数,并且接收来自监督者的指令,为其关联的功率域设置功率和/或性能参数(例如,电源电压、操作频率、最大电流、节流阈值,等等)。在一个管芯有一个p单元的示例中,被监督者(Svee)p单元也可被称为被监督者管芯。注意,p单元可作为Svor、Svee或者同时作为Svor/Svee p单元。
术语“设备”根据该术语的使用的上下文一般可以指装置。例如,设备可以指层或结构的栈、单个结构或层、具有有源和/或无源元件的各种结构的连接,等等。一般而言,设备是三维结构,具有沿着x-y-z笛卡儿坐标系统的x-y方向的平面和沿着z方向的高度。设备的平面也可以是包括该设备的装置的平面。
术语“在…之间”可在设备的z轴、x轴或y轴的上下文中被使用。在两个其他材料之间的材料可与这些材料的一者或两者接触,或者它可被一个或多个居间材料与其他两个材料都隔开。在两个其他材料“之间”的材料因此可与其他两个材料的任一者接触,或者其可通过居间的材料耦合到其他两个材料。在两个其他设备之间的设备可与这些设备的一者或两者直接连接,或者它可被一个或多个居间设备与其他两个设备都隔开。
这里,多个非硅半导体材料层可被堆叠在单个翅片结构内。多个非硅半导体材料层可包括适合于P型晶体管(例如,为P型晶体管提供比硅更高的空穴迁移率)的一个或多个“P型”层。多个非硅半导体材料层还可包括适合于N型晶体管(例如,为N型晶体管提供比硅更高的电子迁移率)的一个或多个“N型”层。多个非硅半导体材料层还可包括分隔N型与P型层的一个或多个居间层。居间层可以是至少部分具有牺牲性的,以例如允许栅极、源极或漏极中的一个或多个完全环绕N型和P型晶体管中的一个或多个的沟道区域。可以至少部分地用自对齐技术来制作多个非硅半导体材料层,以使得堆叠的CMOS器件可包括高迁移率的N型和P型晶体管,其占地面积是单个finFET。
这里,术语“后端”一般是指管芯的与“前端”相反的部分,并且在该部分中IC(集成电路)封装与IC管芯凸块耦合。例如,更接近管芯封装的高级别金属层(例如,在十金属堆叠管芯中的第6及以上的金属层)和相应的通孔被认为是管芯的后端的一部分。相反,术语“前端”一般是指管芯的如下部分:该部分包括有源区域(例如,制作晶体管的地方)和更接近有源区域的低级别金属层和相应的通孔(例如,在十金属堆叠管芯示例中的第5及以下的金属层)。
此外,特定的特征、结构、功能或特性在一个或多个实施例中可按任何适当的方式被组合。例如,在与两个实施例相关联的特定特征、结构、功能或特性不互斥的任何地方,第一实施例可与第二实施例相组合。
虽然已结合其特定实施例描述了本公开,但本领域普通技术人员根据前述描述将清楚这种实施例的许多替换、修改和变化。本公开的实施例打算包含落在所附权利要求的宽广范围内的所有这种替换、修改和变化。
此外,为了图示和论述的简单,并且为了不模糊本公开,在给出的附图内可能示出或者不示出到集成电路(IC)芯片和其他组件的公知电源/接地连接。另外,可能以框图形式示出布置以避免模糊本公开,并且同时也考虑到了如下事实:关于这种框图布置的实现的具体细节是高度取决于要在其内实现本公开的平台的(即,这种具体细节应当完全在本领域技术人员的视野内)。在阐述具体细节(例如,电路)以便描述本公开的示例实施例的情况下,本领域技术人员应当清楚,没有这些具体细节,或者利用这些具体细节的变体,也可实现本公开。从而说明书应当被认为是说明性的,而不是限制性的。
以下示例属于进一步实施例。示例中的具体细节可用在一个或多个实施例中的任何地方。本文描述的装置的所有可选特征也可对于方法或过程实现。可按任何组合来组合这些示例。例如,示例4可与示例2相组合。
示例1:一种装置,包括:与输入电力供应轨和输出电力供应轨相耦合的多个功率门;移位器,用于生成控制字以控制所述多个功率门;以及控制器,用于指示所述移位器何时上移所述控制字的值、下移所述控制字的值、或者维持所述控制字的值,其中所述控制器用于以非单调方式上移或移位以减小参考节拍频率与自激振荡器的节拍频率之间的误差。
示例2:如示例1所述的装置,其中所述控制器用于在保留模式或唤醒模式中操作。
示例3:如示例2所述的装置,其中,在所述保留模式中,所述控制器用于:当所述输出电力供应轨上的电压小于保留电压并且当所述输出电力供应轨上的电压具有负斜率或者基本为零的斜率时,指示所述移位器上移所述控制字的值。
示例4:如示例2所述的装置,其中,在所述保留模式中,所述控制器用于:当所述输出电力供应轨上的电压大于保留电压并且当所述输出电力供应轨上的电压具有正斜率或者当所述输出电力供应轨上的电压具有基本为零的斜率时,指示所述移位器下移所述控制字的值。
示例5:如示例2所述的装置,其中,在所述唤醒模式中,所述控制器用于:如果所述输出电力供应轨上的电压的斜率小于或等于参考斜率,则指示所述移位器上移所述控制字的值。
示例6:如示例2所述的装置,其中,在所述唤醒模式中,所述控制器用于:如果所述输出电力供应轨上的电压的斜率大于参考斜率,则指示所述移位器维持所述控制字的值。
示例7:如示例1所述的装置,其中所述自激振荡器用于生成第一时钟,其中所述装置包括时钟同步器来将所述第一时钟与第二时钟同步。
示例8:如示例7所述的装置,其中所述控制器包括计数器,其中所述时钟同步器用于生成第三时钟,并且其中所述计数器用于经由所述第三时钟来确定所述第一时钟的频率。
示例9:如示例8所述的装置,其中所述计数器用于接收所述第二时钟。
示例10:如示例8所述的装置,其中所述控制器包括第一比较器来将所述计数器的输出与指示出所述第一时钟的过去频率计数的数字值进行比较,并且其中所述第一比较器用于生成指示出所述第一时钟的频率相对于所述过去频率计数的变化方向的输出。
示例11:如示例10所述的装置,其中所述控制器包括第二比较器来将所述计数器的输出与参考频率计数进行比较,其中所述第二比较器用于生成指示出所述第一时钟与所述参考频率计数之间的误差的输出。
示例12:如示例11所述的装置,包括逻辑来根据所述第一比较器和第二比较器的输出来生成所述控制字。
示例13:如示例1所述的装置,其中所述多个功率门包括p型器件。
示例14:一种装置,包括:与输入电力供应轨和输出电力供应轨相耦合的多个功率门;移位器,用于生成控制字以控制所述多个功率门;以及控制器,用于指示所述移位器何时上移所述控制字的值、下移所述控制字的值,或者维持所述控制字的值,其中所述控制器用于移位所述控制字的值,以使得值变化小的控制字比值变化更大的控制字被修改得更快。
示例15:如示例14所述的装置,其中所述控制器在低功率状态期间控制所述输出电力供应轨上的保留最低操作电压。
示例16:如示例15所述的装置,其中所述控制器从所述保留操作电压恢复所述输出电力供应轨上的标称操作电压。
示例17:一种系统,包括:存储器;与所述存储器耦合的处理器;以及与所述处理器通信地耦合的无线接口,其中所述处理器包括低压差调节器,该调节器包括:与输入电力供应轨和输出电力供应轨相耦合的多个功率门;移位器,用于生成控制字以控制所述多个功率门;以及控制器,用于指示所述移位器何时上移所述控制字的值、下移所述控制字的值,或者维持所述控制字的值,其中所述控制器用于以非单调方式上移或移位以减小参考节拍频率与自激振荡器的节拍频率之间的误差。
示例18:如示例17所述的系统,其中所述控制器用于在保留模式或唤醒模式中操作。
示例19:如示例18所述的系统,其中,在所述保留模式中,所述控制器用于:当所述输出电力供应轨上的电压小于保留电压并且当所述输出电力供应轨上的电压具有负斜率或者基本为零的斜率时,指示所述移位器上移所述控制字的值。
示例20:如示例18所述的系统,其中,在所述保留模式中,所述控制器用于:当所述输出电力供应轨上的电压大于保留电压并且当所述输出电力供应轨上的电压具有正斜率或者当所述输出电力供应轨上的电压具有基本为零的斜率时,指示所述移位器下移所述控制字的值。
示例21:如示例18所述的系统,其中,在所述唤醒模式中,所述控制器用于:如果所述输出电力供应轨上的电压的斜率小于或等于参考斜率,则指示所述移位器上移所述控制字的值。
示例22:如示例18所述的系统,其中,在所述唤醒模式中,所述控制器用于:如果所述输出电力供应轨上的电压的斜率大于参考斜率,则指示所述移位器维持所述控制字的值。
提供了摘要,其将允许读者确定技术公开的性质和主旨。摘要是在如下理解下提交的:它不会被用于限制权利要求的范围或含义。特此将所附权利要求并入到详细描述中,其中每个权利要求独立作为一个单独的实施例。

Claims (24)

1.一种具有统一保留和唤醒夹钳的装置,该装置包括:
与输入电力供应轨和输出电力供应轨相耦合的多个功率门;
移位器,用于生成控制字以控制所述多个功率门;以及
控制器,用于指示所述移位器何时上移所述控制字的值、下移所述控制字的值、或者维持所述控制字的值,其中所述控制器用于以非单调方式上移或移位以减小参考节拍频率与自激振荡器的节拍频率之间的误差。
2.如权利要求1所述的装置,其中所述控制器用于在保留模式或唤醒模式中操作。
3.如权利要求2所述的装置,其中,在所述保留模式中,所述控制器用于:当所述输出电力供应轨上的电压小于保留电压并且当所述输出电力供应轨上的电压具有负斜率或者基本为零的斜率时,指示所述移位器上移所述控制字的值。
4.如权利要求2所述的装置,其中,在所述保留模式中,所述控制器用于:当所述输出电力供应轨上的电压大于保留电压并且当所述输出电力供应轨上的电压具有正斜率或者当所述输出电力供应轨上的电压具有基本为零的斜率时,指示所述移位器下移所述控制字的值。
5.如权利要求2所述的装置,其中,在所述唤醒模式中,所述控制器用于:如果所述输出电力供应轨上的电压的斜率小于或等于参考斜率,则指示所述移位器上移所述控制字的值。
6.如权利要求2所述的装置,其中,在所述唤醒模式中,所述控制器用于:如果所述输出电力供应轨上的电压的斜率大于参考斜率,则指示所述移位器维持所述控制字的值。
7.如权利要求1所述的装置,其中所述自激振荡器用于生成第一时钟,其中所述装置包括时钟同步器来将所述第一时钟与第二时钟同步。
8.如权利要求7所述的装置,其中所述控制器包括计数器,其中所述时钟同步器用于生成第三时钟,并且其中所述计数器用于经由所述第三时钟来确定所述第一时钟的频率。
9.如权利要求8所述的装置,其中所述计数器用于接收所述第二时钟。
10.如权利要求8所述的装置,其中所述控制器包括第一比较器来将所述计数器的输出与指示出所述第一时钟的过去频率计数的数字值进行比较,并且其中所述第一比较器用于生成指示出所述第一时钟的频率相对于所述过去频率计数的变化方向的输出。
11.如权利要求10所述的装置,其中所述控制器包括第二比较器来将所述计数器的输出与参考频率计数进行比较,其中所述第二比较器用于生成指示出所述第一时钟与所述参考频率计数之间的误差的输出。
12.如权利要求11所述的装置,包括逻辑来根据所述第一比较器和第二比较器的输出来生成所述控制字。
13.如权利要求1所述的装置,其中所述多个功率门包括p型器件。
14.一种具有统一保留和唤醒夹钳的装置,该装置包括:
与输入电力供应轨和输出电力供应轨相耦合的多个功率门;
移位器,用于生成控制字以控制所述多个功率门;以及
控制器,用于指示所述移位器何时上移所述控制字的值、下移所述控制字的值、或者维持所述控制字的值,其中所述控制器用于移位所述控制字的值,以使得值变化小的控制字比值变化更大的控制字被修改得更快。
15.如权利要求14所述的装置,其中所述控制器在低功率状态期间控制所述输出电力供应轨上的保留最低操作电压。
16.如权利要求15所述的装置,其中所述控制器从所述保留操作电压恢复所述输出电力供应轨上的标称操作电压。
17.一种系统,包括:
存储器;
与所述存储器耦合的处理器;以及
与所述处理器通信地耦合的无线接口,其中所述处理器包括根据权利要求1至13中的任一项的低压差调节器。
18.一种系统,包括:
存储器;
与所述存储器耦合的处理器;以及
与所述处理器通信地耦合的无线接口,其中所述处理器包括根据权利要求14至16中的任一项的低压差调节器。
19.一种用于统一保留和唤醒的方法,该方法包括:
将多个功率门耦合到输入电力供应轨和输出电力供应轨;
由移位器生成控制字以控制所述多个功率门;并且
由控制器指示所述移位器何时上移所述控制字的值、下移所述控制字的值、或者维持所述控制字的值,其中所述控制器用于以非单调方式上移或移位以减小参考节拍频率与自激振荡器的节拍频率之间的误差。
20.如权利要求21所述的方法,其中所述控制器用于在保留模式或唤醒模式中操作。
21.如权利要求20所述的方法,其中,在所述保留模式中,所述方法包括:
当所述输出电力供应轨上的电压小于保留电压并且当所述输出电力供应轨上的电压具有负斜率或者基本为零的斜率时,指示所述移位器上移所述控制字的值。
22.如权利要求20所述的方法,其中,在所述保留模式中,所述方法包括:
当所述输出电力供应轨上的电压大于保留电压并且当所述输出电力供应轨上的电压具有正斜率或者当所述输出电力供应轨上的电压具有基本为零的斜率时,指示所述移位器下移所述控制字的值。
23.如权利要求20所述的方法,其中,在所述唤醒模式中,所述方法包括:
如果所述输出电力供应轨上的电压的斜率小于或等于参考斜率,则指示所述移位器上移所述控制字的值。
24.如权利要求20所述的方法,其中,在所述唤醒模式中,所述方法包括:
如果所述输出电力供应轨上的电压的斜率大于参考斜率,则指示所述移位器维持所述控制字的值。
CN202110962487.1A 2020-09-21 2021-08-20 统一保留和唤醒夹钳装置和方法 Pending CN114253382A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063081228P 2020-09-21 2020-09-21
US63/081,228 2020-09-21
US17/128,076 2020-12-19
US17/128,076 US12007826B2 (en) 2020-12-19 Unified retention and wake-up clamp apparatus and method

Publications (1)

Publication Number Publication Date
CN114253382A true CN114253382A (zh) 2022-03-29

Family

ID=80473972

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110962487.1A Pending CN114253382A (zh) 2020-09-21 2021-08-20 统一保留和唤醒夹钳装置和方法

Country Status (3)

Country Link
CN (1) CN114253382A (zh)
DE (1) DE102021119048A1 (zh)
TW (1) TW202215200A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115202429A (zh) * 2022-06-23 2022-10-18 上海维安半导体有限公司 应用于低压差线性稳压器中固定和可调版本切换的电路

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115202429A (zh) * 2022-06-23 2022-10-18 上海维安半导体有限公司 应用于低压差线性稳压器中固定和可调版本切换的电路
CN115202429B (zh) * 2022-06-23 2024-04-05 上海维安半导体有限公司 应用于低压差线性稳压器中固定和可调版本切换的电路

Also Published As

Publication number Publication date
DE102021119048A1 (de) 2022-03-24
TW202215200A (zh) 2022-04-16
US20220091652A1 (en) 2022-03-24

Similar Documents

Publication Publication Date Title
US20220197519A1 (en) Multi-level memory system power management apparatus and method
US11809250B2 (en) Workload aware power limiting and multiple-input multiple-output control
US11742754B2 (en) Enhanced constant-on-time buck intellectual property apparatus and method
US11774919B2 (en) Distributed and scalable all-digital low dropout integrated voltage regulator
US20210135478A1 (en) Workload dependent load-sharing mechanism in multi-battery system, and adaptive charging and discharging for a hybrid battery
US11842202B2 (en) Apparatus and method for dynamic selection of an optimal processor core for power-up and/or sleep modes
US20220197321A1 (en) Dual loop voltage regulator
US20220302918A1 (en) Apparatus and method to calibrate clock phase mismatches
US20220407337A1 (en) Predictable battery power management apparatus and method
KR20220051159A (ko) 디지털 방식으로 조정된 동적으로 적응가능한 클록 및 전압 공급 장치 및 방법
CN114090227A (zh) 高能效核心电压选择装置和方法
EP4092896A1 (en) Computational current sensor
US20210132123A1 (en) Per-part real-time load-line measurement apparatus and method
US11336270B2 (en) Fuse-less self-start controller
US20220091656A1 (en) Processor peak current control apparatus and method
CN114253382A (zh) 统一保留和唤醒夹钳装置和方法
US11927982B2 (en) Keeper-free integrated clock gate circuit
CN115441867A (zh) 锁相环辅助的快速启动装置和方法
US20210167014A1 (en) Apparatus and method to increase effective capacitance with layout staples
WO2022133643A1 (en) Peak power shaving with multiple batteries, and control mechanism for hybrid energy sources
US20220197842A1 (en) Dynamic usb-c mode selection ospm policy method and apparatus
US12007826B2 (en) Unified retention and wake-up clamp apparatus and method
US11954501B2 (en) Apparatus and method for restoring a password-protected endpoint device to an operational state from a low power state
US12009687B2 (en) Apparatus and method to provide dynamic battery charging voltage
US20210208668A1 (en) Apparatus and method to reduce standby power for systems in battery mode with a connected bus powered device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination