CN113805426A - 光刻掩模组件及其制造方法 - Google Patents

光刻掩模组件及其制造方法 Download PDF

Info

Publication number
CN113805426A
CN113805426A CN202110312358.8A CN202110312358A CN113805426A CN 113805426 A CN113805426 A CN 113805426A CN 202110312358 A CN202110312358 A CN 202110312358A CN 113805426 A CN113805426 A CN 113805426A
Authority
CN
China
Prior art keywords
lithographic mask
mask
ventilation
area
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110312358.8A
Other languages
English (en)
Inventor
陆埼达
涂志强
林政旻
陈庆跃
胡威仲
许廷彰
陈昱彤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN113805426A publication Critical patent/CN113805426A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • G03F1/64Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof characterised by the frames, e.g. structure or material, including bonding means therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)

Abstract

根据本发明的光刻掩模组件随附光刻掩模。该光刻掩模包括在衬底上方的覆盖层和设置在覆盖层上方的吸收层。该吸收层包括第一主部件区域、第二主部件区域,以及设置在第一主部件区域与第二主部件区域之间的通风部件区域。该通风部件区域包括多个通风部件。本申请的实施例还涉及制造光刻掩模组件的方法。

Description

光刻掩模组件及其制造方法
技术领域
本申请的实施例涉及光刻掩模组件及其制造方法。
背景技术
半导体集成电路(IC)行业经历了快速增长。在集成电路的发展过程中,功能密度(即每个芯片区互连器件的数量)普遍增加,而其几何尺寸(即使用制造工艺中可制造的最小组件或线)已经减小。这种按比例缩小工艺通常通过提高生产效率和降低相关成本来提供益处。这种按比例缩小增加了处理和制造IC的复杂性,为实现这些进步,在IC制造中的类似发展。
例如,传统的透射光刻正在被反射光刻补充或替代。IC通常是通过使用一组光刻掩模在半导体衬底上将部件分层来组装的。透射掩模具有由透射区域形成的图案。在光刻曝光期间,诸如紫外光的辐射在撞击到衬底上的光刻胶涂层之前穿过掩模的透射区域。掩模将图案转移到光刻胶上。相反,反射掩模包括反射和非反射区域。在曝光期间,使用从掩模反射的光在衬底上形成图案。在任一类型的曝光之后,选择性地去除光刻胶以暴露图案。然后,衬底经过利用剩余光刻胶形状的处理步骤,在衬底上创建电路部件。当处理步骤完成时,再次涂敷光刻胶,并使用下一个掩模对衬底进行曝光。这样,部件被分层以产生最终电路。
反射掩模在许多应用中具有优势,因为它们可以与相对较高频率的辐射例如极紫外(EUV)辐射结合使用。用于EUV辐射的反射掩模包括掩模衬底,在掩模衬底上用作反射镜的反射层,以及在反射层上方的EUV吸收层。EUV吸收层被图案化,以选择性地暴露所述下面的反射层,使得入射EUV辐射被剩余的EUV吸收层吸收,但是被暴露的反射层反射。用于EUV辐射的传统反射掩模包括无图案区域,其中EUV吸收层的连续跨度没有任何图案。暴露于EUV辐射可在无图案区域中引起起泡或甚至分层,影响掩模的质量和寿命。因此,虽然现有的反射掩模和反射光刻通常对于它们的预期目的是足够的,但是它们不是在所有方面都已完全令人满意。
发明内容
本申请的一些实施例提供了一种光刻掩模组件,包括:光刻掩模组,所述光刻掩模组包括:覆盖层,设置在衬底上方,以及吸收层,设置在所述覆盖层上方,其中,所述吸收层包括:第一主部件区域,第二主部件区域,以及第一通风部件区域,设置在所述第一主部件区域与所述第二主部件区域之间,其中,所述第一通风部件区域包括多个通风部件。
本申请的另一些实施例提供了一种制造光刻掩模组件的方法,包括:接收光刻掩模设计,所述光刻掩模设计包括第一主部件区域、第二主部件区域以及第一主部件区域和第二主部件区域之间的分隔区域;分别确定所述第一主部件区域、所述第二主部件区域和所述分隔区域内的通风部件插入区域;在光刻掩模设计的通风部件插入区域中插入多个通风部件以产生修改的光刻掩模设计;以及基于所述修改的光刻掩模设计制造光刻掩模。
本申请的又一些实施例提供了一种制造光刻掩模组件的方法,包括:接收光刻掩模设计,所述光刻掩模设计包括多个印刷部件和多个无图案区域;当模板形状适合多个无图案区域时,在光刻掩模设计中识别通风部件插入区域;在光刻掩模设计的通风部件插入区域中插入多个通风部件以获得修改的光刻掩模设计;以及基于所述修改的光刻掩模设计制造光刻掩模。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各方面。需强调的是,根据工业中的标准实践,各个部件未按比例绘制,并且仅用于说明目的。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1是根据本发明的各个方面的用于执行光刻技术的光刻系统的框图。
图2是根据本发明的各个方面的掩模衬底的局部截面图。
图3是根据本发明的各个方面的制造用于曝光负型光刻胶的反射掩模的方法的流程图。
图4、图5A、图5B、图6A、图6B和图8是根据本发明的各个方面的经受图3方法操作的掩模衬底的局部俯视图。
图7A、图7B和图7C示出了根据本发明的各个方面的通风部件的示例。
图9是根据本发明各个方面的掩模衬底的局部截面图。
图10是根据本发明的各个方面的集成电路(IC)制造系统及其相关的IC制造流程的框图。
具体实施方式
本发明大体上涉及用于IC器件制造的反射掩模,更具体地说,涉及一种具有通风部件以防止反射掩模缺陷的反射掩模。
以下公开内容提供了许多用于实现本发明的不同部件的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
此外,在本发明随后的描述中,将一个部件形成在另一部件上、连接至另一部件和/或耦接至另一部件可以包括部件形成为直接接触的实施例,并且也可以包括在部件之间可以形成额外的部件,从而使得部件可以不直接接触的实施例。另外,为了便于理解本发明,空间相对术语,例如“下部”、“上部”、“水平”、“垂直”、“在...之上”、“在...上方”、“在...下方”、“在...下面”、“向上”、“向下”、“顶部”、“底部”等及其派生词(例如,“水平地”、“向下地”、“向上地”等)用于描述一个部件与另一部件的关系。空间相对术语旨在覆盖包括部件的器件的不同方位。
进一步地,当用“约”、“近似”等描述数值或数值范围时,该术语旨在涵盖包括所描述数值的合理范围内的数值,诸如在所描述数值的+/-10%内或本领域技术人员理解的其他值内。例如,术语“约5nm”涵盖从4.5nm至5.5nm的尺寸范围。
反射掩模已经被用于现有技术的光刻辐射源,诸如极紫外(EUV)辐射源。用于EUV辐射源的反射掩模包括掩模衬底、在掩模衬底上方的反射层,和在反射层上方的EUV吸收层。EUV吸收层被图案化为选择性地暴露下面的反射层,从而使得入射EUV辐射被剩余的EUV吸收层吸收,但是被暴露的反射层反射。反射掩模可以包括多个主部件区域,每个主部件区域包括将印刷在承载光刻胶的工件上的EUV吸收图案。从这方面而言,多个主部件区域也可以被称为印刷部件区域。多个主部件区域中的每一个可以被限定在无图案区域内,或由无图案区域包围,其中EUV吸收层没有被图案化。相邻的主部件区域由无图案区域间隔开。另外,无图案区域可存在于反射掩模的边缘与主部件区域之间。在反射掩模的边缘和主部件区域之间的无图案区域可以是,或者包括所谓的黑色边界区域。当EUV反射掩模由护膜框架上的防护膜保护时,未被护膜框架覆盖的黑色边界区域可以位于一个或多个主部件区域和护膜框架的开口边缘之间。在一些情况下,在多个主部件区域中也可以存在多种尺寸的无图案区域。已经观察到,在无图案区域中的EUV吸收层中可能会产生气泡,但是在具有密集图案的区域中很少观察到。尽管气泡生成的机理仍在研究中,但有证据表明,气泡可能是由有意或无意地并入EUV吸收层中的化合物的蒸发引起的。这样的化合物可以包括,例如水。理论上,当这些化合物蒸发并且由于无图案区域中的连续EUV吸收层而不能逸出时,蒸发的化合物将导致在EUV吸收层下起泡。当越来越多的气泡形成时,它们可以结合成较大的气泡。较大的气泡可以进一步结合以形成袋,导致EUV吸收层的分层。EUV辐射源能量的增加会加剧起泡和加速分层。因此,起泡可以是EUV反射掩模中的缺陷的来源,并且还可以缩短EUV反射掩模的寿命。
本发明提供了减少EUV反射掩模中的缺陷并提高其寿命的方法和掩模设计。本发明的方法可以识别掩模设计中的通风部件插入区域,并且将通风部件插入到通风部件插入区域中。顾名思义,通风部件就是EUV吸收层中的开口,以提供蒸发的化合物的出口,从而防止起泡和分层。取决于它们在主部件区域内或不在主部件区域内的位置,通风部件可以是非印刷(亚分辨率)或印刷部件。例如,如果通风部件被插入到主部件区域中,则它们可以是非印刷部件。然而,如果仅仅将通风部件插入到主部件区域之外的无图案区域中,则它们可以是印刷部件或非印刷部件。
光刻系统10的示意图在图1中示出。通常也可称为扫描仪的光刻系统10可操作以执行光刻曝光工艺。在所示实施例中,光刻系统10是设计成使用波长范围在约1nm和约100nm之间的EUV辐射来曝光工件的极紫外(EUV)光刻系统。在一些示例性实施例中,光刻系统10包括辐射源12,其产生中心为约13.5nm的波长的EUV辐射。在一个这样的实施例中,辐射源12利用激光产生的等离子体(LPP)通过使用激光将诸如锡滴的介质加热成高温等离子体来产生EUV辐射。光刻系统10还可以包括照射器14,照射器14聚焦和成形由辐射源12产生的辐射。照明器14可以包括折射光学组件,包括单片透镜和/或阵列透镜(例如,波带片),并且可以包括反射光学组件,包括单片反射镜和/或反射镜阵列。尽管在实际实施例中,照明器14包括数十个或者甚至数百个透镜和/或反射镜,但是为了清楚起见,图1所示的光学组件的数量已经减少。光学组件被布置和对准,以将由辐射源12发射的辐射投射到保持在掩模台16上的掩模100上。照明器14的光学组件还可以沿着光路形成辐射,以便在掩模100上产生特定的照明图案。
在从掩模100反射之后,辐射被引导通过投影光学模块18,也称为投影光学组件箱(POB)。类似于照明器14,投影光学模块18可以包括折射光学组件,包括单片透镜和/或阵列透镜(例如,波带片),并且可以包括反射光学组件,包括单片反射镜和/或反射镜阵列。投影光学模块18的光学组件被布置和对准,以引导从掩模100反射的辐射并将其投影到保持在衬底工作台22中的工件20上,诸如所示的半导体衬底或任何其它合适的工件。除了引导辐射之外,投影光学模块18的光学组件还可以沿着光路对辐射进行放大、缩小、聚焦和/或以其他方式成形。由投影光学模块18投影在工件20上的辐射引起目标的光敏组件的变化。在通常的示例中,工件20包括具有光敏抗蚀剂层的半导体衬底。光敏抗蚀剂层暴露于辐射的部分经历化学转变,使得它们或多或少地对显影工艺敏感。在示例性实施例中,曝光之后,光敏抗蚀剂层经受曝光后烘烤、显影、冲洗和干燥以完成转换。对半导体衬底执行的后续处理步骤可以使用图案来选择性地处理衬底的部分。
掩模100可以具有图2所示的构造。在一些实施例中,掩模100包括具有设置在衬底102上的反射器(或反射层)(诸如多层镜(MLM)104)的衬底102。进而,吸收层108设置在MLM104上。下面详细描述衬底102、MLM 104和吸收层108的组成。然而,在高水平上,吸收层108所在的掩模100的区域吸收入射辐射,而不存在吸收层108的掩模100的区域将入射辐射朝向目标反射。
衬底102通常包括低热膨胀材料(LTEM)。示例性低热膨胀材料,包括石英以及LTEM玻璃、硅、碳化硅、氧化硅、氧化钛、Black
Figure BDA0002990340220000061
(黑金刚石)(应用材料的商标),和/或本领域已知的其它低热膨胀物质。为了支撑衬底102,可以将诸如静电卡盘层或机械卡盘的卡盘层附着到衬底102的背面。示例性静电卡盘层材料包括氮化铬(CrN)、氧化铬(CrON)、铬(Cr)、钽硼氮化物(TaBN)和钽硅化物(TaSi)。MLM 104设置在衬底102的正面上方。MLM 104是非常适合EUV辐射的反射结构的典型示例。MLM包括多个交替的材料层,而不是单个反射表面。尽管MLM 104可以包括任何数量的对,但是交替对的典型数量范围从20到80。根据曝光辐射及其特性(如波长和/或入射角),选择层数、层厚度和层材料以提供所需的反射率。例如,可以调整层厚度以实现在膜对的每个界面处反射的EUV辐射的最大相长干涉,同时实现MLM 104对极紫外线辐射的最小吸收。同样,用于每个交替对的材料可以基于它们的折射率来选择。在示例性实施例中,MLM 104包括四十对交替的钼和硅(Mo-Si)层。在另一示例性实施例中,MLM 104包括六十对交替的钼和铍(Mo-Be)层。
覆盖层106(也称为缓冲层)可设置在MLM 104上方。在一个实施例中,覆盖层106在蚀刻和/或修复工艺期间保护MLM 104。覆盖层106可包括诸如钌(Ru)、二氧化硅(SiO2)和/或非晶碳的材料。吸收层108设置在覆盖层106上,并且可以包括铬(Cr)、氮化钽(TaN)、氧化钽(TaO)、氮化钽硼(TaBN)、氮化钛(TiN)、它们的组合和/或其他合适的吸收材料。在一些实施例中,吸收层110包含多个吸收材料层,例如铬层和氮化钽层。吸收层110还可以包括抗反射涂层(ARC)。合适的ARC材料包括氧化钽硼(TaBO)、氧化铬(Cr2O3)、氧化硅(SiO2)、氮化硅(SiN)、氧化钽(TaO5)、氮化钽(TaON)和/或其他合适的材料。MLM 104、覆盖层106和吸收层108可通过各种方法设置在衬底102上,包括物理气相沉积(PVD)工艺,诸如蒸发和直流(DC)磁控溅射、镀工艺(诸如化学镀或电镀)、化学气相沉积(CVD)工艺,诸如大气压CVD(APCVD)、低压CVD(LPCVD)、等离子增强CVD(PECVD)或高密度等离子体CVD(HDP CVD)、离子束沉积、旋涂和/或本领域已知的其他方法。在实施例中,通过溅射沉积技术来沉积吸收层108,以实现具有相对低的缺陷和良好粘附性的可控厚度和均匀性。可以基于与掩模100一起使用的辐射的反射率/吸收,与相邻层的应力相容性和/或本领域已知的其他标准来选择上述一个或多个层的组成和/或物理结构。
如上所述,当吸收层108在无图案区域中连续延伸时,吸收层108或覆盖层106中的化合物的蒸气可能不容易逸出,并且可能在吸收层108下方形成气泡。图3是用于在掩模100中插入通风部件的方法200的流程图。下面将结合图4、图5A、图5B、图6A、图6B、图7A、图7B、图7C、图8和图9来描述方法200的操作。应当理解,可以在方法200的之前、期间和之后提供附加步骤,并且对于方法200的其他实施例,可以替换或消除所描述的一些步骤。
参照图3和图4,方法200包括接收到掩模设计1000的框202。在一些实施例中,掩模设计1000包括主部件区域120,每个主部件区域120包括吸收层中的印刷部件的图案。尽管图4示出了六个矩形主部件区域120,但是本公开不限于此。根据本公开实施例的掩模设计1000可以包括多于6个的主部件区域,并且每个主部件区域可以包括多边形形状,诸如正方形或矩形。另外,如图4所示,主部件区域120可以不沿着X和Y方向对准。每个主部件区域120可以限定在相邻主部件区域120之间的无图案区域内,并由其包围,或者围绕掩模设计1000的边缘。如以上关于图2所述,每个主部件区域120在吸收层中包括密集印刷图案,而无图案区域中的吸收层没有图案。为了便于参考,掩模设计1000的边距中的无图案区域可以被称为黑色边界区域140,并且相邻主部件区域120之间的无图案区域可以被称为分隔区域130。虽然在图4中没有明确示出,但是每个主部件区域120还可以包括无图案区域。黑色边界区域140可以暴露于图4中代表性示出的护膜框架150的开口中。参考护膜框架150,黑色边界区域140设置在主部件区域120和护膜框架150的开口的边缘之间。
参照图3、图5A和图5B,方法200包括框204,框204确定掩模设计1000中的通风部件插入区域1100。在一些实施例中,使用图5A和图5B中所示的模板形状1050来确定通风部件插入区域1100。在一些实施方式中,模板形状1050的形状可以是矩形或方形。在这些实施方式中,模板形状1050包括第一侧D1和第二侧D2。当第一侧D1与第二侧D2不同时,模板形状1050为矩形。当第一侧D1与第二侧D2相同时,模板形状1050为方形。在一些实例中,第一侧D1和第二侧D2中的每一个在约3μm和约10μm之间,诸如在约4μm和约6μm之间。基于起泡概率与无图案区域的尺寸成比例的假设,模板形状1050表示不可能起泡的最小合理区域。换言之,当无图案区域小于模板形状1050时,蒸汽可以轻易地通过主部件区域中的相邻图案(即开口)排出,而不会产生气泡。现参见图5A和图5B。为了确定通风部件插入区域1100,通过使用计算机系统将模板形状1050重复地装配到掩模设计中的无图案区域中,而不与主部件区域120中印刷部件1200的任意部分重叠,直到不再有模板形状1050能够装配到无图案区域的剩余部分中。因为仅允许完整的模板形状1050装配到通风部件插入区域1100中,所以通风部件插入区域1100包括整数个模板形状1050。如果无图案区域或其部分只能容纳模板形状1050的不完整部分,则该无图案区域或其部分从通风部件插入区域1100中排除。
框204处的操作可以考虑也可以不考虑与印刷部件1200的间距S。间距S表示印刷部件1200的边缘与要插入到框206处的通风部件插入区域1100中的通风部件的边缘之间的间距。在一些情况下,选择间距S以防止干扰主部件区域120的印刷。在图5A所示的一个实施例中,首先确定并指定距印刷部件1200的边缘的间距S,然后将模板形状1050重复且不重叠地装配到间隔S之外的无图案区域中。在图5B所示的另一实施例中,模板形状1050被重复且不重叠地装配到无图案区域中,而不首先识别与印刷部件1200的边缘的间距S。在确定了通风部件插入区域1100之后,然后将间距S从通风部件插入区域1100中排除。在一些实施例中,间距S是在约1μm与约1.5μm之间。
参照图3、图6A、图6B和图8,方法200包括框206,其中通风部件180被插入掩模设计1000的通风部件插入区域1100以获得修改的掩模设计1000。在框206处,计算机系统可以用于将通风部件180插入到通风部件插入区域1100中。根据框204处操作的执行方式,通风部件180可以插入到框206以完全填充如图6A所示的通风部件插入区域1100,或者部分填充通风部件插入区域1100,区域1100没有从印刷部件1200的边缘为间距S保留的区域,如图6B所示。通风部件180可由图7A、图7B和图7C中所示的各个示例性重复单元来表示。参考图7A,第一重复单元182可以包括细长条的矩形阵列,每个细长条沿着X方向纵向延伸。每个细长条具有沿X方向的长度L和沿Y方向的宽度W,并且细长条的矩形阵列具有Y方向间距P。在图7A中所表示的一些实现方式中,这些细长条中的每一个都沿着X方向和Y方向端对端地对齐。图7A中的细长条沿X方向彼此平行。参考图7B,第二重复单元184可以包括细长条的矩形阵列,每个细长条沿着Y方向纵向延伸。第一重复单元182中的每一个细长条,可以基本上类似于第二重复单元184中的细长条,但旋转90度。也就是说,第二重复单元184中的每个细长条具有沿Y方向的长度L和沿X方向的宽度W,并且所述细长条具有X方向间距P。与第一重复单元182类似,第二重复单元184中的细长条沿着X方向和Y方向端对端对齐。与图7A中的细长条不同,图7B中的细长条沿着Y方向彼此平行。图7C中所示的第三重复单元186包括不同于图7A和图7B中的设计。为了防止在图7A中沿Y方向形成长程间隙G1或在图7B中沿X方向形成长程间隙G2,第三重复单元186中的细长条仅沿Y方向对齐,但与X方向不对齐。第三重复单元186中的细长条可以具有与第二重复单元184中的相同的尺寸和间距。与第二重复单元184中的细长条一样,第三重复单元186中的细长条也沿着Y方向彼此平行。
本发明考虑印刷通风部件180和次分辨率(非印刷)通风部件180。顾名思义,印刷通风部件180反射足够的辐射(或具有足够的强度)以超过曝光阈值,从而使目标上的光刻胶层从一种状态过渡到另一种状态,从而允许形成图案。相反,亚分辨率通风部件180没有反射足够的辐射(或具有足够的强度)以超过曝光阈值,从而导致目标上的光刻胶层从一种状态转换到另一种状态。在通风部件180为亚分辨率通风部件的一些实施例中,长度L在约100nm和约2μm之间;宽度W在约4nm和约12nm之间;并且间距P在约20nm和约200nm之间。在这些实施例中,在主部件区域中确定通风部件插入区域1100,分隔区域和黑色边界区域以及通风部件180被插入通风部件插入区域1100中。在通风部件180是印刷部件的一些替代实施例中,在主部件区域中没有确定通风部件插入区域1100,因为印刷通风部件的存在可能影响器件性能。在这些备选实施例中,通风部件180的尺寸可被放大,并且通风部件180仅被插入分隔区域130和黑色边界区域140中的通风部件插入区域中。
将通风部件180插入在掩模设计1000的主部件区域120、分隔区域130和黑色边界区域140中确定的通风部件插入区域1100中之后,获得如图8所示的修改的掩模设计1000’。应注意的是,为了清楚和简单起见,从图8中省略了可以插入到主部件区域120中的通风部件插入区域1100(如果在框204处确定了任何通风部件)中的通风部件180。在通风部件180是印刷部件的实施例中,它们没有插入主部件区域120中。
参照图3和图9,方法200包括框208,其中基于修改的掩模设计1000’制造了修改的掩模100’。在一些实施例中,可使用沉积技术和电子束(E束)写入来制造修改的掩模100'。在一些实施例中,接收到包括掩模衬底、衬底上方的MLM层、MLM层上的覆盖层和MLM层上的空白吸收层的掩模空白,并且使用电子束写入器对吸收层进行图案填充。图9示意性地示出了修改的掩模100’的通风部件插入区域1100的局部截面图。修改的掩模100'包括通风部件插入区域1100中的通风部件180。通风部件插入区域1100可以位于主部件区域(例如图4中所示的主部件区域120)、分隔区域(例如图4中所示的分隔区域130)或者黑色边界区域(例如图4中所示的黑色边界区域140)。作为比较,图2中的掩模100在主部件区域,分隔区域和黑色边界区域中的无图案区域中没有任何通风部件180。在图2的掩模100中,分隔区域(例如图4所示的分隔区域130)和黑色边界区域(例如图4所示的黑色边界区域140)包括没有任何图案的连续吸收层。换言之,图2的掩模100中的分隔区域和黑色边界区域没有暴露下面的覆盖层106或MLM 104的开口。在一些实施例中,修改的掩模100'可以是掩模组件的一部分,所述掩模组件还包括类似于图8所示的防护膜150的护膜框架。
本发明的方法(例如图3中的方法200)可在IC设计布局的产生与掩模的实际制造之间的任何点处实施。现在参考图10,图10示出了根据本发明的各个方面的集成电路(IC)制造系统300及其相关的IC制造流程的简化框图。所述集成电路制造系统300包括多个实体,诸如,设计室310、掩模室320和集成电路制造厂/制备厂340(即,IC制造室)。这些实体在与集成电路器件350制造相关的设计、研发和制造周期和/或服务中交互。所述多个实体通过通信网络连接,所述通信网络可以是单个网络或各种不同的网络,例如内联网和因特网,并且可以包括有线和/或无线通信信道。每个实体可以与其他实体交互,并且可以向其他实体提供服务和/或从其他实体接收服务。设计室310,掩模室320和IC制造商340中的一个或多个可以具有共同的所有者,并且甚至可以共存于共同的设施中并使用共同的资源。
在各个实施例中,可以包括一个或多个设计团队的设计室310生成IC设计布局图312。IC设计布局图可以包括为IC器件350的制造而设计的各种几何图案。举例来说,几何图案可以对应于构成待制造的IC器件350的各种组件的金属、氧化物或半导体层的图案。各层组合形成所述IC器件350的各种部件。例如,IC设计布局的各个部分可以包括诸如有源区域、栅电极、源极和漏极区、金属互连件的金属线或通孔、用于接合焊盘的开口,以及本领域已知的将在半导体衬底(例如,硅晶圆)内形成的其他部件和设置在半导体衬底上的各种材料层。在各种示例中,设计室310实施设计过程以形成IC设计布局图。设计过程可以包括逻辑设计、物理设计和/或布置和布线。IC设计布局图312可以在一个或多个数据文件中呈现,所述数据文件具有与将用于制造IC器件350的几何图案相关的信息。在一些示例中,IC设计布局图312可以用GDSII文件格式或DFII文件格式表达。
在图10所示的一些实施例中,通风部件可以在设计室310处或由设计室310插入到IC设计布局图312中。本发明的方法(例如图3中的方法200)可作为通风部件插入360来执行和实施。在这些实施例中,由于通风部件与主要部件(即,印刷部件)隔开并且不干扰主要部件的印刷,所以设计室310可以在生成IC设计布局图312之后插入通风部件。
在一些实施例中,设计室310可以例如经由上述网络连接将IC设计布局图312发送到掩模室320。然后,掩模室320可以使用IC设计布局图312来制造一个或多个掩模,用于根据IC设计布局图312制造IC器件350的各个层。在各种示例中,掩模室320执行掩模数据准备322,其中IC设计布局图312被转换成可以由掩模写入器(例如电子束写入器)物理写入的形式,以及掩模制造330,其中通过掩模数据准备制备的设计布局图被修改以符合特定的掩模写入器和/或掩模制造商,然后进行制造。在图3的示例中,掩模数据准备322和掩模制作330示为单独的组件;然而,在一些实施例中,掩模数据制备322和掩模制作330可统称为掩模制备。
掩模数据准备322可以包括各种子操作。例如,掩模数据准备322可以包括逻辑操作(LOP)324、光学邻近校正(OPC)326和分裂328。在LOP 324中,IC设计布局图312中的部件尺寸可以调整为光刻工艺中相应的光刻胶特性。在OPC 326中,可以将次分辨率辅助特性(SRAF)和散射条插入IC设计布局图312以提高曝光分辨率。在分裂328中,IC设计布局图312中的部件、亚分辨率辅助特性(SRAF)和散射条可以由几何形状近似。上述关于方法200描述的掩模设计1000可以对应于在LOP 324、OPC 326或分裂328之后的IC设计布局图312或312的修改。
在图10所示的一些替代实施例中,通风部件可以由掩模室320插入到掩模数据准备322处。在这些替代实施例中,通风部件插入360可以是掩模数据准备322的子操作,并且可以与LOP 324、OPC 326或分裂328一起执行,在LOP 324和OPC 326之间,在OPC 326和分裂328之间,或者在分裂328和掩模制造330之间执行。
在掩模数据准备322之后和在掩模制造330期间,可基于修改的IC设计布局图(或修改的掩模设计,例如图9中的修改的掩模设计1000’)来制造一个掩模或一组掩模。例如,电子束(e-beam)或多个电子束的机制用于基于修改后的掩模电路设计在掩模(光掩模或中间掩模)上形成图案。可在各种技术中形成掩模。在一些实例中,使用相移技术形成掩模。在相移掩模(PSM)中,掩模上形成的图案中的各种部件被配置成具有预先配置的相位差,以增强图像分辨率和成像质量。在各个实例中,相移掩模可为衰减的相移掩模或交替的相移掩模。
在一些实施例中,IC制造商340,例如半导体代工厂,使用由掩模室320制造的掩模来将一个或多个掩模图案转移到晶圆上,从而在晶圆上制造IC器件350。IC制造商340可包括IC制造设施,制造设施可包括用于制造各种不同IC产品的各种制造设施。例如,IC制造商340可以包括用于多个IC产品的前端制造的第一制造设施(即,前道工序(FEOL))制造,而第二制造设施可提供用于IC产品的互连和封装的后端制造(即,后道工序(BEOL)制造)和第三个生产设施可为铸造业务提供其他服务(例如研发)。
本发明提出了多个实施例和多种优势。应当理解,将优势归因于实施例仅仅是为了清楚和便于理解。不同的实施例可以提供不同的优势,并且没有特定的优势对于任何一个实施例都是需要的。例如,本发明的方法允许将通风部件插入反射掩模的无图案区域,以防止辐射吸收层起泡,从而减少缺陷并改善反射掩模的寿命。
因此,本发明提供了一种具有防气泡通风部件的光刻掩模和用于形成掩模的方法。在一个实施例中,提供了一种光刻掩模组件。光刻掩模组件包括光刻掩模。该光刻掩模包括在衬底上方的覆盖层和设置在覆盖层上方的吸收层。吸收层包括第一主部件区域、第二主部件区域,以及设置在所述第一主部件区域与所述第二主部件区域之间的第一通风部件区域。第一通风部件区域包括多个通风部件。
在一些实施例中,覆盖层包括钌、氧化硅和/或非晶碳。在一些实施例中,吸收层包括Cr、TaN、TaO、TaBN、TiN、TaBO、Cr2O3、SiO2或SiN。在一些实施例中,多个通风部件包括平行布置的第一多个细长条。在一些实例中,第一多个细长条中的每一个的尺寸选择为使得第一多个细长构件在光刻工艺中不印刷,并且第一多个细长构件在光刻工艺中不影响第一主部件区域和第二主部件区域的印刷。在一些实施例中,光刻掩模组件可进一步包括设置在光刻掩模上的护膜框架。护膜框架包括用于曝光光刻掩模的一部分的开口,所述光刻掩模包括设置在所述第一主部件区域和所述开口之间的黑色边界区域。在一些实施例中,光刻掩模组件可进一步包括设置在黑色边界区域内的第二通风部件区域。在一些实施例中,第二通风部件区域包括平行布置的第二多个细长条。
在另一实施例中,提供了一种方法。所述方法包括接收包括第一主部件区域、第二主部件区域,以及第一主部件区域和第二主部件区域之间的分隔区域的光刻掩模设计,分别确定第一主部件区域、第二主部件区域以及分隔区域内的通风部件插入区域,在所述光刻掩模设计的通风部件插入区域中插入多个通风部件以创建修改的光刻掩模设计,并且基于所述修改的光刻掩模设计制造光刻掩模。
在一些实施例中,如果模板形状适合第一无图案区域,则确定通风部件插入区域包括将第一主部件区域、第二主部件区域和分隔区域中的第一无图案区域识别为通风部件插入区域的一部分,并且,如果模板形状不适合第二无图案区域,则从通风部件插入区域中排除第一主部件区域、第二主部件区域和分隔器区域中的第二无图案区域。在一些实施例中,模板形状是矩形,并且包括长度在约4μm和约6μm之间的侧。在一些实例中,所述方法可进一步包括在插入多个通风部件之后,对修改的光刻掩模设计执行光学邻近校正(OPC)。在一些实施例中,所述光刻掩模的制造包括,接收包括多层镜、在所述多层镜上方的覆盖层和在所述覆盖层上方的极紫外(EUV)吸收层的掩模衬底,以及使用电子束写入器对EUV吸收层进行图案化。在一些实例中,所述方法还可以包括将光刻掩模暴露于辐射,并且使用从第一主部件区域、第二主部件区域和通风部件插入区域反射的辐射来暴露工件。在这些情况下,保持由通风部件插入区域反射的辐射强度不超过工件的光刻胶的曝光阈值。在一些实施例中,将光刻掩模暴露于辐射包括将光刻掩模暴露于极紫外(EUV)辐射。
在另一实施例中,提供了方法。所述方法包括接收具有多个印刷部件和多个无图案区域的光刻掩模设计;在所述光刻掩模设计中,当模板形状适合所述多个无图案区域时,识别通风部件插入区域;在所述光刻掩模设计的通风部件插入区域中插入多个通风部件,以获得修改的光刻掩模设计;以及基于所述修改的光刻掩模设计制造光刻掩模。
在一些实施例中,所述方法可进一步包括在插入多个通风部件之后和在制造光刻掩模之前,对修改的光刻掩模设计执行光学邻近校正(OPC)。在一些实施例中,所述光刻掩模的制造包括,接收包括多层镜、在所述多层镜上方的覆盖层和在所述覆盖层上方的极紫外(EUV)吸收层的掩模衬底,以及通过从所述通风部件插入区域的至少一部分完全去除所述EUV吸收层以暴露所述多层镜来形成所述多个通风部件。在一些实例中,所述EUV吸收层包括Cr、TaN、TaO、TaBN、TiN、TaBO、Cr2O3、SiO2或SiN。在一些实施例中,所述多个通风部件呈细长形状,并彼此平行设置。
上面概述了若干实施例的部件,使得本领域技术人员可以更好地理解本发明的各方面。本领域技术人员应该理解,它们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其它工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中它们可以做出多种变化、替换以及改变。

Claims (10)

1.一种光刻掩模组件,包括:
光刻掩模组,所述光刻掩模组包括:
覆盖层,设置在衬底上方,以及
吸收层,设置在所述覆盖层上方,其中,所述吸收层包括:
第一主部件区域,
第二主部件区域,以及
第一通风部件区域,设置在所述第一主部件区域与所述第二主部件区域之间,其中,所述第一通风部件区域包括多个通风部件。
2.根据权利要求1所述的光刻掩模组件,其中,所述覆盖层包括钌、氧化硅和/或非晶碳。
3.根据权利要求1所述的光刻掩模组件,其中,所述吸收层包括Cr、TaN、TaO、TaBN、TiN、TaBO、Cr2O3、SiO2或SiN。
4.根据权利要求1所述的光刻掩模组件,其中,所述多个通风部件包括平行布置的第一多个细长条。
5.根据权利要求4所述的光刻掩模组件,
其中,所述第一多个细长条中每一个的尺寸选择为使得第一多个细长构件在光刻工艺中不印刷,
其中,所述第一多个细长构件在所述光刻工艺中不影响所述第一主部件区域和所述第二主部件区域的印刷。
6.根据权利要求1所述的光刻掩模组件,还包括护膜框架,
其中,护膜框架设置在光刻掩模上方,
其中,所述护膜框架包括开口,以暴露所述光刻掩模的一部分;
其中,所述光刻掩模包括设置在所述第一主部件区域和所述开口之间的黑色边界区域。
7.根据权利要求6所述的光刻掩模组件,还包括,设置在所述黑色边界区域内的第二通风部件区域。
8.根据权利要求7所述的光刻掩模组件,其中,所述第二通风部件区域包括平行布置的第二多个细长条。
9.一种制造光刻掩模组件的方法,包括:
接收光刻掩模设计,所述光刻掩模设计包括第一主部件区域、第二主部件区域以及第一主部件区域和第二主部件区域之间的分隔区域;
分别确定所述第一主部件区域、所述第二主部件区域和所述分隔区域内的通风部件插入区域;
在光刻掩模设计的通风部件插入区域中插入多个通风部件以产生修改的光刻掩模设计;以及
基于所述修改的光刻掩模设计制造光刻掩模。
10.一种制造光刻掩模组件的方法,包括:
接收光刻掩模设计,所述光刻掩模设计包括多个印刷部件和多个无图案区域;
当模板形状适合多个无图案区域时,在光刻掩模设计中识别通风部件插入区域;
在光刻掩模设计的通风部件插入区域中插入多个通风部件以获得修改的光刻掩模设计;以及
基于所述修改的光刻掩模设计制造光刻掩模。
CN202110312358.8A 2020-08-31 2021-03-24 光刻掩模组件及其制造方法 Pending CN113805426A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/007,920 2020-08-31
US17/007,920 US11402743B2 (en) 2020-08-31 2020-08-31 Mask defect prevention

Publications (1)

Publication Number Publication Date
CN113805426A true CN113805426A (zh) 2021-12-17

Family

ID=78892922

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110312358.8A Pending CN113805426A (zh) 2020-08-31 2021-03-24 光刻掩模组件及其制造方法

Country Status (3)

Country Link
US (3) US11402743B2 (zh)
CN (1) CN113805426A (zh)
TW (1) TWI794788B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112859508A (zh) * 2019-11-27 2021-05-28 台湾积体电路制造股份有限公司 集成电路制造方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11604406B2 (en) * 2019-07-24 2023-03-14 Intel Corporation Method and apparatus for fabrication of very large scale integration pattern features via electroless deposition on extreme ultraviolet lithography photomasks

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6015640A (en) * 1998-03-26 2000-01-18 Euv Llc Mask fabrication process
JP2003280175A (ja) * 2002-03-20 2003-10-02 Toray Ind Inc フォトマスク
CN1668978A (zh) * 2001-09-19 2005-09-14 英特尔公司 用于相移式掩膜的原位平衡
US20150227037A1 (en) * 2014-02-12 2015-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and Method of Photomask with Reduction of Electron-Beam Scatterring
CN105074576A (zh) * 2013-03-15 2015-11-18 卡尔蔡司Smt有限责任公司 极紫外光刻的光学元件和光学系统及处理这种光学元件的方法
CN105319832A (zh) * 2014-07-10 2016-02-10 台湾积体电路制造股份有限公司 用于光刻工艺的辅助部件
US20190196321A1 (en) * 2017-12-21 2019-06-27 Samsung Electronics Co., Ltd. Euv mask blank, photomask manufactured by using the euv mask blank, lithography apparatus using the photomask and method of fabricating semiconductor device using the photomask
CN109960104A (zh) * 2017-12-22 2019-07-02 台湾积体电路制造股份有限公司 具有黑色边界区域的光刻掩模及其制造方法
CN110050310A (zh) * 2016-12-06 2019-07-23 卡尔蔡司Smt有限责任公司 修复euv光刻的反射光学元件的方法
CN110554562A (zh) * 2018-05-30 2019-12-10 台湾积体电路制造股份有限公司 掩模
US20200004133A1 (en) * 2018-06-29 2020-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for euv lithography and method of manufacturing the same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6492067B1 (en) 1999-12-03 2002-12-10 Euv Llc Removable pellicle for lithographic mask protection and handling
CN1761913B (zh) 2003-03-28 2010-04-28 Hoya株式会社 光刻掩膜板的制造方法
JP5015891B2 (ja) 2008-10-02 2012-08-29 信越化学工業株式会社 金属酸化物含有膜形成用組成物、金属酸化物含有膜形成基板及びパターン形成方法
JP5821397B2 (ja) 2011-08-16 2015-11-24 富士通セミコンダクター株式会社 極紫外露光マスク用防塵装置及び露光方法
JP2014127630A (ja) 2012-12-27 2014-07-07 Asahi Glass Co Ltd Euvリソグラフィ用反射型マスクブランクおよびその製造方法
US9709884B2 (en) 2014-11-26 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and manufacturing method by using the same
US20170053058A1 (en) 2015-08-21 2017-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Model-based rule table generation
US10018920B2 (en) 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
CN108132585B (zh) 2016-12-01 2020-02-07 清华大学 微纳米结构的制备方法
US11237472B2 (en) 2017-03-02 2022-02-01 Hoya Corporation Reflective mask blank, reflective mask and manufacturing method thereof, and semiconductor device manufacturing method

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6015640A (en) * 1998-03-26 2000-01-18 Euv Llc Mask fabrication process
CN1668978A (zh) * 2001-09-19 2005-09-14 英特尔公司 用于相移式掩膜的原位平衡
JP2003280175A (ja) * 2002-03-20 2003-10-02 Toray Ind Inc フォトマスク
CN105074576A (zh) * 2013-03-15 2015-11-18 卡尔蔡司Smt有限责任公司 极紫外光刻的光学元件和光学系统及处理这种光学元件的方法
US20150227037A1 (en) * 2014-02-12 2015-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and Method of Photomask with Reduction of Electron-Beam Scatterring
CN105319832A (zh) * 2014-07-10 2016-02-10 台湾积体电路制造股份有限公司 用于光刻工艺的辅助部件
CN110050310A (zh) * 2016-12-06 2019-07-23 卡尔蔡司Smt有限责任公司 修复euv光刻的反射光学元件的方法
US20190196321A1 (en) * 2017-12-21 2019-06-27 Samsung Electronics Co., Ltd. Euv mask blank, photomask manufactured by using the euv mask blank, lithography apparatus using the photomask and method of fabricating semiconductor device using the photomask
CN109960104A (zh) * 2017-12-22 2019-07-02 台湾积体电路制造股份有限公司 具有黑色边界区域的光刻掩模及其制造方法
CN110554562A (zh) * 2018-05-30 2019-12-10 台湾积体电路制造股份有限公司 掩模
US20200004133A1 (en) * 2018-06-29 2020-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for euv lithography and method of manufacturing the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112859508A (zh) * 2019-11-27 2021-05-28 台湾积体电路制造股份有限公司 集成电路制造方法

Also Published As

Publication number Publication date
US11402743B2 (en) 2022-08-02
TWI794788B (zh) 2023-03-01
US20220066312A1 (en) 2022-03-03
US20230367197A1 (en) 2023-11-16
US20220350235A1 (en) 2022-11-03
TW202210936A (zh) 2022-03-16
US11860530B2 (en) 2024-01-02

Similar Documents

Publication Publication Date Title
CN111948897B (zh) 用于光刻工艺的辅助部件
US20230367197A1 (en) Mask Defect Prevention
US9733562B2 (en) Extreme ultraviolet lithography process and mask
US10353285B2 (en) Pellicle structures and methods of fabricating thereof
US20050084768A1 (en) Attenuated phase shift mask for extreme ultraviolet lithography and method therefore
US11073755B2 (en) Mask with multilayer structure and manufacturing method by using the same
KR101713382B1 (ko) 극자외선 리소그래피 공정 및 마스크
US9244366B2 (en) Extreme ultraviolet lithography process and mask
US9448491B2 (en) Extreme ultraviolet lithography process and mask
KR20150110276A (ko) 향상된 결함 복원성을 갖는 집적 회로를 제조하는 방법
US11982936B2 (en) Photomask and method of fabricating a photomask
TW201918787A (zh) 光罩
US11143954B2 (en) Mask patterns and method of manufacture

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination