TW202210936A - 預防遮罩缺陷 - Google Patents

預防遮罩缺陷 Download PDF

Info

Publication number
TW202210936A
TW202210936A TW110113288A TW110113288A TW202210936A TW 202210936 A TW202210936 A TW 202210936A TW 110113288 A TW110113288 A TW 110113288A TW 110113288 A TW110113288 A TW 110113288A TW 202210936 A TW202210936 A TW 202210936A
Authority
TW
Taiwan
Prior art keywords
area
photolithography mask
mask
region
photolithography
Prior art date
Application number
TW110113288A
Other languages
English (en)
Other versions
TWI794788B (zh
Inventor
陸埼達
涂志強
林政旻
陳慶躍
胡威仲
許廷彰
陳昱彤
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202210936A publication Critical patent/TW202210936A/zh
Application granted granted Critical
Publication of TWI794788B publication Critical patent/TWI794788B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • G03F1/64Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof characterised by the frames, e.g. structure or material, including bonding means therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)

Abstract

本發明實施例揭露一種根據本揭露之光微影遮罩總成,其帶有一光微影遮罩。該光微影遮罩包含一基板上方之一頂蓋層及放置於該頂蓋層上方之一吸收層。該吸收層包含一第一主構件區域、一第二主構件區域及放置於該第一主構件區域與該第二主構件區域之間的一排氣構件區域。該排氣構件區域包含複數個排氣構件。

Description

預防遮罩缺陷
本發明實施例係有關預防遮罩缺陷。
半導體積體電路(IC)行業已經歷快速增長。在IC演進之進程中,功能密度(即,每一晶片面積之互連裝置之數目)已大致增加,而幾何形狀大小(即,可使用一製程產生之最小組件(或線))已減小。此按比例縮小製程大致藉由增大生產效率且降低相關聯成本而提供優勢。此按比例縮小亦已增加處理及製造IC之複雜性,且為實現此等進展,需要IC製造中之類似開發。
例如,用反射式光微影補充或替換傳統透射光微影。IC通常藉由使用一組光微影遮罩使一半導體基板上之構件分層而組裝。透射遮罩具有藉由透射區域形成之圖案。在光微影曝光期間,輻射(諸如紫外光)在照射基板上之一光阻劑塗層之前通過遮罩之透射區域。遮罩將圖案轉印至光阻劑上。相比而言,一反射遮罩包含反射及非反射區域。在曝光期間,從遮罩反射之光用於在基板上形成圖案。在任一類型之曝光之後,選擇性地移除光阻劑以顯露圖案。接著,基板經歷處理步驟,其等利用剩餘光阻劑之形狀以在基板上產生電路構件。當處理步驟完成時,重新施覆光阻劑且使用下一遮罩曝光基板。如此,使構件分層以產生最終電路。
反射遮罩在許多應用中係有利的,此係因為其等可結合相對更高頻率輻射(諸如極紫外(EUV)輻射)使用。用於EUV輻射之反射遮罩包含一遮罩基板、遮罩基板上方充當一鏡之一反射層及反射層上方之一EUV吸收層。EUV吸收層經圖案化以選擇性地曝光下層反射層,使得入射EUV輻射被剩餘EUV吸收層吸收,且被曝光之反射層反射。用於EUV輻射之習知反射遮罩包含無圖案區域,其中EUV吸收層之連續跨度不含任何圖案。曝光於EUV輻射可在無圖案區域中造成起泡或甚至脫層,從而影響遮罩之品質及壽命。因此,雖然現有反射遮罩及反射微影通常足以用於其等預期目的,但其等並非在所有態樣中係令人滿意的。
本發明的一實施例係關於一種光微影遮罩總成,其包括:一光微影遮罩,其包括:一頂蓋層,其在一基板上方,及一吸收層,其放置於該頂蓋層上方,其中該吸收層包括:一第一主構件區域,一第二主構件區域,及一第一排氣構件區域,其放置於該第一主構件區域與該第二主構件區域之間,其中該第一排氣構件區域包括複數個排氣構件。
本發明的一實施例係關於一種方法,其包括:接收一光微影遮罩設計,其包括一第一主構件區域、一第二主構件區域及在該第一主構件區域與該第二主構件區域之間的一分隔區域;分別判定該第一主構件區域、該第二主構件區域及該分隔區域內之一排氣構件插入區域;將複數個排氣構件插入該光微影遮罩設計之該排氣構件插入區域中以產生一經修改光微影遮罩設計;及基於該經修改光微影遮罩設計製造一光微影遮罩。
本發明的一實施例係關於一種方法,其包括:接收一光微影遮罩設計,其包括複數個列印構件及複數個無圖案區域;當一模板形狀配合於該複數個無圖案區域內時,在該光微影遮罩設計中識別一排氣構件插入區域;將複數個排氣構件插入該光微影遮罩設計之該排氣構件插入區域中以獲得一經修改光微影遮罩設計;及基於該經修改光微影遮罩設計製造一光微影遮罩。
本揭露大體係關於用於IC裝置製造之反射遮罩,且更特定言之係關於一種具有排氣構件以防止反射遮罩缺陷之反射遮罩。
下列揭露提供用於實施本揭露之不同構件之許多不同實施例或實例。在下文描述組件及配置之特定實例以簡化本揭露。當然,此等僅為實例且並不意欲為限制性的。例如,在下列描述中之將一第一構件形成在一第二構件上方或上可包含其中將第一構件及第二構件形成為直接接觸之實施例,且亦可包含其中可在第一構件與第二構件之間形成額外構件,使得第一構件及第二構件可不直接接觸之實施例。另外,本揭露可在各種實例中重複元件符號及/或字母。此重複係出於簡單及清晰之目的且本身並不指示所論述之各種實施例及/或構形之間的一關係。
再者,在以下本揭露中之將一構件形成在另一構件上,連接至另一構件及/或耦合至另一構件可包含其中將該等構件形成為直接接觸之實施例,且亦可包含其中可形成插置於該等構件之額外構件,使得該等構件可不直接接觸之實施例。另外,空間相對術語(例如,「下」、「上」、「水平」、「垂直」、「上方」、「上面」、「下方」、「下面」、「向上」、「向下」、「頂部」、「底部」等)以及其等之衍生詞(例如,「水平」、「向下」、「向上」等)用於方便本揭露中一個構件與另一構件之關係。空間相對術語旨在覆蓋包含該等構件之裝置之不同定向。
仍進一步,當用「約」、「近似」及類似物描述一數字或一數字範圍時,該術語旨在涵蓋在包含所描述數字之一合理範圍內之數字,例如在所描述之數字之+/- 10%之內或熟習此項技術者理解的其他值。例如,術語「約5 nm」涵蓋從4.5 nm至5.5 nm之尺寸範圍。
已採用反射遮罩用於最先進光微影輻射源,諸如一極紫外(EUV)輻射源。用於EUV輻射源之一反射遮罩包含一遮罩基板、遮罩基板上方之一反射層及反射層上方之一EUV吸收層。EUV吸收層經圖案化以選擇性地曝光下層反射層,使得入射EUV輻射被剩餘EUV吸收層吸收,且被曝光之反射層反射。一反射遮罩可包含複數個主構件區域,其等之各者包含將在一光阻劑承載工件上列印之EUV吸收圖案。就此而言,複數個主構件區域亦可稱為列印構件區域。複數個主構件區域之各者可界定於無圖案區域內或由無圖案區域圍繞,其中EUV吸收層未經圖案化。相鄰主構件區域藉由無圖案區域間隔開。另外,無圖案區域可存在於反射遮罩之邊緣與主構件區域之間。反射遮罩之邊緣與主構件區域之間的無圖案區域可為或包含所謂的黑色邊界區域。當一EUV反射遮罩由一護膜框架上之一護膜保護時,未被護膜框架覆蓋之黑色邊界區域可位於一或多個主構件區域與護膜框架之敞開邊緣之間。在一些例項中,各種大小之無圖案區域亦可存在於複數個主構件區域中。已經觀察到,在無圖案區域中之EUV吸收層中可產生氣泡,但在具有密集圖案之區域中很少觀察到氣泡。雖然氣泡產生之機構仍在研究中,但證據表明起泡可由有意或無意併入EUV吸收層中之化合物的氣化引起。此等化合物可包含(例如)水。已理論化為,當此等化合物氣化並且由於在無圖案區域中之連續EUV吸收層而無法逸出時,氣化化合物將導致在EUV吸收層下方的起泡。當愈來愈多氣泡形成時,其等可聚集以變為一更大氣泡。更大氣泡可進一步聚集以形成一氣穴,從而導致EUV吸收層之脫層。EUV輻射源之能量之增加可加劇起泡且加速脫層。因此,起泡可為一EUV反射遮罩中之缺陷之來源且亦可縮短一EUV反射遮罩之壽命。
本揭露提供減少EUV反射遮罩中之缺陷且改良其等壽命之方法及遮罩設計。本揭露之方法可識別一遮罩設計中之排氣構件插入區域且將排氣構件插入排氣構件插入區域。顧名思義,排氣構件係EUV吸收層中用以提供氣化化合物之出口之開口,藉此防止起泡及脫層。取決於其等在主構件該區域內或外之位置,排氣構件可為非列印(亞解析度)或列印構件。例如,若排氣構件被插入主構件區域中,則其等可為非列印構件。然而,若排氣構件僅被插入主構件區域外側之無圖案區域中,則其等可為列印構件或非列印構件。
圖1中繪示一微影系統10之一示意圖。一般亦可稱為一掃描儀之微影系統10可操作以執行一微影曝光製程。在所繪示之實施例中,微影系統10係一極紫外(EUV)微影系統,其經設計以使用具有範圍在約1 nm與約100 nm之間的一波長之EUV輻射曝光一工件。在一些例示性實施例中,微影系統10包含一輻射源12,該輻射源12產生具有以13.5 nm為中心之一波長之EUV輻射。在一項此實施例中,輻射源12利用雷射產生電漿(LPP)以藉由使用一雷射將諸如錫滴之一介質加熱成一高溫電漿來產生EUV輻射。微影系統10亦可包含一照明器14,該照明器14聚焦且塑形由輻射源12產生之輻射。照明器14可包含折射光學組件(包含單透鏡及/或陣列透鏡(例如,波帶片))且可包含反射光學組件(包含單鏡及/或鏡陣列)。為清楚起見,已減少圖1中展示之光學組件之數目,不過在實際實施例中,照明器14包含數十個或甚至數百個透鏡及/或鏡。光學組件經配置且對準以將由輻射源12發射之輻射投射於一遮罩載台16上保持之一遮罩100上。照明器14之光學組件亦可沿著光路徑塑形輻射,以便在遮罩100上產生一特定照明圖案。
在從遮罩100反射離開之後,透過一投射光學器件模組18 (亦稱為一投射光學器件盒(POB))引導輻射。類似於照明器14,投射光學器件模組18可包含折射光學組件(包含單透鏡及/或陣列透鏡(例如,波帶片))且可包含反射光學組件(包含單鏡及/或鏡陣列)。投射光學器件模組18之光學組件經配置且對準以引導從遮罩100反射離開之光且將其投射於一基板載台22中保持之一工件20 (諸如所繪示之半導體基板或任何其他適當工件)上。除了引導輻射外,投射光學器件模組18之光學組件亦可使沿著光路徑之輻射放大、變窄、聚焦及/或以其他方式塑形。藉由工件20上之投射光學器件模組18投射之輻射導致目標之一光敏組件改變。在一常見實例中,工件20包含具有一光敏光阻劑層之一半導體基板。曝光於輻射之光敏光阻劑層之部分經歷一化學轉變,從而使其等對一顯影製程更敏感或更不敏感。在一例示性實施例中,在曝光之後,光敏光阻劑層經歷一曝光後烘烤、顯影、沖洗及乾燥,以便完成轉變。對半導體基板執行之後續處理步驟可使用圖案來選擇性地處理基板之部分。
遮罩100可具有圖2中繪示之一構造。在一些實施例中,遮罩100包含一基板102,該基板102具有放置於基板102上之一反射器(或反射層)(諸如一多層鏡(MLM) 104)。繼而,一吸收層108放置於MLM 104上。在下文中詳細描述基板102、MLM 104及吸收層108之組合物。然而,依高階,其中存在吸收層108之遮罩100之區域吸收入射輻射,而其中不存在吸收層108之遮罩100之區域將入射輻射反射朝向一目標。
基板102通常包含一低熱膨脹材料(LTEM)。例示性低熱膨脹材料包含石英,以及LTEM玻璃、矽、碳化矽、氧化矽、氧化鈦、Black Diamond® (Applied Materials之一商標)及/或技術中已知之其他低熱膨脹物質。為了支撐基板102,可將一卡盤層(諸如一靜電卡盤層或一機械卡盤)附接至基板102之背側。例示性靜電卡盤層材料包含氮化鉻(CrN)、氮氧化鉻(CrON)、鉻(Cr)、氮化鉭硼(TaBN)及矽化鉭(TaSi)。MLM 104放置於基板102之前側上方。MLM 104係極適合EUV輻射之一反射結構之一典型實例。一MLM包含若干交替材料層而非一單一反射表面。交替對之典型數目範圍在從20至80,不過MLM 104可包含任何數目個對。選擇層數目、層厚度及層材料以基於曝光輻射及其性質(諸如波長及/或入射角)提供所要反射性。例如,層厚度可經定製以達成在護膜對之各介面處反射之EUV輻射之最大相長干擾,同時達成由MLM 104對極紫外輻射之一最小吸收。同樣地,用於各交替對之材料可基於其等折射率而選擇。在一例示性實施例中,MLM 104包含四十對交替之鉬及矽(Mo-Si)層。在一進一步例示性實施例中,MLM 104包含六十對交替之鉬及鈹(Mo-Be)層。
一頂蓋層106 (亦稱為一緩衝層)可放置於MLM 104上方。在一實施例中,頂蓋層106在一蝕刻及/或修補製程期間保護MLM 104。頂蓋層106可包含材料,諸如釕(Ru)、二氧化矽(SiO2 )及/或非晶碳。吸收層108放置於頂蓋層106上且可包含鉻(Cr)、氮化鉭(TaN)、氧化鉭(TaO)、氮化鉭硼(TaBN)、氮化鈦(TiN)、其等之組合及/或其他適當吸收材料。在一些實施例中,吸收層110含有多層吸收材料,例如,鉻層及氮化鉭層。吸收層亦可包含一抗反射塗層(ARC)。適當ARC材料包含鉭硼氧化物(TaBO)、氧化鉻(Cr2 O3 )、氧化矽(SiO2 )、氮化矽(SiN)、氧化鉭(TaO5 )、氮氧化鉭(TaON)及/或其他適當材料。MLM 104、頂蓋層106及吸收層108可藉由各種方法放置於基板102上,包含物理氣相沉積(PVD)製程(諸如蒸鍍及DC磁控濺鍍)、一鍍覆製程(諸如無電式電鍍或電鍍)、一化學氣相沉積(CVD)製程(諸如大氣壓CVD (APCVD)、低壓CVD (LPCVD)、電漿輔助CVD (PECVD)或高密度電漿CVD (HDP CVD))、離子束沉積、旋塗塗佈及/或技術中已知之其他方法。在一實施例中,吸收層108藉由一濺鍍沉積技術沉積以達成具有相對低缺陷及良好黏附性之一受控厚度及均勻性。上文描述之一或多個層之組合物及/或實體結構可基於待配合遮罩100使用之輻射之反射率/吸收性、與相鄰層之應力相容性及/或技術中已知之其他準則選擇。
如上文描述,當吸收層108在一無圖案區域中連續延伸時,吸收層108或頂蓋層106中之化合物之蒸氣可無法輕易逸出且可在吸收層108下方形成氣泡。圖3係用於將排氣構件插入遮罩100中之一方法200之一流程圖。下文將結合圖4、圖5A、圖5B、圖6A、圖6B、圖7A、圖7B、圖7C、圖8及圖9描述方法200之操作。應理解,可在方法200之前、期間及之後提供額外步驟,且可針對方法200之其他實施例取代或消除一些所描述之步驟。
參考圖3及圖4,方法200包含一方塊202,其中接收一遮罩設計1000。在一些實施例中,遮罩設計1000包含主構件區域120,其等之各者包含吸收層中之列印構件之圖案。雖然圖4繪示六個矩形主構件區域120,但本揭露不限於此。根據本揭露之實施例之一遮罩設計1000可包含超過6個主構件區域且主構件區域之各者可包含一多邊形形狀,諸如一方形或一矩形。另外,主構件區域120可不沿著X及Y方向對準,如圖4中繪示。主構件區域120之各者可界定於相鄰主構件區域120之間或圍繞遮罩設計1000之邊緣之無圖案區域內且由該等無圖案區域圍繞。如上文參考圖2描述,主構件區域120之各者包含吸收層中之密集列印圖案,而無圖案區域中之吸收層不含圖案。為便於參考,遮罩設計1000之邊限中之無圖案區域可稱為黑色邊界區域140且相鄰主構件區域120之間的無圖案區域可稱為分隔區域130。雖然在圖4中未明確展示,但主構件區域120之各者亦可包含無圖案區域。黑色邊界區域140可曝光於圖4中代表性地展示之一護膜框架150之一開口中。參考護膜框架150,黑色邊界區域140放置於主構件區域120與護膜框架150之開口邊緣之間。
參考圖3、圖5A及圖5B,方法200包含一方塊204,其中判定遮罩設計1000中之一排氣構件插入區域1100。在一些實施例中,憑藉使用圖5A及圖5B中展示之一模板形狀1050判定一排氣構件插入區域1100。在一些實施方案中,模板形狀1050可為矩形或方形形狀。在此等實施方案中,模板形狀1050包含一第一側D1及一第二側D2。當第一側D1不同於第二側D2時,模板形狀1050為矩形。當第一側D1與第二側D2相同時,模板形狀1050為方形。在一些例項中,第一側D1及第二側D2之各者在約3 µm與約10 µm之間,諸如在約4 µm與約6 µm之間。基於起泡概率與無圖案區域之尺寸成比例之假設,模板形狀1050表示不可能起泡之最小合理區域。換言之,當一無圖案區域小於模板形狀1050時,蒸氣可輕易通過一主構件區域中之相鄰圖案(即,開口)排氣而不傾向於產生一氣泡。現參考圖5A及圖5B。為了判定一排氣構件插入區域1100,藉由使用一電腦系統將模板形狀1050重複地配合至遮罩設計中之一無圖案區域中,而不與主構件區域120中之任何列印構件1200重疊,直至無更多模板形狀1050可配合至剩餘無圖案區域中為止。因為僅容許完整之模板形狀1050配合於一排氣構件插入區域1100中,故一排氣構件插入區域1100包含整數個模板形狀1050。若一無圖案區域或其之一部分可僅容納模板形狀1050之一欠完整部分,則從排氣構件插入區域1100排除該無圖案區域或其之部分。
方塊204之操作可考慮或不考慮距列印構件1200之一間距S。間距S表示在方塊206列印構件1200之一邊緣與待插入排氣構件插入區域1100中之一排氣構件之一邊緣之間的間距。在一些例項中,選擇間距S來防止對主構件區域120之列印的干擾。在圖5A中表示之一項實施例中,首先判定且指定距列印構件1200之邊緣之間距S,且接著,將模板形狀1050重複且不重疊地配合於間距S外之無圖案區域中。在圖5B中表示之另一實施例中,將模板形狀1050重複且不重疊地配合於無圖案區域中,而不首先識別距列印構件1200之邊緣之間距S。在判定排氣構件插入區域1100之後,接著從排氣構件插入區域1100排除間距S。在一些實施例中,間距S在約1 µm與約1.5 µm之間。
參考圖3、圖6A、圖6B及圖8,方法200包含一方塊206,其中排氣構件180插入遮罩設計1000之排氣構件插入區域1100中以獲得一經修改遮罩設計1000’。在方塊206,可使用一電腦系統來將排氣構件180插入排氣構件插入區域1100中。取決於如何執行方塊204之操作,可在方塊206插入排氣構件180以完全填充排氣構件插入區域1100,如在圖6A中展示,或部分填充排氣構件插入區域1100,除了為距列印構件1200之邊緣之間距S保留之區域外,如在圖6B中展示。排氣構件180可藉由圖7A、圖7B及圖7C中展示之各種實例重複單元表示。參考圖7A,一第一重複單元182可包含長形條之一矩形陣列,其等之各者沿著X方向縱向延伸。長形條之各者具有沿著X方向之一長度L及沿著Y方向之一寬度W,且長形條之矩形陣列具有一Y方向節距P。在圖7A中表示之一些實施方案中,長形條之各者在X方向及Y方向兩者上端對端對準。圖7A中之長形條沿著X方向彼此平行。參考圖7B,一第二重複單元184可包含長形條之一矩形陣列,其等之各者沿著Y方向縱向延伸。第一重複單元182中之長形條之各者可實質上類似於第二重複單元184中之長形條之各者,但旋轉達90度。即,第二重複單元184中之各長形條具有沿著Y方向之一長度L,沿著X方向之一寬度W,且長形條具有一X方向節距P。類似於第一重複單元182,第二重複單元184中之長形條在X方向及Y方向兩者上端對端對準。不同於圖7A中之長形條,圖7B中之長形條沿著Y方向彼此平行。圖7C中展示之一第三重複單元186包含不同於圖7A及圖7B中之一設計。為了防止形成沿著圖7A之Y方向之一長距離間隙G1或沿著圖7B之X方向之一長距離間隙G2,第三重複單元186中之長形條僅沿著Y方向對準,但圍繞X方向未對準。第三重複單元186中之長形條可具有與第二重複單元184中之長形條類似之尺寸及節距。如同第二重複單元184中之長形條,第三重複單元186中之長形條亦沿著Y方向彼此平行。
本揭露考慮列印排氣構件180及亞解析度(非列印)排氣構件180兩者。顧名思義,列印排氣構件180反射足夠輻射(或具有一足夠強度)以超過一曝光臨限值,且藉此使目標上之一光阻劑層從一個狀態轉變至另一狀態,從而容許顯影一圖案。相反地,亞解析度排氣構件180並不反射足夠輻射(或具有一足夠強度)以超過一曝光臨限值且藉此使目標上之一光阻劑層從一個狀態轉變至另一狀態。在其中排氣構件180為亞解析度排氣構件之一些實施例中,長度L在約100 nm與約2 µm之間;寬度W在約4 nm與約12 nm之間;且節距P在約20 nm與約200 nm之間。在此等實施例中,判定主構件區域、分隔區域及黑色邊界區域中之排氣構件插入區域1100,且將排氣構件180插入排氣構件插入區域1100中。在其中排氣構件180為列印構件之一些替代性實施例中,未在主構件區域中判定排氣構件插入區域1100,此係因為列印排氣構件之存在可影響裝置效能。在此等替代性實施例中,排氣構件180之尺寸可放大,且排氣構件180僅插入分隔區域130及黑色邊界區域140中之排氣構件插入區域中。
在排氣構件180插入遮罩設計1000之主構件區域120、分隔區域130及黑色邊界區域140中判定之排氣構件插入區域1100中之後,獲得如在圖8中展示之一經修改遮罩設計1000’。注意,為清楚且簡單起見,可從圖8省略可插入主構件區域120中之排氣構件插入區域1100 (若在方塊204判定任何排氣構件插入區域1100)中之排氣構件180。在其中排氣構件180為列印構件之實施例中,其等未插入主構件區域120中。
參考圖3及圖9,方法200包含一方塊208,其中基於經修改遮罩設計1000’製造一經修改遮罩100’。在一些實施例中,經修改遮罩100’可使用沉積技術及電子束(electron-beam/E-beam)寫入製造。在一些實施例中,接收包含一遮罩基板、基板上方之一MLM層、MLM層上方之一頂蓋層及MLM層上方之一坯料吸收層之一遮罩坯料,且使用一電子束寫入器來圖案化吸收層。在圖9中示意性繪示經修改遮罩100’之一排氣構件插入區域1100之一片段橫截面視圖。經修改遮罩100’包含排氣構件插入區域1100中之排氣構件180。排氣構件插入區域1100可處於主構件區域(諸如圖4中展示之主構件區域120)、分隔區域(諸如圖4中展示之分隔區域130)或黑色邊界區域(諸如圖4中展示之黑色邊界區域140)中。作為比較,圖2中之遮罩100在主構件區域、分隔區域及黑色邊界區域中之無圖案區域中不具有任何排氣構件180。在圖2之遮罩100中,分隔區域(諸如圖4中展示之分隔區域130)或黑色邊界區域(諸如圖4中展示之黑色邊界區域140)包含不含任何圖案之一連續吸收層。換言之,圖2之遮罩100中之分隔區域及黑色邊界區域不含曝光下層頂蓋層106或MLM 104之開口。在一些實施例中,經修改遮罩100’可為亦包含類似於圖8中展示之護膜150之一護膜框架之一遮罩總成之部分。
本揭露之方法(諸如圖3中之方法200)可在一IC設計佈局之產生與(若干)遮罩之實際製造之間的任何點實施。現參考圖10,其繪示可獲益於本揭露之各種態樣之一積體電路(IC)製造系統300及相關聯之IC製造流程之一簡化方塊圖。IC製造系統300包含複數個實體,諸如一設計室310、一遮罩室320及一IC製造商340 (即,一IC製造廠),其等在與製造一積體電路(IC)裝置350相關之設計、顯影及製造週期及/或服務中彼此互動。複數個實體藉由一通信網路(其可為一單一網路或各種不同網路,諸如一內部網路及網際網路)連接,且可包含有線及/或無線通信頻道。各實體可與其他實體互動且可提供服務至其他實體及/或從其他實體接收服務。設計室310、遮罩室320及IC製造商340之一或多者可具有一共同擁有者,且可甚至共存於一共同設施中且使用共同資源。
在各種實施例中,可包含一或多個設計團隊之設計室310產生一IC設計佈局312。IC設計佈局可包含針對IC裝置350之製造設計之各種幾何圖案。藉由實例,幾何圖案可對應於組成待製造之IC裝置350之各種組件之金屬、氧化物或半導體層之圖案。各種層組合以形成IC裝置350之各種構件。例如,IC設計佈局之各種部分可包含構件,諸如一主動區域、一閘極電極、源極及汲極區域、一金屬互連件之金屬線或通路、接合墊之開口以及技術中已知之待在一半導體基板(例如,諸如一矽晶圓)內形成之其他構件,及放置於半導體基板上之各種金屬層。在各種實例中,設計室310實施一設計程序以形成IC設計佈局。設計程序可包含邏輯設計、實體設計及/或置放及選路。IC設計佈局312可呈現為具有與待用於製造IC裝置350之幾何圖案相關之資訊之一或多個資料檔案。在一些實例中,IC設計佈局312可以一GDSII檔案格式或DFII檔案格式表達。
在圖10中表示之一些實施例中,排氣構件可在設計室310處或由設計室310插入IC設計佈局312中。本揭露之方法(諸如圖3中之方法200)可被執行且實施為排氣構件插入360。在此等實施例中,因為排氣構件與主構件(即,列印構件)間隔開且不干擾主構件之列印,故設計室310可在產生IC設計佈局312之後插入其等。
在一些實施例中,設計室310可(例如)經由上文描述之網路連接將IC設計佈局312傳輸至遮罩室320。遮罩室320可接著使用IC設計佈局312來製造一或多個遮罩以用於根據IC設計佈局312製造IC裝置350之各種層。在各種實例中,遮罩室320執行遮罩資料製備322 (其中IC設計佈局312經轉換為可藉由一遮罩寫入器(諸如一電子束寫入器)實體寫入之一形式)及遮罩製造330 (其中藉由遮罩資料製備製備之設計佈局經修改以符合一特定遮罩寫入器及/或遮罩製造商,且接著被製造)。在圖3之實例中,遮罩資料製備322及遮罩製造330被繪示為單獨元件;然而,在一些實施例中,遮罩資料製備322及遮罩製造330可共同稱為遮罩製備。
遮罩資料製備322可包含各種子操作。例如,遮罩資料製備322可包含邏輯操作(LOP) 324、光學近接校正(OPC) 326及破裂(fracture) 328。在LOP 324中,可依光微影製程中之對應光阻劑構件調整IC設計佈局312中之構件尺寸。在OPC 326中,亞解析度輔助構件(SRAF)及散射條可插入IC設計佈局312中以增強曝光解析度。在破裂328中,IC設計佈局312中之構件、亞解析度輔助構件(SRAF)及散射條可藉由幾何形狀近似。上文參考方法200描述之遮罩設計1000可對應於在LOP 324、OPC 326或破裂328後之IC設計佈局312或其之修改。
在圖10中表示之一些替代性實施例中,排氣構件可由遮罩室320在遮罩資料製備322插入。在此等替代性實施例中,排氣構件插入360可為遮罩資料製備322之一子操作且可在LOP 324與OPC 326之間,在OPC 326與破裂328之間或在破裂328與遮罩製造330之間與LOP 324、OPC 326或破裂328一起執行。
在遮罩資料製備322之後且在遮罩製造330期間,可基於經修改IC設計佈局(或經修改遮罩設計,諸如圖9中之經修改遮罩設計1000’)製造一遮罩及一群組之遮罩。例如,使用一電子束(electron-beam/e-beam)寫入器或多個電子束之一機構來基於經修改遮罩設計在一遮罩(光罩或倍縮光罩)上形成一圖案。遮罩可以各種技術形成。在一些實例中,使用一相移技術形成遮罩。在一相移遮罩(PSM)中,形成於遮罩上之圖案中之各種構件經組態以具有一預組態相位差以增強影像解析度及成像品質。在各種實例中,相移遮罩可為一減弱PSM或交替PSM。
在一些實施例中,IC製造商340 (諸如一半導體代工廠)使用由遮罩室320製造之遮罩(或若干遮罩)來將一或多個遮罩圖案轉印至一晶圓上且因此在晶圓上製造IC裝置350。IC製造商340 可包含一IC製造設施,該IC製造設施可包含用於製造各種不同IC產品之許多製造設施。例如,IC製造商340可包含用於複數個IC產品之前端製造(即,前段製程(FEOL)製造)之一第一製造設施,而一第二製造設施可提供用於IC產品之互連及封裝之後端製造(即,後段製程(BEOL)製造)且一第三製造設施可提供代工廠業務之其他服務(例如,研究及開發)。
本揭露呈現多個實施例及多個優點。應理解,將一優點歸於一實施例僅係為清楚及理解目的。不同實施例可提供不同優點,且對任何一項實施例不需要特定優點。例如,本揭露之方法容許將排氣構件插入一反射遮罩中之無圖案區域中以防止一輻射吸收層之起泡,從而減少缺陷且改良反射遮罩之壽命。
因此,本揭露提供一種具有防氣泡排氣構件之光微影遮罩及一種用於形成該遮罩之方法。在一項實施例中,提供一光微影遮罩總成。光微影遮罩總成包含一光微影遮罩。光微影遮罩包含一基板上方之一頂蓋層及放置於頂蓋層上方之一吸收層。吸收層包含一第一主構件區域、一第二主構件區域及放置於第一主構件區域與第二主構件區域之間的一第一排氣構件區域。第一排氣構件區域包含複數個排氣構件。
在一些實施例中,頂蓋層包含釕、氧化矽及/或無定形碳。在一些實施方案中,吸收層包含Cr、TaN、TaO、TaBN、TiN、TaBO、Cr2 O3 、SiO2 或SiN。在一些實施例中,複數個排氣構件包含平行配置之第一複數個長形條。在一些例項中,選擇第一複數個長形條之各者之尺寸,使得第一複數個長形部件在一光微影製程中不列印,且第一複數個長形部件不影響光微影製程中之第一主構件區域及第二主構件區域之列印。在一些實施例中,光微影遮罩總成可進一步包含放置於光微影遮罩上方之一護膜框架。護膜框架包含曝光光微影遮罩之一部分之一開口,且光微影遮罩包含放置於第一主構件區域與開口之間的一黑色邊界區域。在一些實施方案中,光微影遮罩總成可進一步包含放置於黑色邊界區域內之一第二排氣構件區域。在一些實施例中,第二排氣構件區域包含平行配置之第二複數個長形條。
在另一實施例中,提供一種方法。該方法包含:接收一光微影遮罩設計,其包含一第一主構件區域、一第二主構件區域及第一主構件區域與第二主構件區域之間的一分隔區域;分別判定第一主構件區域、第二主構件區域及分隔區域內之一排氣構件插入區域;將複數個排氣構件插入光微影遮罩設計之排氣構件插入區域中以產生一經修改光微影遮罩設計;及基於經修改光微影遮罩設計製造一光微影遮罩。
在一些實施例中,排氣構件插入區域之判定包含:若一模板形狀配合於第一主構件區域、第二主構件區域及分隔區域中之一第一無圖案區域,則識別該第一無圖案區域為排氣構件插入區域之一部分;及若模板形狀不配合於第一主構件區域、第二主構件區域及分隔區域中之一第二無圖案區域,則從排氣構件插入區域排除該第二無圖案區域。在一些實施例中,模板形狀係矩形且包含具有在約4 µm與約6 µm之間的一長度之一側。在一些例項中,方法可進一步包含在複數個排氣構件之插入之後,對經修改光微影遮罩設計執行光學近接校正(OPC)。在一些實施例中,光微影遮罩之製造包含接收包含一多層鏡、多層鏡上方之一頂蓋層及頂蓋層上方之一極紫外(EUV)吸收層之一遮罩基板;及使用一電子束寫入器圖案化EUV吸收層。在一些例項中,方法可進一步包含:使光微影遮罩曝光於輻射;及使用從第一主構件區域、第二主構件區域及排氣構件插入區域反射之輻射來曝光一工件。在此等例項中,維持藉由排氣構件插入區域反射之輻射之一強度以不超過工件之一光阻劑之一曝光臨限值。在一些實施例中,光微影遮罩至輻射之曝光包含使光微影遮罩曝光於極紫外(EUV)輻射。
在又一實施例中,提供一種方法。方法包含:接收具有複數個列印構件及複數個無圖案區域之一光微影遮罩設計;當一模板形狀配合於複數個無圖案區域內時,在光微影遮罩設計中識別一排氣構件插入區域;將複數個排氣構件插入光微影遮罩設計之排氣構件插入區域中以獲得一經修改光微影遮罩設計;及基於經修改光微影遮罩設計製造一光微影遮罩。
在一些實施例中,方法可進一步包含在複數個排氣構件之插入之後且在光微影遮罩之製造之前,對經修改光微影遮罩設計執行光學近接校正(OPC)。在一些實施方案中,光微影遮罩之製造包含接收包含一多層鏡、多層鏡上方之一頂蓋層及頂蓋層上方之一極紫外(EUV)吸收層之一遮罩基板;及藉由從排氣構件插入區域之至少一部分完全移除EUV吸收層以曝光多層鏡而形成複數個排氣構件。在一些例項中,EUV吸收層包含Cr、TaN、TaO、TaBN、TiN、TaBO、Cr2 O3 、SiO2 或SiN。在一些實施例中,複數個排氣構件形狀係長形的且彼此平行放置。
前文概述若干實施例之構件,使得熟習此項技術者可更佳地理解本揭露之態樣。熟習此項技術者應瞭解,其等可容易地使用本揭露作為設計或修改用於實行本文中介紹之實施例之相同目的及/或達成相同優點之其他製程及結構之一基礎。熟習此項技術者亦應認知,此等等效構造不脫離本揭露之精神及範疇,且其等可在不脫離本揭露之精神及範疇的情況下在本文中進行各種改變、替換及更改。
10:微影系統 12:輻射源 14:照明器 16:遮罩載台 18:投射光學器件模組 20:工件 22:基板載台 100:遮罩 100’:經修改遮罩 102:基板 104:多層鏡(MLM) 106:頂蓋層 108:吸收層 120:主構件區域 130:分隔區域 140:黑色邊界區域 150:護膜框架 180:排氣構件 182:第一重複單元 184:第二重複單元 186:第三重複單元 200:方法 202:方塊 204:方塊 206:方塊 208:方塊 300:積體電路(IC)製造系統 310:設計室 312:IC設計佈局 320:遮罩室 322:遮罩資料製備 324:邏輯操作(LOP) 326:光學近接校正(OPC) 328:破裂 330:遮罩製造 340:IC製造商 350:積體電路(IC)裝置 360:排氣構件插入 1000:遮罩設計 1000’:經修改遮罩設計 1050:模板形狀 1100:排氣構件插入區域 1200:列印構件 D1:第一側 D2:第二側 G1:間隙 G2:間隙 L:長度 P:節距 S:間距 W:寬度 X:方向 Y:方向 Z:方向
當結合附圖閱讀時,從以下實施方式最佳理解本揭露。應強調,根據行業中之標準實踐,各種構件不按比例繪製且僅用於繪示目的。實際上,為清晰論述,各種構件之尺寸可任意增大或減小。
圖1係根據本揭露之各種態樣之可操作以執行一光微影技術之一微影系統之一方塊圖。
圖2係根據本揭露之各種態樣之一遮罩基板之一片段橫截面圖。
圖3係根據本揭露之各種態樣之製造用於曝光一負型光阻劑之一反射遮罩之一方法之一流程圖。
圖4、圖5A、圖5B、圖6A、圖6B及圖8係根據本揭露之各種態樣之經歷圖3之方法之操作之一遮罩基板之片段俯視圖。
圖7A、圖7B及圖7C繪示根據本揭露之各種態樣之排氣構件之實例。
圖9係根據本揭露之各種態樣之包含排氣構件之一遮罩基板之一片段橫截面圖。
圖10係根據本揭露之各種態樣之一積體電路(IC)製造系統及與之相關聯之一IC製造流程之一方塊圖。
200:方法
202:方塊
204:方塊
206:方塊
208:方塊

Claims (20)

  1. 一種光微影遮罩總成,其包括: 一光微影遮罩,其包括: 一頂蓋層,其在一基板上方,及 一吸收層,其放置於該頂蓋層上方,其中該吸收層包括: 一第一主構件區域, 一第二主構件區域,及 一第一排氣構件區域,其放置於該第一主構件區域與該第二主構件區域之間,其中該第一排氣構件區域包括複數個排氣構件。
  2. 如請求項1之光微影遮罩總成,其中該頂蓋層包括釕、氧化矽及/或無定形碳。
  3. 如請求項1之光微影遮罩總成,其中該吸收層包括Cr、TaN、TaO、TaBN、TiN、TaBO、Cr2 O3 、SiO2 或SiN。
  4. 如請求項1之光微影遮罩總成,其中該複數個排氣構件包括平行配置之第一複數個長形條。
  5. 如請求項4之光微影遮罩總成, 其中選擇該第一複數個長形條之各者之尺寸,使得該第一複數個長形部件在一光微影製程中不列印, 其中該第一複數個長形部件不影響該光微影製程中之該第一主構件區域及該第二主構件區域之列印。
  6. 如請求項1之光微影遮罩總成,其進一步包括一護膜框架, 其中該護膜框架放置於該光微影遮罩上方, 其中該護膜框架包括曝光該光微影遮罩之一部分之一開口, 其中該光微影遮罩包括放置於該第一主構件區域與該開口之間的一黑色邊界區域。
  7. 如請求項6之光微影遮罩總成,其進一步包括放置於該黑色邊界區域內之一第二排氣構件區域。
  8. 如請求項7之光微影遮罩總成,其中該第二排氣構件區域包括平行配置之第二複數個長形條。
  9. 一種方法,其包括: 接收一光微影遮罩設計,其包括一第一主構件區域、一第二主構件區域及在該第一主構件區域與該第二主構件區域之間的一分隔區域; 分別判定該第一主構件區域、該第二主構件區域及該分隔區域內之一排氣構件插入區域; 將複數個排氣構件插入該光微影遮罩設計之該排氣構件插入區域中以產生一經修改光微影遮罩設計;及 基於該經修改光微影遮罩設計製造一光微影遮罩。
  10. 如請求項9之方法,其中該排氣構件插入區域之該判定包括: 若一模板形狀配合於該第一主構件區域、該第二主構件區域及該分隔區域中之一第一無圖案區域,則識別該第一無圖案區域為該排氣構件插入區域之一部分;及 若該模板形狀不配合於該第一主構件區域、該第二主構件區域及該分隔區域中之一第二無圖案區域,則從該排氣構件插入區域排除該第二無圖案區域。
  11. 如請求項10之方法,其中該模板形狀係矩形且包括具有在約4 µm與約6 µm之間的一長度之一側。
  12. 如請求項9之方法,其進一步包括: 在該複數個排氣構件之該插入之後,對該經修改光微影遮罩設計執行光學近接校正(OPC)。
  13. 如請求項9之方法,其中該光微影遮罩之該製造包括: 接收包含一多層鏡、該多層鏡上方之一頂蓋層及該頂蓋層上方之一極紫外(EUV)吸收層之一遮罩基板;及 使用一電子束寫入器圖案化該EUV吸收層。
  14. 如請求項9之方法,其進一步包括: 使該光微影遮罩曝光於輻射;及 使用從該第一主構件區域、該第二主構件區域及該排氣構件插入區域反射之該輻射來曝光一工件,其中維持藉由該排氣構件插入區域反射之該輻射之一強度以不超過該工件之一光阻劑之一曝光臨限值。
  15. 如請求項14之方法,其中該光微影遮罩至輻射之該曝光包括使該光微影遮罩曝光於極紫外(EUV)輻射。
  16. 一種方法,其包括: 接收一光微影遮罩設計,其包括複數個列印構件及複數個無圖案區域; 當一模板形狀配合於該複數個無圖案區域內時,在該光微影遮罩設計中識別一排氣構件插入區域; 將複數個排氣構件插入該光微影遮罩設計之該排氣構件插入區域中以獲得一經修改光微影遮罩設計;及 基於該經修改光微影遮罩設計製造一光微影遮罩。
  17. 如請求項16之方法,其進一步包括: 在該複數個排氣構件之該插入之後且在該光微影遮罩之該製造之前,對該經修改光微影遮罩設計執行光學近接校正(OPC)。
  18. 如請求項16之方法,其中該光微影遮罩之該製造包括: 接收包含一多層鏡、該多層鏡上方之一頂蓋層及該頂蓋層上方之一極紫外(EUV)吸收層之一遮罩基板;及 藉由從該排氣構件插入區域之至少一部分完全移除該EUV吸收層以曝光該多層鏡而形成該複數個排氣構件。
  19. 如請求項18之方法,其中該EUV吸收層包括Cr、TaN、TaO、TaBN、TiN、TaBO、Cr2 O3 、SiO2 或SiN。
  20. 如請求項18之方法,其中該複數個排氣構件形狀係長形的且彼此平行放置。
TW110113288A 2020-08-31 2021-04-13 光微影遮罩總成及製造光微影遮罩的方法 TWI794788B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/007,920 US11402743B2 (en) 2020-08-31 2020-08-31 Mask defect prevention
US17/007,920 2020-08-31

Publications (2)

Publication Number Publication Date
TW202210936A true TW202210936A (zh) 2022-03-16
TWI794788B TWI794788B (zh) 2023-03-01

Family

ID=78892922

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110113288A TWI794788B (zh) 2020-08-31 2021-04-13 光微影遮罩總成及製造光微影遮罩的方法

Country Status (3)

Country Link
US (3) US11402743B2 (zh)
CN (1) CN113805426A (zh)
TW (1) TWI794788B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11604406B2 (en) * 2019-07-24 2023-03-14 Intel Corporation Method and apparatus for fabrication of very large scale integration pattern features via electroless deposition on extreme ultraviolet lithography photomasks
CN112859508A (zh) * 2019-11-27 2021-05-28 台湾积体电路制造股份有限公司 集成电路制造方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6015640A (en) * 1998-03-26 2000-01-18 Euv Llc Mask fabrication process
US6492067B1 (en) 1999-12-03 2002-12-10 Euv Llc Removable pellicle for lithographic mask protection and handling
US6548417B2 (en) * 2001-09-19 2003-04-15 Intel Corporation In-situ balancing for phase-shifting mask
JP2003280175A (ja) * 2002-03-20 2003-10-02 Toray Ind Inc フォトマスク
JPWO2004088418A1 (ja) 2003-03-28 2006-07-06 Hoya株式会社 マスクブランクスの製造方法
JP5015891B2 (ja) 2008-10-02 2012-08-29 信越化学工業株式会社 金属酸化物含有膜形成用組成物、金属酸化物含有膜形成基板及びパターン形成方法
JP5821397B2 (ja) 2011-08-16 2015-11-24 富士通セミコンダクター株式会社 極紫外露光マスク用防塵装置及び露光方法
JP2014127630A (ja) 2012-12-27 2014-07-07 Asahi Glass Co Ltd Euvリソグラフィ用反射型マスクブランクおよびその製造方法
DE102013102670A1 (de) * 2013-03-15 2014-10-02 Asml Netherlands B.V. Optisches Element und optisches System für die EUV-Lithographie sowie Verfahren zur Behandlung eines solchen optischen Elements
US9429835B2 (en) * 2014-02-12 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of photomask with reduction of electron-beam scatterring
US9285673B2 (en) * 2014-07-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Assist feature for a photolithographic process
US9709884B2 (en) 2014-11-26 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and manufacturing method by using the same
US20170053058A1 (en) 2015-08-21 2017-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Model-based rule table generation
US10018920B2 (en) 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
CN108132585B (zh) 2016-12-01 2020-02-07 清华大学 微纳米结构的制备方法
DE102016224200A1 (de) * 2016-12-06 2018-06-07 Carl Zeiss Smt Gmbh Verfahren zum Reparieren von reflektiven optischen Elementen für die EUV-Lithographie
SG11201907622YA (en) 2017-03-02 2019-09-27 Hoya Corp Reflective mask blank, reflective mask and manufacturing method thereof, and semiconductor device manufacturing method
KR102402767B1 (ko) * 2017-12-21 2022-05-26 삼성전자주식회사 극자외선 마스크 블랭크, 극자외선 마스크 블랭크를 이용하여 제조된 포토마스크, 포토마스크를 이용한 리소그래피 장치 및 포토마스크를 이용한 반도체 장치 제조 방법
US10866504B2 (en) * 2017-12-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with a black border region and method of fabricating the same
US10845698B2 (en) * 2018-05-30 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Mask, method of forming the same and method of manufacturing a semiconductor device using the same
US11249384B2 (en) * 2018-06-29 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for EUV lithography and method of manufacturing the same

Also Published As

Publication number Publication date
US20220350235A1 (en) 2022-11-03
US11402743B2 (en) 2022-08-02
US20220066312A1 (en) 2022-03-03
TWI794788B (zh) 2023-03-01
US11860530B2 (en) 2024-01-02
US20230367197A1 (en) 2023-11-16
CN113805426A (zh) 2021-12-17

Similar Documents

Publication Publication Date Title
US9354510B2 (en) EUV mask and method for forming the same
KR102303158B1 (ko) 포토리소그래피 프로세스용 어시스트 피처
US20230367197A1 (en) Mask Defect Prevention
US10353285B2 (en) Pellicle structures and methods of fabricating thereof
US9733562B2 (en) Extreme ultraviolet lithography process and mask
US8563227B2 (en) Method and system for exposure of a phase shift mask
KR101761018B1 (ko) 다층 구조체를 갖는 마스크 및 이를 이용한 제조 방법
KR101713382B1 (ko) 극자외선 리소그래피 공정 및 마스크
US6797439B1 (en) Photomask with back-side anti-reflective layer and method of manufacture
US9448491B2 (en) Extreme ultraviolet lithography process and mask
US11982936B2 (en) Photomask and method of fabricating a photomask
TW201918787A (zh) 光罩
US11143954B2 (en) Mask patterns and method of manufacture