CN113410114A - 等离子体处理装置 - Google Patents

等离子体处理装置 Download PDF

Info

Publication number
CN113410114A
CN113410114A CN202110235480.XA CN202110235480A CN113410114A CN 113410114 A CN113410114 A CN 113410114A CN 202110235480 A CN202110235480 A CN 202110235480A CN 113410114 A CN113410114 A CN 113410114A
Authority
CN
China
Prior art keywords
electrode
circuit
power supply
bias
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110235480.XA
Other languages
English (en)
Inventor
舆水地盐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN113410114A publication Critical patent/CN113410114A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • H03H7/40Automatic matching of load impedance to source impedance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本发明的等离子体处理装置具有基板支承器。基板支承器具有支承基板的第1区域及支承边缘环的第2区域。第1电极设置于第1区域内,第2电极设置于第2区域内。第1偏置电源经由第1电路与第1电极连接。第2偏置电源经由第2电路与第2电极连接。第2电路在第1偏置电源产生的第1电偏置及第2偏置电源产生的第2电偏置的相同的偏置频率下具有比第1电路的阻抗高的阻抗。

Description

等离子体处理装置
技术领域
本发明的示例性实施方式涉及一种等离子体处理装置。
背景技术
在电子器件的制造中使用等离子体处理装置。等离子体处理装置具有腔室及基板支承器。基板支承器具有下部电极及静电卡盘。静电卡盘设置于下部电极上。基板支承器支承边缘环。基板载置于在基板支承器上由边缘环包围的区域内。为了将来自等离子体的离子吸引到基板,对下部电极供给高频偏置电力。日本特开2019-36658号公报公开了这样的等离子体处理装置。
发明内容
本发明提供一种减小基板的电压波形与边缘环的电压波形之间的差的技术。
在一示例性实施方式中,提供等离子体处理装置。等离子体处理装置具备腔室、基板支承器、第1偏置电源、第2偏置电源、第1电路及第2电路。基板支承器具有第1区域、第2区域、第1电极及第2电极。第1区域构成为支承基板。第2区域构成为支承边缘环。第1电极设置于第1区域内。第2电极设置于第2区域内,且与第1电极分离。第1偏置电源构成为产生第1电偏置,并与第1电极电连接。第2偏置电源构成为产生第2电偏置,并与第2电极电连接。第1电路连接于第1电极与第1偏置电源之间。第2电路连接于第2电极与第2偏置电源之间。第2电路在第1电偏置及第2电偏置的相同的偏置频率下具有比第1电路的阻抗高的阻抗。
根据一个示例性实施方式,基板的电压波形与边缘环的电压波形之间的差减小。
附图说明
图1是概略地表示一示例性实施方式所涉及的等离子体处理装置的图。
图2是表示一个示例性实施方式所涉及的等离子体处理装置的腔室内的结构的图。
图3是表示一个示例性实施方式所涉及的等离子体处理装置中的第1偏置电源、阻尼电路、第1电路及滤波器的图。
图4是表示一个示例性实施方式所涉及的等离子体处理装置中的第2偏置电源、阻尼电路、第2电路及滤波器的图。
图5A是表示图1所示的等离子体处理装置中的电压波形的模拟结果的图,图5B是表示比较例的等离子体处理装置中的电压波形的模拟结果的图。
图6是表示一个示例性实施方式所涉及的等离子体处理装置中的第1偏置电源、阻尼电路、第1电路及滤波器的图。
图7是表示一个示例性实施方式所涉及的等离子体处理装置中的第2偏置电源、阻尼电路、第2电路及滤波器的图。
图8是概略地表示另一示例性实施方式所涉及的等离子体处理装置的图。
图9是概略地表示又一示例性实施方式所涉及的等离子体处理装置的图。
具体实施方式
以下,对各种示例性实施方式进行说明。
在一示例性实施方式中,提供等离子体处理装置。等离子体处理装置具备腔室、基板支承器、第1偏置电源、第2偏置电源、第1电路及第2电路。基板支承器具有第1区域、第2区域、第1电极及第2电极。第1区域构成为支承基板。第2区域构成为支承边缘环。第1电极设置于第1区域内。第2电极设置于第2区域内,且与第1电极分离。第1偏置电源构成为产生第1电偏置,并与第1电极电连接。第2偏置电源构成为产生第2电偏置,并与第2电极电连接。第1电路连接于第1电极与第1偏置电源之间。第2电路连接于第2电极与第2偏置电源之间。第2电路在第1电偏置及第2电偏置的相同的偏置频率下具有比第1电路的阻抗高的阻抗。
在上述实施方式的等离子体处理装置中,第1电极和基板形成第1电容器要件。并且,第2电极和边缘环形成第2电容器要件。边缘环的面积通常小于基板的面积。因此,第2电容器要件的静电电容低于第1电容器要件的静电电容。因此,若供给到第1电容器要件的电流和供给到第2电容器要件的电流相同,则边缘环的电压波形比基板的电压波形高速变化。在上述实施方式中,第1电路提供到第1电极与第1偏置电源之间,第2电路提供到第2电极与第2偏置电源之间。在偏置频率下,第2电路的阻抗高于第1电路的阻抗。因此,基板的电压波形与边缘环的电压波形之间的差减小。
在一个示例性实施方式中,第1电路的阻抗及第2电路的阻抗也可以被设定为供给到基板的电流与供给到边缘环的电流之比和基板的面积与边缘环的面积之比相等。
在一个示例性实施方式中,等离子体处理装置还可以具备控制部。控制部可以控制第2偏置电源,以使根据边缘环的厚度的减少而增加第2电偏置的设定电平,且控制第2电路,以使根据边缘环的厚度的减少而减少第2电路的阻抗。
在一个示例性实施方式中,第1电偏置及第2电偏置分别可以是以由偏置频率限定的周期周期性地产生的脉冲波。脉冲波包括负电压的脉冲。负电压的脉冲也可以是负直流电压的脉冲。
在一个示例性实施方式中,第1电偏置及第2电偏置分别可以是具有偏置频率的高频电力。
在一个示例性实施方式中,第1电路也可以具有第1电阻及第1电容器。第1电阻连接于第1电极与第1偏置电源之间。第1电容器连接于连接第1电阻和第1电极的电路径上的节点与接地之间。第2电路也可以具有第2电阻及第2电容器。第2电阻连接于第2电极与第2偏置电源之间。第2电容器连接于连接第2电阻和第2电极的电路径上的节点与接地之间。第2电阻及第2电容器中的至少一个可以是可变的。
在一个示例性实施方式中,第1电路也可以具有第1电感器及第1电容器。第1电感器连接于第1电极与第1偏置电源之间。第1电容器连接于连接第1电感器与第1电极的电路径上的节点与接地之间。第2电路也可以具有第2电感器及第2电容器。第2电感器连接于第2电极与第2偏置电源之间。第2电容器连接于连接第2电感器和第2电极的电路径上的节点与接地之间。第2电感器及第2电容器中的至少一个可以是可变的。
以下,参考附图对各种示例性实施方式进行详细说明。另外,在各附图中,对相同或相等的部分标注相同的符号。
图1是概略地表示一示例性实施方式所涉及的等离子体处理装置的图。图1所示的等离子体处理装置1具备腔室10。图2是表示一个示例性实施方式所涉及的等离子体处理装置的腔室内的结构的图。如图2所示,等离子体处理装置1可以是电容耦合型等离子体处理装置。
腔室10中提供内部空间10s。内部空间10s的中心轴线为沿铅垂方向延伸的轴线AX。在一实施方式中,腔室10包括腔室主体12。腔室主体12具有大致圆筒形状。内部空间10s设置于腔室主体12中。腔室主体12例如由铝形成。腔室主体12被电接地。在腔室主体12的内壁面,即划分内部空间10s的壁面形成有具有抗等离子体性的膜。该膜可以是通过阳极氧化处理形成的膜或由氧化钇形成的膜之类的陶瓷制的膜。
在腔室主体12的侧壁形成有通道12p。当基板W在内部空间10s与腔室10的外部之间被搬运时,通过通道12p。沿腔室主体12的侧壁设置有闸阀12g,用于该通道12p的开闭。
等离子体处理装置1还具备基板支承器16。基板支承器16构成为在腔室10中支承载置于其上的基板W。基板W具有大致圆盘形状。基板支承器16被支承部17支承。支承部17从腔室主体12的底部沿上方延伸。支承部17具有大致圆筒形状。支承部17由石英之类的绝缘材料形成。
基板支承器16具有下部电极18及静电卡盘20。下部电极18及静电卡盘20设置于腔室10中。下部电极18由铝之类的导电性材料形成,且具有大致圆盘形状。
在下部电极18内形成有流路18f。流路18f是热交换介质用流路。作为热交换介质,例如使用液态的制冷剂。在流路18f上连接有热交换介质的供给装置(例如,冷却单元)。该供给装置设置于腔室10的外部。热交换介质从供给装置经由配管23a供给至流路18f。供给至流路18f的热交换介质经由配管23b返回至供给装置。
静电卡盘20设置于下部电极18上。如图1所示,静电卡盘20具有电介质部20d及电极21a。静电卡盘20还可以具有电极22a及电极22b。当在内部空间10s中被处理时,基板W载置于静电卡盘20上,并被静电卡盘20保持。并且,在基板支承器16上搭载有边缘环ER。边缘环ER是具有大致环形状的板。边缘环ER具有导电性。边缘环ER例如由硅或碳化硅形成。如图2所示,边缘环ER以其中心轴线与轴线AX一致的方式搭载于基板支承器16上。容纳于腔室10内的基板W配置于静电卡盘20上且由边缘环ER包围的区域内。
等离子体处理装置1还可以具备气体管路25。气体管路25将来自气体供给机构的传热气体,例如He气体供给至静电卡盘20(后述的第一区域)的上表面与基板W的背面(下表面)之间的间隙。
等离子体处理装置1还可以具备外周部28及外周部29。外周部28从腔室主体12的底部沿上方延伸。外周部28具有大致圆筒形状,沿着支承部17的外周延伸。外周部28由导电性材料形成。外周部28电接地。在外周部28的表面形成有具有抗等离子体性的膜。该膜可以是通过阳极氧化处理形成的膜或由氧化钇形成的膜之类的陶瓷制的膜。
外周部29设置于外周部28上。外周部29由具有绝缘性的材料形成。外周部29例如由石英之类的陶瓷形成。外周部29具有大致圆筒形状。外周部29沿着下部电极18及静电卡盘20的外周延伸。
等离子体处理装置1还具备上部电极30。上部电极30设置于基板支承器16的上方。上部电极30与部件32一同封闭腔室主体12的上部开口。部件32具有绝缘性。上部电极30经由该部件32支承于腔室主体12的上部。
上部电极30包括顶板34及支承体36。顶板34的下表面划分内部空间10s。在顶板34上形成有多个排气孔34a。多个排气孔34a分别沿板厚方向(铅垂方向)贯穿顶板34。该顶板34例如由硅形成。或者,顶板34可以具有在铝制部件的表面设置有抗等离子体性的膜的结构。该膜可以是通过阳极氧化处理形成的膜或由氧化钇形成的膜之类的陶瓷制的膜。
支承体36装卸自如地支承顶板34。支承体36例如由铝之类的导电性材料形成。在支承体36的内部设置有气体扩散室36a。多个气体孔36b从气体扩散室36a向下方延伸。多个气体孔36b分别与多个排气孔34a连通。支承体36中形成有气体导入端口36c。气体导入端口36c与气体扩散室36a连接。在气体导入端口36c上连接有气体供给管38。
在气体供给管38上经由阀组41、流量控制器组42及阀组43连接有气体源组40。由气体源组40、阀组41、流量控制器组42及阀组43构成气体供给部。气体源组40包括多个气体源。阀组41及阀组43分别包括多个阀(例如开闭阀)。流量控制器组42包括多个流量控制器。流量控制器组42的多个流量控制器分别为质量流量控制器或压力控制式的流量控制器。气体源组40的多个气体源分别经由与阀组41对应的阀、与流量控制器组42对应的流量控制器及与阀组43对应的阀而连接于气体供给管38。等离子体处理装置1能够将来自选自气体源组40的多个气体源中的一个以上的气体源的气体以分别调整后的流量供给至内部空间10s。
在外周部28与腔室主体12的侧壁之间设置有挡板48。挡板48例如可以通过在铝制部件上涂覆氧化钇等陶瓷而构成。在该挡板48中形成有多个贯穿孔。在挡板48的下方,排气管52与腔室主体12的底部连接。在该排气管52上连接有排气装置50。排气装置50具有自动压力控制阀之类的压力控制器及涡轮分子泵等真空泵,能够减小内部空间10s中的压力。
以下,对基板支承器16进行详细说明。如上所述,基板支承器16具有下部电极18及静电卡盘20。如图1所示,等离子体处理装置1具有高频电源57。高频电源57经由匹配器58与下部电极18连接。高频电源57是产生等离子体生成用高频电力的电源。高频电源57产生的高频电力具有27~100MHz的范围内的频率,例如40MHz或60MHz的频率。匹配器58具有用于使高频电源57的输出阻抗与负载侧(下部电极18侧)的阻抗匹配的匹配电路。另外,高频电源57可以不与下部电极18电连接,而可以经由匹配器58与上部电极30连接。
在等离子体处理装置1中,通过来自高频电源57的高频电力在腔室10内生成高频电场。腔室10内的气体被所生成的高频电场激励。其结果,在腔室10内生成等离子体。基板W被来自所生成的等离子体的离子和/或自由基之类的化学物种处理。
基板支承器16具有第1区域21及第2区域22。第1区域21是基板支承器16的中央区域。第1区域21包括静电卡盘20的中央区域及下部电极18的中央区域。第2区域22相对于第1区域21在径向外侧沿周向延伸。第2区域22包括静电卡盘20的周缘区域及下部电极18的周缘区域。在等离子体处理装置1中,第1区域21及第2区域22由单个静电卡盘构成,且彼此一体化。另外,在图1中,用虚线表示第1区域21与第2区域22之间的边界。在另一实施方式中,第1区域21及第2区域22也可以由单独的静电卡盘构成。
第1区域21构成为支承载置于其上(即,其上表面上)的基板W。第1区域21是具有圆盘形状的区域。第一区域21的中心轴线与轴线AX大致一致。第1区域21与第2区域22共用电介质部20d。电介质部20d由氮化铝、氧化铝之类的电介质形成。电介质部20d具有大致圆盘形状。在一实施方式中,第2区域22中的电介质部20d的厚度小于第1区域21中的电介质部20d的厚度。第2区域22中的电介质部20d的上表面在铅垂方向上的位置可以比第1区域21中的电介质部20d的上表面在铅垂方向上的位置低。
第1区域21具有电极21a(卡盘电极)。电极21a是膜状的电极,在第1区域21内设置于电介质部20d中。直流电源55经由开关56与电极21a连接。当来自直流电源55的直流电压施加到电极21a时,在第1区域21与基板W之间产生静电引力。通过所产生的静电引力,基板W被吸引到第1区域21并被第1区域21保持。
第1区域21还具有第1电极21c。第1电极21c是膜状的电极,在第1区域21内设置于电介质部20d中。另外,电极21a能够在铅垂方向上比第1电极21c更靠近第1区域21的上表面延伸。
等离子体处理装置1还具备第一偏置电源61。第1偏置电源61经由第1电路63与第1电极21c电连接。第1偏置电源61产生第1电偏置。第1电偏置被施加到第1电极21c。在一实施方式中,第1电偏置是包括负直流电压的脉冲且以由偏置频率限定的周期周期性地产生的脉冲波。偏置频率可以是200kHz~13.56MHz的范围内的频率。脉冲波的电平可以在周期内负直流电压的脉冲持续的期间以外的期间具有0V以上的电压值,脉冲波例如可以是具有正负的电压值的脉冲波。或者,脉冲波的电压也可以在周期内负直流电压的脉冲持续的期间以外的期间具有比脉冲的电压的绝对值低的绝对值。另外,在周期内脉冲的电平可以随时间变化,脉冲可以是三角波、脉冲(impulse)等脉冲电压。
图3是表示一个示例性实施方式所涉及的等离子体处理装置中的第1偏置电源、阻尼电路、第1电路及滤波器的图。如图1及图3所示,等离子体处理装置1还可以具备阻尼电路62及滤波器64。阻尼电路62能够连接于第1偏置电源61与第1电路63之间。滤波器64能够连接于第1电路63与第1电极21c之间。另外,第1偏置电源61也可以不经由阻尼电路62而与第1电路63连接。在该情况下,等离子体处理装置1也可以不具备阻尼电路62。
如图3所示,在一实施方式中,第1偏置电源61具有可变直流电源61p、开关61a及开关61b。可变直流电源61p是产生负直流电压的直流电源。由可变直流电源61p产生的直流电压的电平是可变的。可变直流电源61p经由开关61a与输出61o连接。输出61o经由开关61b与接地连接。开关61a及开关61b能够由后述的控制部MC控制。在开关61a为导通状态,开关61b为非导通状态的情况下,从输出61o输出负直流电压。在开关61a为非导通状态,开关61b为导通状态的情况下,输出61o的电平成为0V。通过控制开关61a及开关61b各自的导通状态来获得作为第1电偏置的脉冲波。
阻尼电路62连接于第1偏置电源61的输出61o与第1电路63之间。在一实施方式中,阻尼电路62具有电阻62r及电容器62c。电阻62r的一端与第1偏置电源61的输出61o连接。电容器62c的一端与连接电阻62r的另一端和第1电路63的电路径上的节点62n连接。电容器62c的另一端接地。
第1电路63的阻抗能够可变。第1电路63具有一个以上的可变电路元件。一个以上的可变电路元件分别具有可变元件参数。在一实施方式中,第1电路63作为一个以上的可变电路元件,具有第1可变电阻63r及第1可变电容器63c。在第1电路63中,可变元件参数是第1可变电阻63r的电阻值和第1可变电容器63c的静电电容。第1可变电阻63r的一端经由阻尼电路62与第1偏置电源61的输出61o连接。第1可变电容器63c的一端与连接第1可变电阻63r的另一端和第1电极21c的电路径上的节点63n连接。第1可变电容器63c的另一端接地。第1电路63的阻抗由控制部MC设定。通过由控制部MC设定第1电路63的一个以上的可变电路元件的每一个的可变元件参数,例如第1可变电阻63r的电阻值及第1可变电容器63c的静电电容来控制第1电路63的阻抗。另外,第1电路63的阻抗也可以不是可变的,而是恒定的。即,可以使用固定电阻来代替第1可变电阻63r,使用固定电容器来代替第1可变电容器63c。
滤波器64连接于节点63n与第1电极21c之间。滤波器64是构成为阻断或衰减来自高频电源57的高频电力的电滤波器。滤波器64例如包括连接于节点63n与第1电极21c之间的电感器。
如图1所示,第2区域22以包围第1区域21的方式延伸。第2区域22是大致环状的区域。第二区域22的中心轴线与轴线AX大致一致。第2区域22构成为支承载置于其上(即,其上表面上)的边缘环ER。第2区域22与第1区域21共用电介质部20d。
在一实施方式中,第2区域22也可以通过静电引力保持边缘环ER。在该实施方式中,第2区域22能够具有一个以上的电极(卡盘电极)。在图1所示的实施方式中,第2区域22具有一对电极,即电极22a及电极22b。电极22a及电极22b在第2区域22内设置于电介质部20d中。电极22a及电极22b构成双极电极。电极22a及电极22b分别是膜状的电极。电极22a及电极22b可以在铅垂方向上在大致相同的高度位置延伸。
直流电源71经由开关72及滤波器73与电极22a连接。滤波器73是构成为阻断或衰减高频电力以及第1电偏置及第2电偏置的电滤波器。直流电源74经由开关75及滤波器76与电极22b连接。滤波器76是构成为阻断或减小高频电力以及第1电偏置及第2电偏置的电滤波器。
直流电源71及直流电源74分别向电极22a及电极22b施加直流电压,以使产生将边缘环ER吸引到第2区域22的静电引力。另外,电极22a及电极22b各自的设定电位可以是正电位、负电位及0V中的任意一个。例如,可以将电极22a的电位设定为正电位,将电极22b的电位设定为负电位。并且,电极22a与电极22b之间的电位差也可以不使用两个直流电源,而使用单个直流电源来形成。
当向电极22a和电极22b施加直流电压时,在第2区域22与边缘环ER之间产生静电引力。边缘环ER通过所产生的静电引力被吸引到第2区域22并被第2区域22保持。
第2区域22还具有第2电极22c。第2电极22c是膜状的电极。第2电极22c在第2区域22内设置于电介质部20d中。第2电极22c与第1电极21c分离。另外,电极22a及电极22b能够在铅垂方向上比第2电极22c更靠近第2区域22的上表面延伸。另外,第2电极22c也可以配置于第2区域22的外侧。例如,第2电极22c也可以设置于边缘环ER的下方且外周部29中。
等离子体处理装置1还具备第2偏置电源81。第2偏置电源81经由第2电路83与第2电极22c电连接。第2偏置电源81产生第2电偏置。第2电偏置被施加到第2电极22c。在一实施方式中,第2电偏置是包括负直流电压的脉冲且以由偏置频率限定的周期周期性地产生的脉冲波。第2电偏置的偏置频率与第1电偏置的偏置频率相同。脉冲波的电平可以在周期内负直流电压的脉冲持续的期间以外的期间具有0V以上的电压值,脉冲波例如可以是具有正负的电压值的脉冲波。或者,脉冲波的电压也可以在周期内负直流电压的脉冲持续的期间以外的期间具有比脉冲的电压的绝对值低的绝对值。另外,在周期内脉冲的电平可以随时间变化,脉冲可以是三角波、脉冲(impulse)等脉冲电压。
图4是表示一个示例性实施方式所涉及的等离子体处理装置中的第2偏置电源、阻尼电路、第2电路及滤波器的图。如图1及图4所示,等离子体处理装置1还可以具备阻尼电路82及滤波器84。阻尼电路82能够连接于第2偏置电源81与第2电路83之间。滤波器84能够连接于第2电路83与第2电极22c之间。另外,第2偏置电源81也可以不经由阻尼电路82而与第2电路83连接。在该情况下,等离子体处理装置1也可以不具备阻尼电路82。
如图4所示,在一实施方式中,第2偏置电源81具有可变直流电源81p、开关81a及开关81b。可变直流电源81p是产生负直流电压的直流电源。由可变直流电源81p产生的直流电压的电平是可变的。可变直流电源81p经由开关81a与输出81o连接。输出81o经由开关81b与接地连接。开关81a及开关81b能够由后述的控制部MC控制。在开关81a为导通状态,开关81b为非导通状态的情况下,从输出81o输出负直流电压。在开关81a为非导通状态,开关81b为导通状态的情况下,输出81o的电平成为0V。通过控制开关81a及开关81b各自的导通状态来获得作为第2电偏置的脉冲波。
阻尼电路82连接于第2偏置电源81的输出81o与第2电路83之间。在一实施方式中,阻尼电路82具有电阻82r及电容器82c。电阻82r的一端与第2偏置电源81的输出81o连接。电容器82c的一端与连接电阻82r的另一端和第2电路83的电路径上的节点82n连接。电容器82c的另一端接地。
第2电路83的阻抗能够可变。第2电路83具有一个以上的可变电路元件。一个以上的可变电路元件分别具有可变元件参数。在一实施方式中,第2电路83作为一个以上的可变电路元件,具有第2可变电阻83r及第2可变电容器83c。在第2电路83中,可变元件参数是第2可变电阻83r的电阻值和第2可变电容器83c的静电电容。第2可变电阻83r的一端经由阻尼电路82与第2偏置电源81的输出81o连接。第2可变电容器83c的一端与连接第2可变电阻83r的另一端和第2电极22c的电路径上的节点83n连接。第2可变电容器83c的另一端接地。第2电路83在第1电偏置及第2电偏置的相同的偏置频率下具有比第1电路63的阻抗高的阻抗。在一实施方式中,第1电路63的阻抗及第2电路83的阻抗被设定为供给到基板W的电流与供给到边缘环ER的电流之比和基板W的面积与边缘环ER的面积之比相等。第2电路83的阻抗由控制部MC设定。通过由控制部MC设定第2电路83的一个以上的可变电路元件的每一个的可变元件参数,例如第2可变电阻83r的电阻值及第2可变电容器83c的静电电容来控制第2电路83的阻抗。另外,第2电路83的阻抗也可以不是可变的,而是恒定的。即,可以使用固定电阻来代替第2可变电阻83r,使用固定电容器来代替第2可变电容器83c。
滤波器84连接于节点83n与第2电极22c之间。滤波器84是构成为阻断或衰减来自高频电源57的高频电力的电滤波器。滤波器84例如包括连接于节点83n与第2电极22c之间的电感器。
第2区域22还可以具有气体管路22g。气体管路22g是为了向第2区域22与边缘环ER之间的间隙供给传热气体,例如He气体而设置的气体管路。气体管路22g与作为传热气体源的气体供给机构86连接。
在一实施方式中,如图2所示,等离子体处理装置1还可以具备控制部MC。控制部MC是具备处理器、存储装置、输入装置、显示装置等的计算机,并控制等离子体处理装置1的各部。具体而言,控制部MC执行存储于存储装置中的控制程序,并根据存储于该存储装置中的工序数据控制等离子体处理装置1的各部。通过基于控制部MC的控制,由工序数据指定的工艺在等离子体处理装置1中执行。
在此,边缘环ER由于暴露于等离子体而被消耗,其厚度减少。在边缘环ER的厚度小于其初始厚度的情况下,鞘层(等离子体鞘层)的上端在基板W的边缘附近倾斜。因此,在边缘环ER的厚度小于其初始厚度的情况下,离子相对于基板W的边缘的入射方向相对于垂直方向倾斜。在一实施方式中,控制部MC也可以控制第2偏置电源81,以使根据边缘环ER的厚度的减少而增加第2电偏置的设定电平。在第2电偏置是上述的脉冲波的情况下,第2电偏置的设定电平是脉冲波中的脉冲的电压的绝对值。当第2电偏置的设定电平增加时,在边缘环ER的上方,鞘层的厚度增加,能够校正离子相对于基板W的边缘的入射方向的倾斜。
另外,控制部MC能够使用存储于该存储装置中的函数或表来确定与边缘环ER的厚度对应的第2电偏置的设定电平。边缘环ER的厚度可以光学测定或电测定,或者也可以根据边缘环ER暴露于等离子体的时间来推算。
并且,控制部MC也可以控制第2电路83的一个以上的可变电路元件的每一个的可变元件参数,以使根据边缘环ER的厚度的减少而减少第2电路83的阻抗。通过根据第2电偏置的设定电平的增加而减少第2电路83的阻抗,在边缘环ER的电压波形中从基本电平达到峰值电平所需的时长的增加得到抑制。
在一实施方式中,控制部MC能够使用存储于该存储装置中的函数或表来确定与第2电偏置的设定电平对应的第2电路83的一个以上的可变电路元件的每一个的可变元件参数。另外,与边缘环ER的厚度对应的第2电路83的一个以上的可变电路元件的每一个的可变元件参数也可以直接与边缘环ER的厚度建立对应关联。
在等离子体处理装置1中,第1电极21c和基板W形成第1电容器要件。并且,第2电极22c和边缘环ER形成第2电容器要件。边缘环ER的面积小于基板W的面积。因此,第2电容器要件的静电电容低于第1电容器要件的静电电容。因此,若供给到第1电容器要件的电流和供给到第2电容器要件的电流相同,则边缘环ER的电压波形比基板W的电压波形高速变化。在等离子体处理装置1中,第1电路63提供到第1电极21c与第1偏置电源61之间,第2电路83提供到第2电极22c与第2偏置电源81之间。在偏置频率下,第2电路83的阻抗被设定为比第1电路63的阻抗高的阻抗。因此,根据等离子体处理装置1,基板W的电压波形与边缘环ER的电压波形之间的差减小。
以下,参考图5A及图5B。图5A是表示图1所示的等离子体处理装置中的电压波形的模拟结果的图。图5B是表示比较例的等离子体处理装置中的电压波形的模拟结果的图。比较例的等离子体处理装置是从等离子体处理装置1去除第1电路63及第2电路83的等离子体处理装置。在图5A及图5B的每一个中,横轴表示时间,纵轴表示电压。图5A及图5B的每一个中,第2偏置电源81的输出电压(第2电偏置)的波形、边缘环ER的电压波形、基板W的电压波形分别用单点划线、实线、虚线来表示。如图5B所示,在不具有第1电路63及第2电路83的比较例的等离子体处理装置中,在基板W的电压波形与边缘环ER的电压波形之间产生差异。另一方面,如图5A所示,在等离子体处理装置1中,基板W的电压波形与边缘环ER的电压波形之间的差减小。
以下,参考图6及图7。图6是表示一个示例性实施方式所涉及的等离子体处理装置中的第1偏置电源、阻尼电路、第1电路及滤波器的图。图7是表示一个示例性实施方式所涉及的等离子体处理装置中的第2偏置电源、阻尼电路、第2电路及滤波器的图。如图6所示,第1电路63也可以具有第1可变电感器63i来代替第1可变电阻63r。并且,如图7所示,第2电路83也可以具有第2可变电感器83i来代替第2可变电阻83r。另外,示出了上述第1电路及第2电路包括可变元件的例子,但第1电路和/或第2电路也可以不包括可变元件。
以下,参考图8。图8是概略地表示另一示例性实施方式所涉及的等离子体处理装置的图。图8所示的等离子体处理装置1B具备高频偏置电源作为第1偏置电源61。等离子体处理装置1B具备高频偏置电源作为第2偏置电源81。在等离子体处理装置1B中,第1偏置电源61构成为产生具有偏置频率的高频偏置电力作为第1电偏置。偏置频率是200kHz~13.56MHz的范围内的频率,例如为400kHz。在等离子体处理装置1B中,第1偏置电源61经由匹配器65及第1电路63与第1电极21c连接。匹配器65具有用于使第1偏置电源61的负荷侧的阻抗与第1偏置电源61的输出阻抗匹配的匹配电路。
并且,在等离子体处理装置1B中,第2偏置电源81构成为产生具有偏置频率的高频偏置电力作为第2电偏置。由第2偏置电源81产生的高频偏置电力的偏置频率与由第1偏置电源61产生的高频偏置电力的偏置频率相同。并且,在等离子体处理装置1B中,第2偏置电源81经由匹配器85及第2电路83与第2电极22c连接。匹配器85具有用于使第2偏置电源81的负荷侧的阻抗与第2偏置电源81的输出阻抗匹配的匹配电路。在等离子体处理装置1B中,由控制部MC控制的第2电偏置的设定电平是高频偏置电力的电力电平。另外,等离子体处理装置1B的其他结构可以与等离子体处理装置1的对应的结构相同。
以下,参考图9。图9是概略地表示又一示例性实施方式所涉及的等离子体处理装置的图。在图9所示的等离子体处理装置1C中,电极22a及电极22b用作第2电极22c。从第2偏置电源81的输出延伸的电路径在第2电路83(或滤波器84)的后段被分支为两个分支路径,两个分支路径经由阻塞电容器87a及87b分别与电极22a及电极22b连接。等离子体处理装置1C的其他结构可以与等离子体处理装置1的对应的结构相同。另外,在等离子体处理装置1B中,也与等离子体处理装置1C同样地,电极22a及电极22b可以用作被施加第2电偏置的第2电极,也可以省略与电极22a及电极22b不同的第2电极22c。
以上,对各种示例性实施方式进行了说明,但并不限定于上述示例性实施方式,可以进行各种追加、省略、替换及变更。并且,能够组合不同的实施方式中的要件来形成其他实施方式。
例如,在另一实施方式中,等离子体处理装置可以是与等离子体处理装置1不同的电容耦合型等离子体处理装置。在另一实施方式中,等离子体处理装置可以是其他类型的等离子体处理装置。其他类型的等离子体处理装置可以是电感耦合型的等离子体处理装置、电子回旋共振(ECR)等离子体处理装置或通过微波之类的表面波生成等离子体的等离子体处理装置。
并且,第1电极21c及第2电极22c也可以不设置于静电卡盘20的电介质部20d中。第1电极21c及第2电极22c分别可以设置于在静电卡盘20与下部电极18之间设置的另一电介质部中。
并且,第1电路63及第2电路83的每一个中的一个以上的可变电路元件的每一个也可以不是单个可变电路元件,而是由多个固定电路元件和分别与该多个固定电路元件连接的多个开关元件的阵列构成。在该情况下,通过对多个开关元件的控制来调整并联连接的固定电路元件的个数。
从以上说明可理解,本发明的各实施方式以说明为目的在本说明书中进行了说明,能够在不脱离本发明的范围及宗旨的情况下进行各种变更。因此,本说明书中公开的各实施方式并不旨在限定,真正的范围和宗旨可由所附的技术方案的范围来示出。

Claims (10)

1.一种等离子体处理装置,其具备:
腔室;
基板支承器,设置于所述腔室内,具有构成为支承基板的第1区域、构成为支承边缘环的第2区域、设置于该第1区域内的第1电极及设置于该第2区域内且与所述第1电极分离的第2电极;
第1偏置电源,构成为产生第1电偏置,且与所述第1电极电连接;
第2偏置电源,构成为产生第2电偏置,且与所述第2电极电连接;
第1电路,连接于所述第1电极与所述第1偏置电源之间;及
第2电路,连接于所述第2电极与所述第2偏置电源之间,在所述第1电偏置及所述第2电偏置的相同的偏置频率下具有比所述第1电路的阻抗高的阻抗。
2.根据权利要求1所述的等离子体处理装置,其中,
所述第1电路的所述阻抗及所述第2电路的所述阻抗被设定为供给到所述基板的电流与供给到所述边缘环的电流之比和所述基板的面积与所述边缘环的面积之比相等。
3.根据权利要求1或2所述的等离子体处理装置,其还具备:
控制部,构成为控制所述第2偏置电源及所述第2电路,
所述控制部控制所述第2偏置电源,以使根据所述边缘环的厚度的减少而增加所述第2电偏置的设定电平,且控制所述第2电路,以使根据所述边缘环的厚度的减少而减少所述第2电路的阻抗。
4.根据权利要求1至3中任一项所述的等离子体处理装置,其中,
所述第1电偏置及所述第2电偏置分别是包括负电压的脉冲且以由所述偏置频率限定的周期周期性地产生的脉冲波。
5.根据权利要求4所述的等离子体处理装置,其中,
所述负电压的脉冲是负直流电压的脉冲。
6.根据权利要求1至3中任一项所述的等离子体处理装置,其中,
所述第1电偏置及所述第2电偏置分别是具有所述偏置频率的高频电力。
7.根据权利要求1至6中任一项所述的等离子体处理装置,其中,
所述第1电路具有:
第1电阻,连接于所述第1电极与所述第1偏置电源之间;及
第1电容器,连接于连接所述第1电阻和所述第1电极的电路径上的节点与接地之间,
所述第2电路具有:
第2电阻,连接于所述第2电极与所述第2偏置电源之间;及
第2电容器,连接于连接所述第2电阻和所述第2电极的电路径上的节点与接地之间。
8.根据权利要求7所述的等离子体处理装置,其中,
所述第2电阻及所述第2电容器中的至少一个是可变的。
9.根据权利要求1至6中任一项所述的等离子体处理装置,其中,
所述第1电路具有:
第1电感器,连接于所述第1电极与所述第1偏置电源之间;及
第1电容器,连接于连接所述第1电感器和所述第1电极的电路径上的节点与接地之间,
所述第2电路具有:
第2电感器,连接于所述第2电极与所述第2偏置电源之间;及
第2电容器,连接于连接所述第2电感器和所述第2电极的电路径上的节点与接地之间。
10.根据权利要求9所述的等离子体处理装置,其中,
所述第2电感器及所述第2电容器中的至少一个是可变的。
CN202110235480.XA 2020-03-17 2021-03-03 等离子体处理装置 Pending CN113410114A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020046282A JP7344821B2 (ja) 2020-03-17 2020-03-17 プラズマ処理装置
JP2020-046282 2020-03-17

Publications (1)

Publication Number Publication Date
CN113410114A true CN113410114A (zh) 2021-09-17

Family

ID=77691409

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110235480.XA Pending CN113410114A (zh) 2020-03-17 2021-03-03 等离子体处理装置

Country Status (5)

Country Link
US (1) US20210296093A1 (zh)
JP (1) JP7344821B2 (zh)
KR (1) KR20210116261A (zh)
CN (1) CN113410114A (zh)
TW (1) TW202201464A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230055254A (ko) * 2021-10-18 2023-04-25 삼성전자주식회사 플라즈마 식각 장치 및 이의 동작 방법
WO2023223736A1 (ja) * 2022-05-19 2023-11-23 東京エレクトロン株式会社 プラズマ処理装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4566789B2 (ja) * 2005-03-07 2010-10-20 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
JP4833890B2 (ja) * 2007-03-12 2011-12-07 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ分布補正方法
JP5160802B2 (ja) * 2007-03-27 2013-03-13 東京エレクトロン株式会社 プラズマ処理装置
JP5496568B2 (ja) * 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2011228436A (ja) * 2010-04-19 2011-11-10 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP6869034B2 (ja) * 2017-01-17 2021-05-12 東京エレクトロン株式会社 プラズマ処理装置
US10510575B2 (en) * 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US11887877B2 (en) * 2017-09-29 2024-01-30 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
CN110770880B (zh) 2018-05-28 2023-12-29 株式会社日立高新技术 等离子处理装置
US11183368B2 (en) 2018-08-02 2021-11-23 Lam Research Corporation RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks
KR102600003B1 (ko) * 2018-10-30 2023-11-09 삼성전자주식회사 반도체 공정 챔버 및 반도체 소자의 제조 방법
US11562887B2 (en) * 2018-12-10 2023-01-24 Tokyo Electron Limited Plasma processing apparatus and etching method
US11955314B2 (en) * 2019-01-09 2024-04-09 Tokyo Electron Limited Plasma processing apparatus
JP7271330B2 (ja) * 2019-06-18 2023-05-11 東京エレクトロン株式会社 載置台及びプラズマ処理装置
CN112466735A (zh) * 2019-09-09 2021-03-09 东京毅力科创株式会社 基片支承器和等离子体处理装置
JP7362400B2 (ja) * 2019-10-01 2023-10-17 東京エレクトロン株式会社 載置台及びプラズマ処理装置
JP7411463B2 (ja) * 2020-03-17 2024-01-11 東京エレクトロン株式会社 検査方法及び検査装置
JP7450427B2 (ja) * 2020-03-25 2024-03-15 東京エレクトロン株式会社 基板支持器及びプラズマ処理装置
JP7458287B2 (ja) * 2020-10-06 2024-03-29 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN115483083A (zh) * 2021-05-31 2022-12-16 东京毅力科创株式会社 等离子体处理装置
CN115705991A (zh) * 2021-08-10 2023-02-17 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法

Also Published As

Publication number Publication date
US20210296093A1 (en) 2021-09-23
KR20210116261A (ko) 2021-09-27
JP7344821B2 (ja) 2023-09-14
TW202201464A (zh) 2022-01-01
JP2021150056A (ja) 2021-09-27

Similar Documents

Publication Publication Date Title
JP7474651B2 (ja) プラズマ処理装置
CN111430209B (zh) 等离子体处理装置
JP7450427B2 (ja) 基板支持器及びプラズマ処理装置
US20220108878A1 (en) Plasma processing apparatus and plasma processing method
KR20220015940A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
CN112103164A (zh) 载置台和等离子体处理装置
CN113410114A (zh) 等离子体处理装置
CN115483083A (zh) 等离子体处理装置
US11532456B2 (en) Inspection method, inspection apparatus, and plasma processing apparatus
JP7336395B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2022022969A (ja) プラズマ処理装置
JP7278896B2 (ja) プラズマ処理方法及びプラズマ処理装置
US20220139672A1 (en) Plasma processing apparatus and plasma processing method
KR20230129050A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP2021176191A (ja) プラズマ処理装置及びプラズマ処理方法
JP2022027459A (ja) プラズマ処理装置及びプラズマ処理方法
JP2022075506A (ja) プラズマ処理装置及びプラズマ処理方法
TW202036715A (zh) 電漿處理裝置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination