CN113296359A - 底层组成物与半导体装置的制造方法 - Google Patents

底层组成物与半导体装置的制造方法 Download PDF

Info

Publication number
CN113296359A
CN113296359A CN202110558807.7A CN202110558807A CN113296359A CN 113296359 A CN113296359 A CN 113296359A CN 202110558807 A CN202110558807 A CN 202110558807A CN 113296359 A CN113296359 A CN 113296359A
Authority
CN
China
Prior art keywords
groups
group
photoresist
photoresist layer
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110558807.7A
Other languages
English (en)
Inventor
陈建志
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/231,402 external-priority patent/US20210364922A1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN113296359A publication Critical patent/CN113296359A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Heterocyclic Carbon Compounds Containing A Hetero Ring Having Oxygen Or Sulfur (AREA)
  • Bipolar Transistors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

制造半导体装置的方法包含在基板上形成底层。底层包含具有侧链目标基团与侧链有机基团或侧链光酸产生剂基团的主聚合物链的聚合物。主聚合物链为聚苯乙烯、聚羟基苯乙烯、聚丙烯酸酯、聚丙烯酸甲酯、聚甲基丙烯酸甲酯、聚丙烯酸、聚乙烯基酯、聚甲基丙烯腈或聚甲基丙烯酰胺。侧链目标基团为取代或无取代的C2至C30二元醇基、C1至C30醛基或C3至C30酮基。侧链有机基团为具有光敏性官能团的C3至C30脂肪族或芳香基,且侧链光酸产生剂基团为被取代的C3至C50脂肪族或芳香基。在底层上形成光阻层。选择性地曝光光阻层。显影曝光的光阻层以形成图案。

Description

底层组成物与半导体装置的制造方法
技术领域
本公开内容的一些实施方式是关于底层组成物与半导体装置的制造方法。
背景技术
当消费电子装置因应于消费需求而变得越来越小时,这些装置的独立组件的尺寸也必须缩小。在有缩小半导体装置中的独立装置(例如晶体管、电阻器、电容器等)的尺寸的压力的情况下,构成例如移动电话、计算机平板等的主要组件的半导体装置被迫缩小。
其中一种可用于半导体装置制程的科技为光微影材料的使用。此种材料应用于被图案化的层的表面,接着使用本身已被图案化的能量源来曝光将要被图案化的层。这种曝光方式修饰光敏性材料的曝光区域的化学与物理性质。可利用这种修饰方式,沿着缺少修饰的光敏性材料的未曝光区,在不移除另一区域的情况下来移除一个区域。
然而,随着独立装置的尺寸减少,光微影制程的制程窗口(process window)变得更加紧缩。如此一来,光微影制程的领域发展必须维持缩小装置尺寸的能力,且需要进一步的改良,以达到期望的设计规范,使得制程可维持产生更小的组件。
发明内容
根据本公开内容的实施方式为一种制造半导体装置的方法,包含在半导体基板上形成光阻底层。光阻底层包含聚合物,聚合物包含具有多个侧链目标基团与多个侧链有机基团或多个侧链光酸产生剂基团的主聚合物链。主聚合物链从包含下列的群组中选出:聚苯乙烯、聚羟基苯乙烯、聚丙烯酸酯、聚丙烯酸甲酯、聚甲基丙烯酸甲酯、聚丙烯酸、聚乙烯基酯、聚马来酸酯、聚甲基丙烯腈与聚甲基丙烯酰胺。侧链目标基团为从包含下列的群组中选出的被取代或无取代的一个或多个:C2至C30的二元醇基团、C1至C30的醛基与C3至C30的酮基。侧链有机基团为具有至少一个光敏性官能团的C3至C30的脂肪族或芳香族基团,且侧链光酸产生剂基团为C3至C50的被取代的脂肪族或芳香族基团。在光阻底层上形成光阻层。选择性地在光化辐射下曝光光阻层。显影经选择性曝光的光阻层以形成光阻图案。
根据本公开内容的另一个实施方式为一种制造半导体装置的方法,包含在半导体基板上形成光阻底层。光阻底层包含具有多个侧链目标基团的聚合物。在光阻底层上形成光阻层。在光化辐射下选择性曝光光阻层与光阻底层。在光化辐射下曝光的光阻底层的多个部分中产生化学报导分子。化学报导分子为从包含以下的群组中选出的一个或多个:电子、氧分子、水、氢离子、氢氧根、阳离子、阴离子与被官能团取代的C1至C10的基团,官能团为从包含以下的群组中所选出的一个或多个基团:氟、氯、溴、碘、羟基、羧酸基、硫醇基、迭氮基、亚磺酰基、烯基、炔基、亚胺基、醚基、酯基、醛基、酮基、酰胺基、砜基、烷基羧基、氰化物基、重烯基、烷醇基、胺基、膦基、亚磷酸基、苯胺基、吡啶基与吡咯基。在光化辐射下曝光的部分光阻底层中,藉由化学报导分子与侧链目标基团之间的相互作用产生小分子。小分子为从包含以下的群组中选出的一个或多个:电子、氧分子、水、氢离子、氢氧根、阳离子、阴离子与被官能团取代的C1至C10的基团,其中官能团为从包含以下的群组中所选出的一个或多个基团:氟、氯、溴、碘、羟基、羧酸基、硫醇基、迭氮基、亚磺酰基、烯基、炔基、亚胺基、醚基、酯基、醛基、酮基、酰胺基、砜基、烷基羧基、氰化物基、重烯基、烷醇基、胺基、膦基、亚磷酸基、苯胺基、吡啶基与吡咯基。小分子从光阻底层扩散至在光化辐射下曝光的部分光阻底层中。显影经选择性曝光的光阻层以形成图案化光阻层。
根据本公开内容的另一个实施方式为一种底层组成物,包含聚合物,聚合物包含具有多个侧链目标基团与多个侧链有机基团或多个侧链光酸产生剂基团的主聚合物链。主聚合物链从包含下列的群组中选出:聚苯乙烯、聚羟基苯乙烯、聚丙烯酸酯、聚丙烯酸甲酯、聚甲基丙烯酸甲酯、聚丙烯酸、聚乙烯基酯、聚马来酸酯、聚甲基丙烯腈与聚甲基丙烯酰胺。侧链目标基团为从包含下列的群组中选出的被取代或无取代的一个或多个:C2至C30的二元醇基团、C1至C30的醛基与C3至C30的酮基,其中侧链有机基团为具有至少一个光敏性官能团的C3至C30的脂肪族或芳香族基团,且其中侧链光酸产生剂基团为C3至C50的被取代的脂肪族或芳香族基团。
附图说明
当与随附附图一起阅读时,可由后文实施方式最佳地理解本公开内容的态样。注意到根据此产业中的标准实务,各种特征并未按比例绘制。实际上,为论述的清楚性,可任意增加或减少各种特征的尺寸。
图1绘示根据本公开内容的一些实施方式的制造半导体装置的制程流程。
图2绘示根据本公开内容的实施方式的连续操作的制程阶段。
图3A与图3B绘示根据本公开内容的一些实施方式的连续操作的制程阶段。
图4绘示根据本公开内容的一些实施方式的连续操作的制程阶段。
图5绘示根据本公开内容的一些实施方式的连续操作的制程阶段。
图6绘示根据本公开内容的一些实施方式的连续操作的制程阶段。
图7绘示根据本公开内容的一些实施方式,当在光化辐射下曝光时,底层材料所经过的化学反应。
图8A与图8B绘示根据本公开内容的一些实施方式,当在光化辐射下曝光时,底层材料经过的化学反应。
图9绘示根据本公开内容的一些实施方式的底层组成物。
图10绘示根据本公开内容的一些实施方式的底层组成物。
图11绘示根据本公开内容的一些实施方式的光酸产生剂。
图12A、图12B、图12C、图12D、图12E与图12F绘示根据本公开内容的一些实施方式的产生小分子的反应。
图13绘示根据本公开内容的一些实施方式的底层组成物。
图14与图14B绘示根据本公开内容的一些实施方式的由光碱产生剂产生碱的过程。图14C绘示根据本公开内容的一些实施方式的产生小分子的反应。
图15A绘示根据本公开内容的一些实施方式的有机金属前驱物。图15B绘示当在光化辐射下曝光时,有机金属前驱物所经过的反应。图15C绘示根据本公开内容的一些实施方式的有机金属前驱物的实施例。图15D绘示根据本公开内容的一些实施方式的有机金属光阻材料。图15E绘示根据本公开内容的一些实施方式的有机金属光阻材料所经过的反应。
图16绘示根据本公开内容的一些实施方式,因为光化辐射的曝光与加热而使光阻组成物成分所经过的反应。
图17绘示根据本公开内容的实施方式的连续操作的制程阶段。
图18A与图18B绘示根据本公开内容的一些实施方式的连续操作的制程阶段。
图19绘示根据本公开内容的一些实施方式的连续操作的制程阶段。
图20绘示根据本公开内容的一些实施方式的连续操作的制程阶段。
图21绘示根据本公开内容的一些实施方式的连续操作的制程阶段。
其中附图标记:
10:基板
15:光阻层
20:底层
20a:部分
20b:部分
30:光罩
35:不透光图案
40:光罩基板
45:辐射
50:区
52:区
55:开口
55’:开口
55”:图案
57:显影剂
60:层
62:分注器
65:光罩
70:基板
75:多层
80:保护盖
85:吸收材料层
90:背部导体层
95:紫外线辐射
97:辐射
100:制程流程
S110:操作
S120:操作
S130:操作
S140:操作
S150:操作
S160:操作
S170:操作
B:基团
CL:交联剂
D:基团
E:基团
L:配位基
M+:金属中心
PAG:光酸产生剂
PBG:光碱产生剂
TAG:热酸产生剂
具体实施方式
以下公开内容提供了用于实现提供的目标的不同特征的许多不同的实施例或实例。以下描述组件及布置的特定实例用以简化本揭示内容。当然,这些仅为实例,并不旨在进行限制。例如,在下面的描述中在第二特征上方或之上形成第一特征可包含其中第一及第二特征直接接触形成的实施例,并且亦可包含其中在第一与第二特征之间形成附加特征的实施例,以使得第一及第二特征可以不直接接触。此外,本公开内容可以在各个实例中重复组件符号及/或字母。此重复是出于简单及清楚的目的,其本身并不指定所讨论的各种实施例或组态之间的关系。
此外,为了便于描述,本文中可以使用诸如“在...下方”、“在...下”、“下方”、“在...上方”、“上方”之类的空间相对术语,来描述如图中所示的一个组件或特征与另一组件或特征的关系。除了在附图中示出的方位之外,空间相对术语意在涵盖装置在使用或操作中的不同方位。装置可以其他方式定向(旋转90度或以其他方位),并且在此使用的空间相对描述语亦可被相应地解释。此外,术语“由...制成”的意思可为“包含”或“由...组成”。
用于达成次20纳米半节距(half pitch)分辨率的极紫外线(Extremeultraviolet,EUV)正在往下一代(次5纳米)节点的大量生产发展。为了减少高能曝光源的成本并提供良好的图像分辨率,极紫外线微影需要具有高灵敏度的高效能光阻。金属抗蚀剂经发展以提供高灵敏度与良好的分辨率。然而,可能会发生图案崩坏、线宽粗糙度与线边缘粗糙度增加的情况。本公开内容的实施方式改善光阻图案的完整性、减少线宽粗糙度、线边缘粗糙度与细屑。本公开内容的实施方式允许使用较少的曝光剂量。
图1绘示根据本公开内容的一些实施方式的制造半导体装置的制程流程100。在操作S110中,在一些实施方式中,在将被图案化的层(目标层)的表面上或基板10上涂布光阻底层组成物,以形成光阻底层20,如图2所示。在一些实施方式中,光阻底层20具有介于约2纳米至约300纳米之间的厚度。在一些实施方式中,光阻底层20具有介于约20纳米至约100纳米之间的厚度。接着,在一些实施方式中,光阻底层20经过第一烘烤操作S120来蒸发掉底层组成物中的溶剂。底层20在足够的温度与时间下烘烤,来修复并干燥底层20。在一些实施方式中,加热底层至约80摄氏度至约300摄氏度,并持续约10秒至约10分钟。在一些实施方式中,底层的加热温度在约160摄氏度至约250摄氏度之间。
接着在操作S130中,在一些实施方式中,在光阻底层20上涂布光阻层组成物,以形成抗蚀层15,如图2所示。在一些实施方式中,抗蚀层15为光阻层。接着光阻层15经过第二烘烤操作S140(或曝光前烘烤操作)来蒸发掉光阻组成物中的溶剂。光阻层在足够的温度与时间下烘烤,来修复并干燥光阻层15。在一些实施方式中,加热光阻层至约40摄氏度至约150摄氏度,并持续约10秒至约10分钟。在一些实施方式中,在烘烤光阻底层20之前,在光阻底层20上涂布光阻层组成物,且在单一个烘烤操作中一起烘烤光阻层15与光阻底层20,以去除两层的溶剂。
在光阻层15的第二(或曝光前)烘烤操作S140之后,在操作S150中,选择性地在光化辐射(actinic radiation)45/97(见图3A至图3B)下曝光光阻层15。在一些实施方式中,选择性地在紫外线辐射下曝光光阻层15。在一些实施方式中,辐射为电磁辐射,例如g线(g-line,波长约为436纳米)、i线(i-line,波长约为365纳米)、紫外线辐射、深紫外线辐射、极紫外线、电子束或类似者。在一些实施方式中,辐射源从由以下所组成的群组中选出:水银灯、氙灯、碳弧灯、氟化氪准分子激光灯(波长为248纳米)、氟化氩准分子激光灯(波长193纳米)、氟气准分子激光灯(波长为157纳米)或被二氧化碳激光激发的锡等离子体(极紫外线,波长13.5纳米)。
如图3A所示,在一些实施方式中,在照射光阻层15之前,曝光辐射45穿过光罩30。在一些实施方式中,光罩30具有将要被复制在光阻层15中的图案。在一些实施方式中,图案藉由在光罩基板40上的不透光图案35形成。不透光图案35可由对紫外线辐射不透光的材料形成,例如铬,而光罩基板40由对紫外线辐射透光的材料形成,例如熔融石英。
在一些实施方式中,使用极紫外线微影来执行光阻层15的选择性曝光,以形成曝光区50与未曝光区52。在极紫外线微影操作中,反射性光罩65用于形成经图案化的曝光光源,如图3B所示。反射性光罩65包含低热膨胀性玻璃基板70与硅与钼的反射性多层75,反射性多层75在基板70上形成。保护盖80与吸收材料层85形成在反射性多层75上。背部导体层90形成在低热膨胀性基板70的背侧上。在极紫外线微影中,极紫外线辐射95以约6度的入射角直接射向反射性光罩65。极紫外线辐射97的部分被硅/钼多层75反射至涂布光阻的基板10,而在吸收材料层85上方的部分极紫外线辐射被光罩吸收。在一些实施方式中,更多的光学物,包含镜子,位于反射性光罩65与涂布光阻的基板之间。
相对于光阻层中未在辐射下曝光的区52,光阻层中,有在辐射下曝光的区50会发生化学反应,从而改变在后续应用的显影剂中的溶解度。在一些实施方式中,光阻层中有在辐射下曝光的区50发生交联反应。除了造成在光阻层15中的化学反应,辐射45/97的一部分也穿过光阻层15并造成在光阻底层20中的反应。在光阻底层20中的反应导致小分子的产生,小分子接着扩散至光阻层15中。图3A与图3B绘示光阻底层20中的曝光部分20b与未曝光部分20a。
接着,在操作S160中,光阻层15与光阻底层20经过第三烘烤(或曝光后烘烤(post-exposure bake,PEB))。在一些实施方式中,加热光阻层15至约50摄氏度至约200摄氏度,并持续约20秒至约120秒。曝光后烘烤可用于协助酸根离子/碱根离子/自由基的产生、分散与反应,这些酸根离子/碱根离子/自由基是在曝光期间,从光阻层15上的辐射45/97的冲击而产生。曝光后烘烤也帮助小分子从光阻底层20的曝光部分20b扩散至光阻层15中。这种协助有助于创造或增强化学反应,此化学反应造成在光阻层中的曝光区50与未曝光区52之间的化学差异。
在操作S170中,施加显影剂至经选择性曝光的光阻层,来显影经选择性曝光的光阻层。如图4所示,显影剂57从分注器62提供至光阻层15。在一些实施方式中,藉由显影剂57来移除光阻层的未曝光区52,在光阻层15中形成开口55的图案,以暴露底层的未曝光部分20a,如图5所示。
在一些实施方式中,在光阻层15中的开口55的图案贯穿底层20延伸至基板10中,以在基板10中创造开口55’的图案,从而将光阻层15的图案转移至基板10中,如图6所示。藉由蚀刻、使用一种或多种适合的蚀刻剂来延伸图案至基板中。在一些实施方式中,蚀刻制程移除在光阻图案特征(即曝光区50)之间的底层的未曝光部分20a。在一些实施方式中,在蚀刻操作中,至少部分地移除光阻层图案(即曝光区50)。在另一些实施方式中,在蚀刻基板10之后,光阻层图案(即曝光区50)与在光阻层图案底下的底层的曝光部分20b藉由使用适合的去除溶剂或藉由光阻剂灰化操作来移除。
在一些实施方式中,基板10包含至少在表面部分的单晶半导体层。基板10可包含单晶半导体材料例如,但不限于,硅(Si)、锗(Ge)、硅锗(SiGe)、砷化镓(GaAs)、锑化铟(InSb)、磷化镓(GaP)、锑化镓(GaSb)、砷化铝铟(InAlAs)、砷化铟镓(InGaAs)、磷化镓锑(GaSbP)、锑砷化镓(GaAsSb)与磷化铟(InP)。在一些实施方式中,基板10为绝缘体上硅(silicon-on insulator,SOI)的硅层。在特定的实施方式中,基板10由硅晶体制成。
基板10可包含在基板10中的一个或多个缓冲层(未绘示)。缓冲层可用于逐渐改变晶格常数,从基板的晶格常数改变至后续形成的源极/漏极区域的晶格常数。缓冲层可由磊晶成长单晶半导体材料形成,例如,但不限于,硅、锗、锗锡(GeSn)、硅锗、砷化镓、锑化铟、磷化镓、锑化镓、砷化铝铟、砷化铟镓、磷化镓锑、锑砷化镓、氮化镓(GaN)、磷化镓(GaP)与磷化铟。在实施方式中,硅锗缓冲层磊晶成长在硅基板10上。硅锗缓冲层的锗浓度可从最底下缓冲层的30%原子百分比增加至最上方缓冲层的70%原子百分比。
在一些实施方式中,基板10包含一层或多层的至少一种金属、金属合金与具有化学式MXa的金属氮化物/硫化物/氧化物/硅化物,其中M为金属,X为氮(N)、硫(S)、硒(Se)、氧(O)、硅(Si),且a介于约0.4至约2.5之间。在一些实施方式中,基板10包含钛(Ti)、铝(Al)、钴(Co)、钌(Ru)、氮化钛(TiN)、氮化钨(WN)、氮化钽(TaN)与其组合。
在一些实施方式中,基板10包含具有至少一种硅或金属的氧化物或氮化物的介电质,其中硅或金属的氧化物或氮化物具有化学式MXb,M为金属或硅,X为氮或氧且b介于约0.4至约2.5之间。在一些实施方式中,基板10包含二氧化硅、氮化硅、氧化铝、氧化铪、氧化镧与其组合。
在一些实施方式中,光阻底层20提升了光阻层15与基板之间的黏着性。在一些实施方式中,光阻底层20作为底层抗反射性涂层(bottom anti-reflective coating,BARC)。底层抗反射性涂层吸收穿过光阻层的光化辐射,从而避免光化辐射在基板或目标层上反射,并曝光无意进行曝光的光阻层部分。因此,底层抗反射性涂层改善光阻图案的线宽粗糙度与线边缘粗糙度。
光阻底层20由聚合物组成物制成,其中聚合物具有侧链目标基团(pendanttarget group)。在一些实施方式中,聚合物具有一个或多个侧链目标基团与一个侧链有机基团(pendant organic group)。在一些实施方式中,侧链有机基团包含光敏性官能团,包含化学报导分子(chemical reporter molecule),如图7所示。在一些实施方式中,聚合物为刺激响应性聚合物(stimuli-responsive polymer)。刺激响应性聚合物为对特定外部刺激敏感的物质,且可在外部刺激的暴露下改变它们的化学或物理性质。
在一些实施方式中,侧链有机基团为芳香族基团、光酸产生剂(photoacidgenerator,PAG)与其他敏化剂(sensitizer)。在一些实施方式中,光敏性化合物包含在聚合物组成物中,例如光酸产生剂或光碱产生剂(photobase generator,PBG)。在光化辐射的曝光下之后,光敏性官能团或光敏性化合物释放化学报导分子,且化学报导分子从光敏性官能团或光敏性化合物转移至目标基团。当接收化学报导分子时,目标基团释放期望的小分子,如图7所示。小分子接着藉由加热光阻底层20与光阻层15扩散至光阻层15,其中小分子可促进化学反应,例如水解、缩合、亲核加成与酸碱反应。
在一些实施方式中,化学报导分子包含电子、阴离子、阳离子、H+、O2、H2O、NH3、HF、HCl、ROH或RNH2,其中R为C1至C10的基团。R包含从下列基团中选出的官能团:-I、-Br、-Cl、-NH2、-COOH、-OH、-SH、-N3、-S(=O)-、烯基、炔基、亚胺基、醚基、酯基、醛基、酮基、酰胺基、砜基、羧基、乙酸基、氰化物基、重烯基、醇基、胺基、膦基、亚磷酸基、苯胺基、吡啶基与吡咯基。
在一些实施方式中,小分子包含电子、阴离子、阳离子、H+、O2、H2O、NH3、HF、HCl、ROH或RNH2,其中R为C1至C10的基团。R包含从下列基团中选出的官能团:-I、-Br、-Cl、-F、-NH2、-COOH、-OH、-SH、-N3、-S(=O)-、烯基、炔基、亚胺基、醚基、酯基、醛基、酮基、酰胺基、砜基、羧基、乙酸基、氰化物基、重烯基、醇基、胺基、膦基、亚磷酸基、苯胺基、吡啶基与吡咯基。在一些实施方式中,化学报导分子与小分子为不同的分子。
第一反应机制绘示于图8A中。在此实施方式中,水为从具有二元醇基的目标基团释放的小分子。化学报导分子为藉由光化辐射与PAG相互作用而释放的H+阳离子。PAG可为在侧链有机基团上的光敏性官能团,或是在光阻组成物中的独立的化合物。在光化辐射的曝光下,PAG释放H+且H+从PAG转移至二元醇基。当接收到H+时,二元醇基释放水分子。水分子接着在曝光后烘烤期间扩散至光阻层15中,且进一步促进化学反应,例如水解、缩合、亲核加成或酸碱反应。在一些实施方式中,在选择性曝光操作中,小分子(例如水)在光阻层中的行为允许使用减少的曝光剂量。
根据一些实施方式中的第二反应机制,水作为小分子而从具有羰基的目标基团(例如醛或酮)产生。聚合物组成物包含光碱产生剂PBG。如图8B所示,光化辐射与PBG之间的相互作用会产生作为化学报导分子的胺。胺接着与聚合物上的目标基团的羰基(R-CR(=O))反应,并在形成C=N双键的同时产生水分子。水分子接着在曝光后烘烤期间扩散至光阻层15中,并在光阻层中促进化学反应,例如水解、缩合、亲核加成或酸碱反应。在一些实施方式中,在选择性曝光操作中,小分子(例如水)在光阻层中的行为允许使用减少的曝光剂量。
在一些实施方式中,光阻底层20的聚合物组成物包含具有一个或多个侧链二元醇目标基团D与一个或多个侧链有机基团B的聚合物,且光酸产生剂PAG为在聚合物组成物中的独立的化合物,如图9所示。因为光化辐射的关系,PAG产生光酸,接着光酸触发二元醇基团D以在曝光后烘烤期间,在曝光区中释放水分子。水分子接着扩散至光阻层中。在一些实施方式中,光阻剂为含金属光阻剂。水促进含金属光阻剂的交联作用,或在曝光后烘烤期间,在曝光区中产生金属氧化物。因为从底层补充水的关系,含金属光阻剂经过强化且可减少曝光剂量。在一些实施方式中,聚合物组成物可视情况地包含热酸产生剂(thermal acidgenerator)TAG、交联剂CL与添加剂,例如表面活性剂。
二元醇基团D可为1,n-二元醇,其中n>0。二元醇基团D的结构可为非环状或环状,且环状结构可为芳香或非芳香环。在一些实施方式中,二元醇基团D为被取代或无取代的C2至C30的基团。在一些实施方式中,C2至C30的基团被一个或多个-I、-Br、-Cl、-F、-NH2、-COOH、-OH、-SH、-N3、-S(=O)-、烯基、炔基、亚胺基、醚基、酯基、醛基、酮基、酰胺基、砜基、乙酸基、羧酸基、氰化物基、重烯基、醇基、胺基、膦基、亚磷酸基、苯胺基、吡啶基或吡咯基所取代。
有机基团B可为非环状或环状结构,且环状结构可为芳香或非芳香环。在一些实施方式中,有机基团B为被取代或无取代的C1至C30的基团。在一些实施方式中,C1至C30的基团被一个或多个-I、-Br、-Cl、-F、-NH2、-COOH、-OH、-SH、-N3、-S(=O)-、烯基、炔基、亚胺基、醚基、酯基、醛基、酮基、酰胺基、砜基、乙酸基、羧酸基、氰化物基所取代。
光阻底层20的交联剂CL可为任何适合的交联剂。交联剂CL与一个聚合物的官能团还有另一个聚合物的官能团反应,以将两个聚合物链交联并键结在一起。这种键结与交联增加交联反应的聚合物产物的分子量,并增加底层的总体密度。在一些实施方式中,交联剂为光阻底层聚合物组成物的独立成分。在其他实施方式中,交联剂连接在光阻底层聚合物组成物中的聚合物上。
在一些实施方式中,交联剂CL具有以下结构:
Figure BDA0003078311390000121
在其他实施方式中,交联剂CL具有以下结构:
Figure BDA0003078311390000122
其中C为碳,n介于1至15之间,A与B各自包含氢原子、羟基、卤化物、芳香族碳环、或具有1至12个碳的直链或环状的烷基、烷氧基/氟基、烷基/氟烷氧基链,且每个碳包含A与B。在碳链的第一尾端的第一末端碳包含X,且在碳链的第二尾端的第二末端碳包含Y,其中X与Y各自包含胺基、硫醇基、羟基、异丙醇基或异丙胺基,除了n等于1时,X与Y会键结至同一个碳。可用于交联剂的材料的特定实施例包含以下:
Figure BDA0003078311390000123
在一些实施方式中,可加入偶和试剂来代替加入至光阻底层组成物的交联剂,或是除了加入至光阻底层组成物的交联剂外,也加入偶和试剂。偶和试剂藉由在交联试剂之前,与聚合物上的官能团反应来帮助交联反应,从而减少交联反应的反应能量,并增加反应速率。键结的偶和试剂接着与交联剂反应,从而将交联剂偶合至聚合物。
在一些实施方式中,偶和试剂具有以下结构:
Figure BDA0003078311390000131
其中,R为碳原子、氮原子、硫原子或氧原子,M包含氯原子、溴原子、碘原子、--NO2、--SO3-、--H--、--CN、--NCO、--OCN、--CO2-、--OH、--OR*、--OC(O)CR*、--SR、--SO2N(R*)2--SO2R*、SOR、--OC(O)R*、--C(O)OR*、--C(O)R*、--Si(OR*)3、--Si(R*)3、环氧基或类似者,且R*为被取代或无取代的C1至C12的烷基、C1至C12的芳基、C1至C12的芳烷基或类似者。在一些实施方式中,可用于偶和试剂的材料的特定实施例包含以下:
Figure BDA0003078311390000132
在一些实施方式中,热酸产生剂为一个或多个从包含以下的群组所选出的分子:
Figure BDA0003078311390000133
Figure BDA0003078311390000141
Figure BDA0003078311390000142
Figure BDA0003078311390000143
其中0≤n≤10,且R为氢或被取代或无取代的C1至C10的烷基。在一些实施方式中,热酸产生剂从NH4 +C4F9SO3-与NH4 +CF3SO3-中选出。
在一些实施方式中,第一烘烤操作S120活化TAG并产生酸。一些由TAG产生的酸可从底层20扩散至光阻层15中,且小分子可因为藉由TAG而产生的酸而产生。然而,TAG在光阻层15上的效果有限,因为大部分由TAG产生的酸是用于交联底层聚合物。此外,在一些实施方式中,在底层聚合物的交联作用之后留下的TAG在第一烘烤期间蒸发掉。与藉由光酸产生剂PAG产生的小分子相比,藉由TAG产生的小分子微不足道。与藉由光酸产生剂PAG产生的酸的量相比,任何藉由TAG产生,且在曝光后烘烤操作S160之前还留下的酸是可忽略的。因此,在一些实施方式中,TAG在光阻烘烤操作S140、辐射曝光操作S150、曝光后烘烤操作S160与显影操作S170的效应与PAG的效应相比而言是可忽略的。
底层包含重量百分浓度介于聚合物组成物的总重量的约0.1%至约20%之间的PAG或PAG与TAG的组合。在重量百分浓度低于约0.1%时,可能没有足够的PAG或TAG来提供所期望的效果。在重量百分浓度高于约20%时,可能无法在光阻图案轮廓方面得到显著的提升。
在一些实施方式中,在光阻底层聚合物组成物中加入添加剂,例如表面活性剂。在一些实施方式中,表面活性剂包含非离子表面活性剂、具有氟化脂肪族基团的聚合物、包含至少一个氟原子与/或至少一个硅原子的表面活性剂、聚氧乙烯基烷基醚、聚氧乙烯基烷基芳基醚、聚氧乙烯基-聚氧丙烯基嵌段共聚物、去水山梨醇脂肪酸酯与聚氧乙烯基去水山梨醇脂肪酸酯。
在一些实施方式中,用于表面活性剂的材料的特定实施例包含聚氧乙烯基月桂基醚、聚氧乙烯基硬脂基醚、聚氧乙烯基鲸蜡基醚、聚氧乙烯基油酰基醚、聚氧乙烯基辛酚醚、聚氧乙烯基壬酚醚、单月桂酸去水山梨醇酯、单棕榈酸去水山梨醇酯、单硬脂酸去水山梨醇酯、单油酸去水山梨醇酯、三油酸去水山梨醇酯、聚氧乙烯基单月桂酸去水山梨醇酯、聚氧乙烯基单棕榈酸去水山梨醇酯、聚氧乙烯基单硬脂酸去水山梨醇酯、聚氧乙烯基三油酸去水山梨醇酯、聚氧乙烯基三硬脂酸去水山梨醇酯、聚氧乙烯基单硬脂酸去水山梨醇酯、二硬脂酸聚乙二醇酯、二月桂酸聚乙二醇酯、聚乙二醇、聚丙二醇、聚氧乙烯基硬脂基醚、聚氧乙烯基鲸蜡基醚、含氟的阳离子表面活性剂、含氟的非离子表面活性剂、含氟的阴离子表面活性剂、阳离子与阴离子表面活性剂、其组合或类似物。
在一些实施方式中,聚合物的主链从包含以下的群组中选出:聚苯乙烯、聚羟基苯乙烯、聚丙烯酸酯、聚丙烯酸甲酯、聚甲基丙烯酸甲酯、聚丙烯酸、聚乙烯基酯、聚马来酸酯、聚甲基丙烯腈与聚甲基丙烯酰胺。在一些实施方式中,侧链有机基团为光敏性官能团,这些光敏性官能团在由光化辐射曝光的部分光阻底层中释放化学报导分子。在一些实施方式中,有机基团为被取代或无取代的C3至C30的脂肪族或芳香族基团,且至少具有一个光敏性官能团。在一些实施方式中,光敏性官能团为一个或多个环氧基、偶氮基、烷基卤化物基团、亚胺基、烯基、炔基、过氧化基、酮基、醛基、重烯基、芳香族基或杂环基。芳香族基可以是苯基、萘基、菲基、蒽基、丙烯合萘基与其他包含三元至十元环的芳香族基。在一些实施方式中,侧链目标基团包含被取代的环状或非环状结构。环状结构可为芳香或非芳香环。在一些实施方式中,目标基团为包含官能团的C1至C30的基团,官能团为从以下基团选出的一个或多个基团:-I、-Br、-Cl、-NH2、-COOH、-OH、-SH、-N3、-S(=O)-、烯基、炔基、亚胺基、醚基、酯基、醛基、酮基、酰胺基、砜基、羧基、氰化物基、重烯基、醇基、二元醇基、三元醇基、胺基、膦基、亚磷酸基、苯胺基、吡啶基与吡咯基。
在一些实施方式中,在溶剂中混合光阻底层聚合物组成物的各种成分,以促进聚合物组成物在基板上的应用。在一些实施方式中,溶剂为从以下选出的一种或多种溶剂:丙二醇甲醚醋酸酯(propylene glycol methyl ether acetate,PGMEA)、丙二醇甲醚(propylene glycol monomethyl ether,PGME)、丙二醇乙醚(PGEE)、γ-丁内酯(γ-butyrolactone,GBL)、环己酮(cyclohexanone,CHN)、乳酸乙酯(ethyl lactate,EL)、甲醇、乙醇、丙醇、正丁醇、丙酮、二甲基甲酰胺(dimethylformamide,DMF)、异丙醇(isopropanol,IPA)、四氢呋喃(tetrahydrofuran,THF)、4-甲基-2-戊醇(methyl isobutyl carbinol,MIBC)、乙酸正丁酯(n-butyl acetate,nBA)与2-庚酮(MAK)。
在一些实施方式中,PAG连接在光阻底层聚合物组成物中的聚合物上,如图10所示。聚合物包含二元醇基团D与有机基团B。连接的PAG在曝光之后产生光酸化学报导分子,接着光酸触发二元醇基团以在曝光后烘烤操作期间,在曝光区中释放小分子,例如水。水分子接着扩散至光阻层中。在一些实施方式中,光阻剂为含金属光阻剂。水促进含金属光阻剂的交联作用,或在曝光后烘烤期间,在曝光区中产生金属氧化物。因为从底层补充水的关系,含金属光阻剂经过强化且可减少曝光剂量。在一些实施方式中,聚合物组成物可视情况地包含热酸产生剂TAG、交联剂CL与添加剂,例如表面活性剂。
在一些实施方式中,在组成物中的自由的PAG化合物(绘示于图9)与连接的PAG基团(绘示于图10)为从以下群组中选出的一个或多个化合物或基团,此群组包含含有氟原子且至少具有一个光敏性官能团的C3至C50的烷基。光敏性官能团包含锍盐、三苯基锍三氟甲磺酸盐、三苯基锍全氟丁磺酸盐、二甲基锍三氟甲磺酸盐、錪盐、二苯基錪鎓全氟丁磺酸盐、降冰片烯二甲酰亚胺基全氟丁磺酸盐、环氧基、偶氮基、烷基卤化物基团、亚胺基、烯基、炔基、过氧化基、酮基、醛基、重烯基、芳香族基或杂环基。芳香族基可以是苯基、萘基、菲基、蒽基、丙烯合萘基或其他包含一个或多个三元至十元环的芳香族基。根据本公开内容的一些实施方式,光酸产生剂的一些实施例绘示于图11中。
二元醇基团D、有机基团B、交联剂CL、TAG与添加剂可为任何参考图9的组成物所公开内容的二元醇基团、有机基团、交联剂、TAG与添加剂。
图12A至图12F绘示根据本公开内容的一些实施方式,光阻底层聚合物上的侧链目标基团的各种产生水的反应机制。图12A绘示藉由嚬哪醇重排反应(pinacolrearrangement)来移除水的过程。酸(H+)化学报导分子与二元醇基团反应以释放水。在图12B中,二元醇官能团的羟基为环状烷基结构上的取代基。1,2-二元醇结构一与酸反应时,就会释放水。图12C绘示藉由扩环反应来偶合1,2-二元醇以释放水的过程。五元烷基环在与酸反应之后,一释放水分子,就会扩张至六元环。图12D绘示由1,n-二元醇(其中n=4)的成环作用中的目标基团释放水的过程。目标基团与酸的反应释放水并形成五元杂环。图12E绘示由环状醇目标基团释放的水与接下来一与酸反应便形成的碳-碳双键。图12F绘示藉由三元醇目标基团的目标基团与酸分子的两步骤反应而释放两个水分子的过程。
在一些实施方式中,使用第二机制以产生小分子。在第二机制中,光碱产生剂用于产生化学报导分子,且目标基团为羰基,例如醛基或酮基。在一些实施方式中聚合物组成物包含具有一个或多个侧链羰基目标基团E与一个或多个侧链有机基团B的聚合物。PBG为在聚合物组成物中的独立的化合物,如图13所示。因为光化辐射的关系,PBG产生光碱,例如胺,接着光碱触发羰基基团E以在曝光后烘烤期间,在曝光区中释放水分子。水分子接着扩散至光阻层中。在一些实施方式中,光阻剂为含金属光阻剂。水促进含金属光阻剂的交联作用,或在曝光后烘烤期间,在曝光区中产生金属氧化物。因为从底层补充水的关系,含金属光阻剂经过强化且可减少曝光剂量。在一些实施方式中,聚合物组成物可视情况地包含热酸产生剂TAG、交联剂CL与添加剂,例如表面活性剂。
在一些实施方式中,目标基团E具有羰基。在一些实施方式中,目标基团可为醛基、酮基、酯基、酰胺基或任何适合的包含羰基的基团。在一些实施方式中,侧链目标基团包含被取代的非环状或环状结构。环状结构可为芳香或非芳香环。在一些实施方式中,目标基团为包含官能团的C1至C30的基团,官能团为一个或多个从以下基团选出的基团:-I、-Br、-Cl、-NH2、-COOH、-OH、-SH、-N3、-S(=O)-、烯基、炔基、亚胺基、醚基、酯基、醛基、酮基、酰胺基、砜基、羧基、氰化物基、重烯基、醇基、胺基、膦基、亚磷酸基、苯胺基、吡啶基与吡咯基。
有机基团B、交联剂CL、TAG与添加剂可为任何参考图9的组成物所公开内容的有机基团、交联剂、TAG与添加剂。
图14A与图14B绘示光碱产生剂的实施例,并展示一在光化辐射下曝光光碱产生剂便会产生胺化学报导分子的反应。在一些实施方式中,R1与R2为被取代或无取代的C1至C15的烷基。图14C绘示胺化学报导分子与目标基团上的羰基反应,以产生作为小分子的水。在此反应中,当释放水分子时,胺与羰基反应以形成在目标基团上的C=N双键。
在一些实施方式中,光碱产生剂为从包含以下的群组中选出的一个或多个分子:二硫代氨基甲酸酯四级铵盐(quaternary ammonium dithiocarbamates)、α胺基酮、含肟-氨基甲酸乙酯(oxime-urethane)的分子例如二苯酮肟六亚甲基二氨基甲酸乙酯、铵四硼酸有机盐(ammonium tetraorganylborate salts)与N-(2-氮硝基苄氧羰基)环胺、其组合或类似物。在一些实施方式中,热碱产生剂为从包含以下的群组中选出的一个或多个分子:
Figure BDA0003078311390000191
在一些实施方式中,藉由在溶剂中准备在此公开内容的聚合物组成物成分中的任一者的底层涂布组成物来形成光阻底层20。溶剂可以为任何适合溶解聚合物与组成物中所选的成分的溶剂。底层涂布组成物藉由例如旋转涂布的方式涂布在基板10或目标层上。接着烘烤底层组成物以干燥底层,如同参考图1所解释的。
在一些实施方式中,光阻底层20的厚度介于约2纳米至约300纳米之间,且在其他实施方式中,光阻底层20的厚度介于约20纳米至约100纳米之间。在一些实施方式中,光阻底层20的厚度介于约40纳米至约80纳米之间。低于所公开内容的范围的光阻底层厚度可能不足以提供足够的光阻黏着性、线宽粗糙度的改善与抗反射性性质。高于所公开内容的范围的光阻底层厚度可能会不必要地厚,且无法进一步改善光阻层黏着性、线宽粗糙度与细屑减少的程度。
在一些实施方式中,光阻层15为藉由光化辐射曝光来图案化的光敏性层。通常,取决于使用的光阻剂种类,被入射辐射撞击的光阻剂区域的化学性质会以不同形式变化。光阻层15不是正光阻就是负光阻。正光阻指的是当曝光在辐射下时,例如紫外光,变得可溶于显影剂的光阻材料,而未曝光(或曝光较少)的光阻区域不可溶于显影剂。另一方面,负光阻指的是当曝光在辐射下时,变得不可溶于显影剂的光阻材料,而未曝光(或曝光较少)的光阻区域可溶于显影剂。当曝光在辐射下时,变得不可溶的负光阻区域可能是因为曝光在辐射下造成的交联反应而变得不可溶。
光阻为正光阻或是负光阻取决于用于显影光阻的显影剂种类。举例而言,当显影剂为水溶液型显影剂时,例如氢氧化四甲基铵(tetramethylammonium hydroxide,TMAH)溶液,有些正光阻提供正型图案(即藉由显影剂移除曝光区)。另一方面,当显影剂为有机溶液时,相同的光阻提供负型图案(即藉由显影剂移除未曝光区)。此外,在一些由氢氧化四甲基铵溶液显影的负光阻中,由氢氧化四甲基铵移除光阻的未曝光区,且光阻的曝光区一曝光在光化辐射下便发生交联,在显影结束后仍会留在基板上。
在一些实施方式中,光阻层15由光阻组成物制成,包含在气态结合的第一化合物或第一前驱物与第二化合物或第二前驱物。第一前驱物或第一化合物为具有化学式MaRbXc的有机金属物,如图15A所示,其中M为至少锡(Sn)、铋(Bi)、锑(Sb)、铟(In)、碲(Te)、钛(Ti)、锆(Zr)、铪(Hf)、钒(V)、钴(Co)、钼(Mo)、钨(W)、铝(Al)、镓(Ga)、硅(Si)、锗(Ge)、磷(P)、砷(As)、钇(Y)、镧(La)、铈(Ce)或镥(Lu)中的其中一者,且R为被取代或无取代的烷基、烯基或羧酸盐。在一些实施方式中,M从包含锡、铋、锑、铟、碲与其组合的群组中选出。在一些实施方式中,R为C3至C6的烷基、烯基或羧酸盐。在一些实施方式中,R从包含以下的群组中选出:丙基、异丙基、丁基、异丁基、仲丁基、叔丁基、戊基、异戊基、仲戊基、叔戊基、己基、异己基、仲己基、叔己基与其组合。X为配位基、离子或其他可与第二化合物或第二前驱物反应的部分(moiety),且在一些实施方式中,1≤a≤2,b≥1,c≥1且b+c≤5。在一些实施方式中,烷基、烯基或羧酸盐被一个或多个氟基取代。在一些实施方式中,有机金属前驱物为二聚体,如图15A所示,其中每个单体单元由胺基键结在一起。每个单体单元具有如上所定义的化学式MaRbXc
在一些实施方式中,R为烷基,例如CnH2n+1,其中n≥3。在一些实施方式中,R被氟化,例如具有化学式CnFxH((2n+1)-x)。在一些实施方式中,R具有至少一个beta-氢或beta-氟。在一些实施方式中,R从包含以下的群组中选出:异丙基、正丙基、叔丁基、异丁基、正丁基、仲丁基、正戊基、异戊基、叔戊基、仲戊基与其组合。
在一些实施方式中,X为任何易于被第二化合物或第二前驱物替换的部分(moiety),以形成M-OH的部分(moiety)。此部分(moiety)可从包含胺(包含二烷基胺基与一烷基胺基)、烷氧基、羧酸盐、卤素与磺酸盐的群组中选出。在一些实施方式中,磺酸盐基团被一个或多个胺基取代。在一些实施方式中,卤素为从包含氟、氯、溴与碘的群组中选出的一个或多个原子。在一些实施方式中,磺酸盐基团包含被取代的或无取代的C1至C3基团。
在一些实施方式中,第一有机金属化合物或第一有机金属前驱物包含金属中心M+与连接至金属中心M+的配位基L,如图15B所示。在一些实施方式中,金属中心M+为金属氧化物。在一些实施方式中,配位基L包含C3至C12的脂肪族或芳香族基团。脂肪族或芳香族基团可为无支链或具有环状或非环状的饱和侧链基团的支链,侧链基团含有1至9个碳,包含烷基、烯基与苯基。有支链的基团可进一步被氧或卤素取代。在一些实施方式中,C3至C12的脂肪族或芳香族基团包含杂环基。在一些实施方式中,C3至C12的脂肪族或芳香族基团藉由醚或酯的键结连接至金属。在一些实施方式中,C3至C12的脂肪族或芳香族基团包含亚硝酸盐或磺酸盐取代基。
在一些实施方式中,有机金属前驱物或有机金属化合物包含仲己基三(二甲基胺基)锡、叔己基三(二甲基胺基)锡、异己基三(二甲基胺基)锡、正己基三(二甲基胺基)锡、仲戊基三(二甲基胺基)锡、叔戊基三(二甲基胺基)锡、异戊基三(二甲基胺基)锡、正戊基三(二甲基胺基)锡、仲丁基三(二甲基胺基)锡、叔丁基三(二甲基胺基)锡、异丁基三(二甲基胺基)锡、正丁基三(二甲基胺基)锡、异丙基三(二甲基胺基)锡、正丙基三(二乙基胺基)锡与类似的烷基三(叔丁氧基)锡化合物,包含仲己基三(叔丁氧基)锡、叔己基三(叔丁氧基)锡、异己基三(叔丁氧基)锡、正己基三(叔丁氧基)锡、仲戊基三(叔丁氧基)锡、叔戊基三(叔丁氧基)锡、异戊基三(叔丁氧基)锡、正戊基三(叔丁氧基)锡、叔丁基三(叔丁氧基)锡、异丁基三(丁氧基)锡、正丁基三(丁氧基)锡、仲丁基三(丁氧基)锡、异丙基三(叔丁氧基)锡或正丙基三(丁氧基)锡。在一些实施方式中,有机金属前驱物或有机金属化合物被氟化。在一些实施方式中,有机金属前驱物或化合物具有低于约200摄氏度的沸点。
在一些实施方式中,第一化合物或第一前驱物包含一个或多个不饱和键结,键结可与在基板或中间底层的表面上的官能团(例如羟基)配对,以改善光阻层至基板或底层的黏着性。
在一些实施方式中,第二前驱物或第二化合物为至少胺、硼烷、膦或水中的其中一个。在一些实施方式中,胺具有化学式NpHnXm,其中0≤n≤3,0≤m≤3,当p为1时,n+m=3,当p为2时,n+m=4,且每个X各自为从氟、氯、溴与碘中选出的卤素。在一些实施方式中,硼烷具有化学式BpHnXm,其中0≤n≤3,0≤m≤3,当p为1时,n+m=3,当p为2时,n+m=4,且每个X各自为从氟、氯、溴与碘中选出的卤素。在一些实施方式中,膦具有化学式PpHnXm,其中0≤n≤3,0≤m≤3,当p为1时,n+m=3,当p为2时,n+m=4,且每个X各自为从氟、氯、溴与碘中选出的卤素。
图15B绘示当在光化辐射下曝光时,金属前驱物所经过的反应。因为光化辐射曝光的关系,配位基L从金属前驱物的金属中心M+分开,且两个或更多个金属前驱物中心与彼此键结在一起。
图15C绘示根据本公开内容的一些实施方式的有机金属前驱物的实施例。在图15C中,Bz为苯基(benzene group)。图15D绘示根据本公开内容的一些实施方式的氧化锡有机金属光阻材料。图15E绘示根据本公开内容的一些实施方式,在光化辐射hν曝光下时,氧化锡有机金属光阻材料可能经过的反应。可取决于曝光的有机金属光阻材料是否暴露于空气、氮气、水或其组合中而获得不同的最后产物。
在一些实施方式中,藉由气相沉积操作来执行沉积光阻组成物的操作S130。在一些实施方式中,气相沉积操作包含原子层沉积(atomic layer deposition,ALD)或化学气相沉积(chemical vapor deposition,CVD)。在一些实施方式中,原子层沉积包含等离子体加强原子层沉积(plasma-enhanced atomic layer deposition,PE-ALD),且化学气相沉积包含等离子体加强化学气相沉积(plasma-enhanced chemical vapor deposition,PE-CVD)、金属有机化学气相沉积(metal-organic chemical vapor deposition,MO-CVD)、大气压化学气相沉积(atmospheric pressure chemical vapor deposition,AP-CVD)与低压化学气相沉积(low pressure chemical vapor deposition,LP-CVD)。在其他实施方式中,有机金属光阻藉由旋转涂布操作施加在基板10或目标层上。
在一些实施方式中,光阻层15形成在约5纳米至约50纳米之间的厚度,在其他实施方式中,形成在约10纳米至约30纳米之间的厚度。所属技术领域中具有通常知识者会了解到本公开内容也考虑到在如上所述的明确范围中的额外厚度范围。可根据光阻层的光学性质,使用非接触方法(X射线反射率与/或椭圆偏振技术(ellipsometry))来估算厚度。在一些实施方式中,每个光阻层厚度相对均一以便于制程进行。在一些实施方式中,沉积的光阻层厚度的变化不超过平均厚度的±25%,在其他实施方式中,沉积的光阻层厚度的变化不超过平均光阻层厚度的±10%。在一些实施方式中,光阻层均一性(例如在大基板上的高均一性沉积)的评估可将1公分的边缘排除在外,意即评估层的均一性时,不评估在涂层边缘内1公分的部分。所属技术领域中具有通常知识者会了解到本公开内容也考虑到在如上所述的明确范围中的额外范围。
在一些实施方式中,有机金属化合物包含作为金属成分的锡(Sn)、锑(Sb)、铋(Bi)、铟(In)、碲(Te),然而本公开内容不局限于这些金属。在其他实施方式中,额外的合适金属包含钛(Ti)、锆(Zr)、铪(Hf)、钒(V)、钴(Co)、钼(Mo)、钨(W)、铝(Al)、镓(Ga)、硅(Si)、锗(Ge)、磷(P)、砷(As)、钇(Y)、镧(La)、铈(Ce)、镥(Lu)或其组合。额外的金属可作为锡、锑、铋、铟、碲的替代物或与锡、锑、铋、铟、碲同时存在。
使用特定的金属可显著地影响对辐射的吸收度。因此,可根据所预期的辐射与吸收截面积来选择金属成分。锡、锑、铋、碲与铟提供对极紫外线在13.5纳米的强吸收度。铪提供对电子束与极紫外线辐射的良好吸收度。包含钛、钒、钼或钨的金属组成物在长波长具有强吸收度,以提供诸如对于248纳米波长的紫外光的灵敏度。
图16绘示根据本公开内容的一些实施方式,因为光化辐射的曝光与加热而使光阻组成物成分所经过的反应。图16绘示根据本公开内容的一些实施方式,在光阻图案化方法的各阶段中光阻层的示范性化学结构。如图16所示,光阻组成物包含有机金属化合物(例如SnX2R2)与第二化合物(例如氨(NH3))。当有机金属化合物与氨结合时,有机金属化合物与一些在气相中的氨反应以形成反应产物,此反应产物具有连接至有机金属化合物的金属(锡)的胺基。在沉积的光阻层中的胺基具有氢键,氢键可实质增加沉积的光阻层的沸点并有助于防止含金属光阻材料释气(outgassing)。此外,胺基的氢键可助于控制湿气在光阻层的质量上的影响。
当接着在极紫外线辐射下曝光时,有机金属化合物吸收极紫外线辐射,且一个或多个有机R基团从有机金属化合物切开,以在辐射曝光区域中形成胺基金属化合物。接着,当执行曝光后烘烤时,在一些实施方式中,胺基金属化合物透过胺基交联,如图16所示。在一些实施方式中,极紫外线曝光使胺基金属化合物产生部分交联。
在曝光后烘烤后,显影在光阻层中的潜在图案以形成图案化光阻层。在一些实施方式中,光阻显影剂57包含溶剂,与酸或碱。在一些实施方式中,以光阻显影剂的总重量为准,溶剂的重量百分浓度介于约60%至约99%之间。以光阻显影剂的总重量为准,在显影剂中的酸或碱的重量百分浓度介于约0.001%至约20%之间。在一些实施方式中,以光阻显影剂的总重量为准,在显影剂中的酸或碱的重量百分浓度介于约0.01%至约15%之间。
在一些实施方式中,使用旋转涂布制程将显影剂57施加至光阻层15。在旋转涂布制程中,当涂布有光阻的基板在旋转时,显影剂57是从光阻层15的上方施加至光阻层15,如图4所示。在一些实施方式中,显影剂57以约5毫升/分钟至约800毫升/分钟之间的速率提供,而涂布有光阻的基板10以每分钟转速约100至约2000的速度旋转。在一些实施方式中,显影剂的温度介于约10摄氏度至约80摄氏度之间。在一些实施方式中,显影操作的持续时间介于约30秒至约10分钟之间。
旋转涂布制程为一种适合在曝光后显影光阻层15的制程,但仅是为了例示而不是限制实施方式。此外,可使用任何合适的显影操作,包含浸涂制程、水坑制程(puddleprocesses)与喷雾法(spray-on methods)。这些显影操作皆包含在实施方式的范围内。
在显影制程期间,显影剂57溶解了交联的负光阻中未被辐射曝光的区52,暴露底层20的表面,如图5,并留下定义明确的曝光光阻区50,且提供比传统负光阻的光微影更好的定义能力。
在显影操作S170之后,从被图案化光阻覆盖的基板上移除剩余的显影剂。在一些实施方式中,使用旋干制程移除剩余的显影剂,虽然任何适合的移除技术皆可使用。在显影光阻层15并移除剩余的显影剂之后,当图案化光阻层(即曝光区50)还未被移除时,执行额外的制程。举例而言,在一些实施方式中,使用干式或湿式蚀刻来执行蚀刻操作,以将光阻层(即曝光区50)的图案透过底层20转移至下方的基板10,并形成如图6所示的开口55’。基板10与底层20具有与光阻层15不同的抗蚀刻力。一些实施方式中,相对于光阻层15而言,蚀刻剂对基板10与底层20有更高的选择性。在一些实施方式中,不同的蚀刻剂或蚀刻参数是用于蚀刻底层中非光分解(non-photocleaved)的部分20a,并接着蚀刻基板10。
在一些实施方式中,在基板10上放置将要被图案化的目标层60,如图17所示。在一些实施方式中,目标层60为金属化层或置于金属化层上的介电层,例如钝化层。在目标层60为金属化层的实施方式中,目标层60为使用金属化制程与金属沉积技术形成的导体材料,上述制程与技术包含化学气相沉积、原子层沉积与物理气相沉积(溅镀)。同样地,若目标层60为介电层,则藉由介电层形成技术,例如热氧化、化学气相沉积、原子层沉积与物理气相沉积,来形成目标层60。
接着,在光化辐射45/97下选择性地曝光光阻层15与光阻底层20,以在光阻层与底层中分别形成曝光区50、曝光部分20b与未曝光区52、未曝光部分20a,如图18A与图18B所示,且描述内容与图3A与图3B相关。在一些实施方式中,在此所述的光阻为负光阻,其中聚合物的交联在曝光区50中发生。
如图19所示,藉由从分注器62分配显影剂57,来显影非曝光光阻区52,以形成光阻开口55的图案,如图20所示。在此的显影操作与图4、图5的描述类似。
接着,如图21所示,使用蚀刻操作,将光阻层15中的开口55的图案透过光阻底层的未曝光部分20a转移至目标层60,并移除光阻层15与光阻底层的曝光部分20b,如在图6所描述,以在目标层60中形成图案55”。
在一些实施方式中,在基板10或目标层60与光阻底层20(或底层抗反射性涂层)之间提供中间层。中间层可具有提供用于光微影操作的抗反射性性质与/或硬屏蔽性质的组成物。在一些实施方式中,中间层包含含硅层(例如硅硬屏蔽材料)。中间层可包含含硅的无机聚合物。在其他实施方式中,中间层包含硅氧烷聚合物。在其他实施方式中,中间层包含氧化硅(例如旋涂式玻璃(spin-on glass,SOG))、氮化硅、氮氧化硅、多晶硅、含金属有机聚合物材料,含金属有机聚合物材料含有金属,例如钛、氮化钛、铝与/或钽与/或其他适合的材料。中间层可例如藉由共价键、氢键或亲水-亲水力连接至相邻的层。
在一些实施方式中,光阻层15包含发色团、反离子与淬灭剂。
在一些实施方式中,光阻图案化操作包含在显影操作之后,在光化辐射下的第二曝光,以在显影操作之后硬化光阻或提升光阻图案的对比。在一些实施方式中,执行第二曝光的波长与第一曝光不同。在一些实施方式中,第二曝光的执行波长少于250纳米,且至少藉由氟化氪激光、氟化氩激光、极紫外线或电子束中的其中一者执行。
其他实施方式包含在上述操作之前、之间或之后的操作。在一些实施方式中,公开内容的方法包含形成半导体装置,包含鳍式场效晶体管(fin field effect transistor,FinFET)结构。在一些实施方式中,在半导体基板上形成多个主动鳍。这些实施方式更包含从经图案化的屏蔽的开口蚀刻基板,以形成基板中的沟槽;使用介电材料填充沟槽;执行化学机械研磨(chemical mechanical polishing,CMP)制程以形成浅沟槽隔离(shallowtrench isolation,STI)特征;并磊晶成长或凹陷浅沟槽隔离特征以形成与鳍类似的主动区。在一些实施方式中,在基板上形成一个或多个栅极电极。一些实施方式包含形成栅极间隔物、经掺杂的源极/漏极区、用于栅极/源极/漏极的触点等。在其他实施方式中,在多层互连结构中形成作为金属线的目标图案。举例而言,可在基板的层间介电层(inter-layerdielectric,ILD)中形成金属线,且此基板经蚀刻以产生沟槽。可使用导体材料填充沟槽,例如金属,且可使用例如化学机械研磨的制程来研磨导体材料,以暴露被图案化的层间介电层,从而在层间介电层中形成金属线。以上为可使用在此所述的方式,而形成与/或提升装置/结构的非限制性实施例。
在一些实施方式中,根据本公开内容的实施方式,形成主动组件例如二极管、场效晶体管(field-effect transistors,FETs)、金属氧化场效晶体管(metal-oxidesemiconductor field effect transistors,MOSFET)、互补式金属氧化半导体(complementary metal-oxide semiconductor,CMOS)晶体管、双极性晶体管、高压晶体管、高频晶体管、鳍式场效晶体管、其他三维场效晶体管、其他内存与其组合。
本公开内容的光阻底层在光化幅射的曝光下与曝光后烘烤后经历脱水反应。因此,在底层组成物中的聚合物的分子量下降。醇类中的聚合物溶解度在曝光与曝光后烘烤之后下降。在一些实施方式中,氯化亚钴试纸与干燥硫酸铜试纸分析指出底层组成物的水含量增加。此外,在目标基团包含二元醇的实施方式中,核磁共振(NMR)、红外线、拉曼分析显示在曝光与曝光后烘烤之后,聚合物OH基团的强度下降,且聚合物C=O基团的强度上升。
将本公开内容中,具有含有二元醇基团的目标基团的光阻底层组成物与不是根据本公开内容的聚羟基苯乙烯(PHS)光阻底层组成物相比,在光化辐射的曝光与曝光后烘烤之后,以聚羟基苯乙烯为主的底层的分子量、pH值、OH基团与C=O基团的核磁共振、红外线、拉曼光谱、飞行时间二次离子质谱仪(time-of-flight secondary ion massspectrometry,TOF-SIMS)、水的接触角、厚度与热重分析(thermogravimetric analysis,TGA)(只在光化辐射下曝光)没有改变。另一方面,根据本公开内容的光阻底层组成物显示聚合物重量的减少(例如水的减少)、更酸或更碱的pH值、核磁共振、红外线、拉曼分析的聚合物OH强度下降与聚合物C=O强度上升、时间二次离子质谱仪的氧%下降、水接触角增加、厚度缩小与热重分析的重量损失(只在光化辐射下曝光)。
若将覆盖在光阻底层上的金属光阻在经过光化辐射的曝光与曝光后烘烤的步骤之前与之后进行比较,光阻形成在由根据本公开内容的实施方式的聚合物组成物(具有包含二元醇基团的目标基团)制成的底层上与在由不是根据本公开内容的实施方式的聚羟基苯乙烯制成的底层上,形成在根据本公开内容的实施方式的底层上的光阻具有数个改良的特性。举例而言,与在不是根据本公开内容的实施方式的聚羟基苯乙烯底层上形成的图案化光阻相比,形成在由根据本公开内容的实施方式的底层上的光阻具有增加的光阻膜、增加的密度、增加的湿气含量、增加的金属-氧键结比、降低的飞行时间二次离子质谱仪的碳比例、增加的核磁共振、红外线、拉曼的金属-OH基团与金属-O键结的强度。此外,在藉由显影来图案化光阻之后,形成在由根据本公开内容的实施方式的底层上的光阻具有增加的光阻对比曲线、需要较低的光阻曝光剂量、具有较强的光阻机械线强度、较大的剥离窗(peeling window)与增加的通量。
本公开内容的实施方式减少用于光阻层的曝光剂量,且同时改善线宽粗糙度、增加剥离窗并减少细屑。举例而言,与由聚羟基苯乙烯制成,且不包含所公开内容的目标基团与化学报导分子的底层相比,包含具有二元醇目标基团的聚合物的30纳米厚光阻层使曝光剂量减少约11%。同时,当使用减少11%的曝光剂量曝光光阻层时,使用根据本公开内容的底层也可提供约3%的线宽粗糙度改善、约9%的剥离窗改善并减少约4%的细屑。较厚的光阻底层提供较大量的小分子至光阻层。然而,与由不是根据本公开内容的实施方式的聚羟基苯乙烯制成的30纳米厚光阻底层相比,较薄的光阻底层,例如由根据本公开内容,包含具有二元醇目标基团的聚合物组成物制成的5纳米厚光阻底层提供约9%的剥离窗改善。此外,5纳米厚的底层也提供约1%的线宽粗糙度改善与细屑减少。
与传统曝光技术相比,根据本公开内容的实施方式的新颖的底层组成物与半导体装置制造方法在较高的晶圆曝光通量、减少的缺陷量与较高效率的制程下提供较高的半导体装置特征分辨率与密度。本公开内容的实施方式改善光阻图案与基板之间的黏着性,进而防止图案崩坏并避免图案细屑。本公开内容的实施方式改善光阻图案的完整性、减少线宽粗糙度、减少线边缘粗糙度与细屑。本公开内容的实施方式允许减少光阻剂量并改善半导体装置的良率。
根据本公开内容的实施方式为一种制造半导体装置的方法,包含在半导体基板上形成光阻底层。光阻底层包含聚合物,聚合物包含具有多个侧链目标基团与多个侧链有机基团或多个侧链光酸产生剂基团的主聚合物链。主聚合物链从包含下列的群组中选出:聚苯乙烯、聚羟基苯乙烯、聚丙烯酸酯、聚丙烯酸甲酯、聚甲基丙烯酸甲酯、聚丙烯酸、聚乙烯基酯、聚马来酸酯、聚甲基丙烯腈与聚甲基丙烯酰胺。侧链目标基团为从包含下列的群组中选出的被取代或无取代的一个或多个:C2至C30的二元醇基团、C1至C30的醛基与C3至C30的酮基。侧链有机基团为具有至少一个光敏性官能团的C3至C30的脂肪族或芳香族基团,且侧链光酸产生剂基团为C3至C50的被取代的脂肪族或芳香族基团。在光阻底层上形成光阻层。选择性地在光化辐射下曝光光阻层。显影经选择性曝光的光阻层以形成光阻图案。在实施方式中,主聚合物链包含具有至少一个光敏性基团的侧链有机基团,且光敏性基团从包含下列的群组中选出:环氧基、偶氮基、烷基卤化物基团、亚胺基、烯基、炔基、过氧化基与其组合。在实施方式中,主聚合物链包含侧链光酸产生剂基团,且侧链光酸产生剂基团从包含下列的一群组中选出:鎓盐、锍盐、三苯基锍三氟甲磺酸盐、三苯基锍全氟丁磺酸盐、二甲基锍三氟甲磺酸盐、錪盐、二苯基錪鎓全氟丁磺酸盐、降冰片烯二甲酰亚胺基全氟丁磺酸盐、氟化三嗪、重氮盐、芳香族重氮盐、鏻盐、酰亚胺磺酸盐、肟磺酸盐、重氮基二砜、二砜、邻硝基苯甲基磺酸盐、磺酸化酯、卤化磺酰氧基二甲酰亚胺、α-氰基氧胺磺酸盐、酮基重氮基砜、磺酰基重氮酯、1,2-二(芳基磺酰)联胺、硝基苯甲基酯与s-三嗪。在实施方式中,光阻底层更包含光碱产生剂化合物。在实施方式中,光阻底层更包含光酸产生剂化合物。在实施方式中,光阻底层更包含热酸产生剂。在实施方式中,侧链目标基团被从包含以下的一群组中所选出的一个或多个取代基取代:氟、氯、溴、碘、羟基、硫醇基、迭氮基、磺酰基、烯基、炔基、亚胺基、醚基、酯基、过氧化基、酰胺基、砜基、羧基、羰基、重烯基、胺基、膦基、三元醇基、苯胺基、吡啶基、吡咯基、氰化物基、亚磷酸基与其组合。在实施方式中,方法更包含在形成光阻层之前,在介于150摄氏度至250摄氏度之间的温度下加热光阻底层。在实施方式中,方法更包含在显影选择性曝光的光阻层之前,在介于50摄氏度至200摄氏度之间的温度下加热经选择性曝光的光阻层与光阻底层。
根据本公开内容的另一个实施方式为一种制造半导体装置的方法,包含在半导体基板上形成光阻底层。光阻底层包含具有多个侧链目标基团的聚合物。在光阻底层上形成光阻层。在光化辐射下选择性曝光光阻层与光阻底层。在光化辐射下曝光的光阻底层的多个部分中产生化学报导分子。化学报导分子为从包含以下的群组中选出的一个或多个:电子、氧分子、水、氢离子、氢氧根、阳离子、阴离子与被官能团取代的C1至C10的基团,官能团为从包含以下的群组中所选出的一个或多个基团:氟、氯、溴、碘、羟基、羧酸基、硫醇基、迭氮基、亚磺酰基、烯基、炔基、亚胺基、醚基、酯基、醛基、酮基、酰胺基、砜基、烷基羧基、氰化物基、重烯基、烷醇基、胺基、膦基、亚磷酸基、苯胺基、吡啶基与吡咯基。在光化辐射下曝光的部分光阻底层中,藉由化学报导分子与侧链目标基团之间的相互作用产生小分子。小分子为从包含以下的群组中选出的一个或多个:电子、氧分子、水、氢离子、氢氧根、阳离子、阴离子与被官能团取代的C1至C10的基团,其中官能团为从包含以下的群组中所选出的一个或多个基团:氟、氯、溴、碘、羟基、羧酸基、硫醇基、迭氮基、亚磺酰基、烯基、炔基、亚胺基、醚基、酯基、醛基、酮基、酰胺基、砜基、烷基羧基、氰化物基、重烯基、烷醇基、胺基、膦基、亚磷酸基、苯胺基、吡啶基与吡咯基。小分子从光阻底层扩散至在光化辐射下曝光的部分光阻底层中。显影经选择性曝光的光阻层以形成图案化光阻层。在实施方式中,方法更包含在形成光阻层之前,在介于150摄氏度至250摄氏度之间的温度下加热光阻底层。在实施方式中,扩散小分子包含在显影选择性曝光的光阻层之前,在介于50摄氏度至200摄氏度之间的温度下加热经选择性曝光的光阻层与光阻底层。在实施方式中,光阻层包含有机金属材料。在实施方式中,光化辐射为极紫外线辐射。在实施方式中,小分子与化学报导分子不同。
根据本公开内容的另一个实施方式为一种底层组成物,包含聚合物,聚合物包含具有多个侧链目标基团与多个侧链有机基团或多个侧链光酸产生剂基团的主聚合物链。主聚合物链从包含下列的群组中选出:聚苯乙烯、聚羟基苯乙烯、聚丙烯酸酯、聚丙烯酸甲酯、聚甲基丙烯酸甲酯、聚丙烯酸、聚乙烯基酯、聚马来酸酯、聚甲基丙烯腈与聚甲基丙烯酰胺。侧链目标基团为从包含下列的群组中选出的被取代或无取代的一个或多个:C2至C30的二元醇基团、C1至C30的醛基与C3至C30的酮基,其中侧链有机基团为具有至少一个光敏性官能团的C3至C30的脂肪族或芳香族基团,且其中侧链光酸产生剂基团为C3至C50的被取代的脂肪族或芳香族基团。在实施方式中,聚合物包含具有至少一个光敏性基团的侧链有机基团,且光敏性基团从包含下列的群组中选出:环氧基、偶氮基、烷基卤化物基团、亚胺基、烯基、炔基、过氧化基与其组合。在实施方式中,聚合物包含侧链光酸产生剂基团,且侧链光酸产生剂基团从包含下列的群组中选出:鎓盐、锍盐、三苯基锍三氟甲磺酸盐、三苯基锍全氟丁磺酸盐、二甲基锍三氟甲磺酸盐、錪盐、二苯基錪鎓全氟丁磺酸盐、降冰片烯二甲酰亚胺基全氟丁磺酸盐、氟化三嗪、重氮盐、芳香族重氮盐、鏻盐、酰亚胺磺酸盐、肟磺酸盐、重氮基二砜、二砜、邻硝基苯甲基磺酸盐、磺酸化酯、卤化磺酰氧基二甲酰亚胺、α-氰基氧胺磺酸盐、酮基重氮基砜、磺酰基重氮酯、1,2-二(芳基磺酰)联胺、硝基苯甲基酯与s-三嗪。在实施方式中,底层组成物更包含光碱产生剂。在实施方式中底层组成物更包含光酸产生剂化合物。在实施方式中,底层组成物更包含溶剂。在实施方式中,底层组成物更包含表面活性剂。在实施方式中,侧链目标基团由从包含下列的群组中选出的一个或多个取代基所取代:氟、氯、溴、碘、羟基、硫醇基、迭氮基、磺酰基、烯基、炔基、亚胺基、醚基、酯基、过氧化基、酰胺基、砜基、羧基、羰基、重烯基、胺基、膦基、三元醇基、苯胺基、吡啶基、吡咯基、氰化物基、亚磷酸基与其组合。在实施方式中,聚合物包含侧链有机基团,且侧链有机基团包含被取代或无取代的苯基、萘基、菲基、蒽基、丙烯合萘基与其组合的芳香族基团。在实施方式中,交联剂连接至聚合物。
根据本公开内容的另一个实施方式为一种制造半导体装置的方法,包含在半导体基板上形成第一层。第一层包含聚合物,聚合物包含具有多个侧链目标基团与多个侧链有机基团或多个侧链光酸产生剂基团的主聚合物链。侧链目标基团为从包含下列的群组中选出的被取代或无取代的一个或多个:C2至C30的二元醇基团、C1至C30的醛基与C3至C30的酮基。在第一层上形成光阻层。在第一层的第一部分中产生水。在第一层的第一部分中的水扩散至相应的光阻层中的第一部分。移除光阻层的第二部分,其中光阻层的第二部分不同于光阻层的第一部分。在移除光阻层的第二部分之后,光阻层的第一部分仍留下。在实施方式中,方法包含在形成光阻层之前,在介于150摄氏度至250摄氏度之间的温度下加热第一层。在实施方式中,在第一层的第一部分中产生水包含在极紫外线辐射下曝光第一层的第一部分。在实施方式中,扩散水包含在移除光阻层的第二部分之前,在介于50摄氏度至200摄氏度之间的温度下加热第一层与光阻层。在实施方式中,方法包含在第一层的第一部分中产生水之前,在介于40摄氏度至150摄氏度之间的温度下加热光阻层与第一层。在实施方式中,光阻层包含有机金属材料。在实施方式中,方法包含移除第一层的第二部分,其中第一层的第二部分相应于光阻层的第二部分。在实施方式中,方法包含移除相应于第一层的第二部分与光阻层的第二部分的部分半导体基板。在实施方式中,目标层位于半导体基板第一层之间,且更包含移除相应于第一层的第二部分与光阻层的第二部分的部分目标层。
前述内容概括了若干实施例的特征,使得熟习此项技术者可更好地理解本公开内容的方面。熟习此项技术者应当了解,他们可容易地将本公开内容用作设计或修改用于实施相同目的及/或达成本文所介绍的实施例的好处的其他制程及结构的基础。熟习此项技术者亦应当认识到,此类等效结构不脱离本公开内容的精神及范畴,且他们可在不脱离本公开内容的精神及范畴的情况下在本文中作出各种改变、替换及变更。

Claims (10)

1.一种制造半导体装置的方法,其特征在于,包含:
形成一光阻底层于一半导体基板上,其中所述光阻底层包含一聚合物,包含:
一主聚合物链,具有多个侧链目标基团,与多个侧链有机基团或多个侧链光酸产生剂基团,
其中所述主聚合物链从包含下列的一群组中选出:聚苯乙烯、聚羟基苯乙烯、聚丙烯酸酯、聚丙烯酸甲酯、聚甲基丙烯酸甲酯、聚丙烯酸、聚乙烯基酯、聚马来酸酯、聚甲基丙烯腈与聚甲基丙烯酰胺,
其中所述多个侧链目标基团为从包含下列的一群组中选出的被取代或无取代的一个或多个:C2至C30的二元醇基团、C1至C30的醛基与C3至C30的酮基,
其中所述多个侧链有机基团为具有至少一个光敏性官能团的C3至C30的脂肪族或芳香族基团,且
其中所述多个侧链光酸产生剂基团为C3至C50的被取代的脂肪族或芳香族基团;
形成一光阻层于所述光阻底层上;
选择性地在一光化辐射下曝光所述光阻层;及
显影经选择性曝光的所述光阻层以形成一光阻图案。
2.如权利要求1所述的方法,其中所述主聚合物链包含所述多个侧链光酸产生剂基团,且所述多个侧链光酸产生剂基团从包含下列的一群组中选出:鎓盐、锍盐、三苯基锍三氟甲磺酸盐、三苯基锍全氟丁磺酸盐、二甲基锍三氟甲磺酸盐、錪盐、二苯基錪鎓全氟丁磺酸盐、降冰片烯二甲酰亚胺基全氟丁磺酸盐、氟化三嗪、重氮盐、芳香族重氮盐、鏻盐、酰亚胺磺酸盐、肟磺酸盐、重氮基二砜、二砜、邻硝基苯甲基磺酸盐、磺酸化酯、卤化磺酰氧基二甲酰亚胺、α-氰基氧胺磺酸盐、酮基重氮基砜、磺酰基重氮酯、1,2-二(芳基磺酰)联胺、硝基苯甲基酯与s-三嗪。
3.如权利要求1所述的方法,其中所述光阻底层更包含一光碱产生剂化合物。
4.如权利要求1所述的方法,其中所述光阻底层更包含一热酸产生剂化合物。
5.一种制造半导体装置的方法,其特征在于,包含:
形成一光阻底层于一半导体基板上,其中所述光阻底层包含具有多个侧链目标基团的一聚合物;
形成一光阻层于所述光阻底层上;
在一光化辐射下选择性曝光所述光阻层与所述光阻底层;
产生一化学报导分子于在所述光化辐射下曝光的所述光阻底层的多个部分中,
其中所述化学报导分子为从包含以下的一群组中选出的一个或多个:电子、氧分子、水、氢离子、氢氧根、阳离子、阴离子与被一官能团取代的C1至C10的一基团,
其中所述官能团为从包含以下的一群组中所选出的一个或多个基团:氟、氯、溴、碘、羧酸基、羟基、硫醇基、迭氮基、亚磺酰基、烯基、炔基、亚胺基、醚基、酯基、醛基、酮基、酰胺基、砜基、烷基羧基、氰化物基、重烯基、烷醇基、胺基、膦基、亚磷酸基、苯胺基、吡啶基与吡咯基;
藉由所述化学报导分子与所述多个侧链目标基团之间的一相互作用产生一小分子于在所述光化辐射下曝光的所述光阻底层的所述多个部分中,
其中所述小分子为从包含以下的一群组中选出的一个或多个:电子、氧分子、水、氢离子、氢氧根、阳离子、阴离子与被一官能团取代的C1至C10的一基团,
其中所述官能团为从包含以下的一群组中所选出的一个或多个基团:氟、氯、溴、碘、羧酸基、羟基、硫醇基、迭氮基、亚磺酰基、烯基、炔基、亚胺基、醚基、酯基、醛基、酮基、酰胺基、砜基、烷基羧基、氰化物基、重烯基、烷醇基、胺基、膦基、亚磷酸基、苯胺基、吡啶基与吡咯基;
将所述小分子从所述光阻底层扩散至在所述光化辐射下曝光的所述光阻底层的所述多个部分中;及
显影经选择性曝光的所述光阻层以形成一图案化光阻层。
6.如权利要求5所述的方法,更包含在形成所述光阻层之前,在介于150摄氏度至250摄氏度之间的一温度下加热所述光阻底层。
7.如权利要求5所述的方法,其中扩散所述小分子包含在显影选择性曝光的所述光阻层之前,在介于50摄氏度至200摄氏度之间的一温度下加热经选择性曝光的所述光阻层与所述光阻底层。
8.如权利要求5所述的方法,其中所述光阻层包含一有机金属材料。
9.一种底层组成物,其特征在于,包含:
一聚合物,包含:
一主聚合物链,具有多个侧链目标基团,与多个侧链有机基团或多个侧链光酸产生剂基团,
其中所述主聚合物链从包含下列的一群组中选出:聚苯乙烯、聚羟基苯乙烯、聚丙烯酸酯、聚丙烯酸甲酯、聚甲基丙烯酸甲酯、聚丙烯酸、聚乙烯基酯、聚马来酸酯、聚甲基丙烯腈与聚甲基丙烯酰胺,
其中所述多个侧链目标基团为从包含下列的一群组中选出的被取代或无取代的一个或多个:C2至C30的二元醇基团、C1至C30的醛基与C3至C30的酮基,
其中所述多个侧链有机基团为具有至少一个光敏性官能团的C3至C30的脂肪族或芳香族基团,且
其中所述多个侧链光酸产生剂基团为C3至C50的被取代的脂肪族或芳香族基团。
10.如权利要求9所述的底层组成物,其中所述聚合物包含具有至少一个光敏性基团的所述多个侧链有机基团,且所述光敏性基团从包含下列的一群组中选出:环氧基、偶氮基、烷基卤化物基团、亚胺基、烯基、炔基、过氧化基与其组合。
CN202110558807.7A 2020-05-22 2021-05-21 底层组成物与半导体装置的制造方法 Pending CN113296359A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063028648P 2020-05-22 2020-05-22
US63/028,648 2020-05-22
US17/231,402 2021-04-15
US17/231,402 US20210364922A1 (en) 2020-05-22 2021-04-15 Underlayer composition and method of manufacturing a semiconductor device

Publications (1)

Publication Number Publication Date
CN113296359A true CN113296359A (zh) 2021-08-24

Family

ID=77323765

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110558807.7A Pending CN113296359A (zh) 2020-05-22 2021-05-21 底层组成物与半导体装置的制造方法

Country Status (2)

Country Link
CN (1) CN113296359A (zh)
TW (1) TWI777569B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI833204B (zh) * 2021-11-12 2024-02-21 台灣積體電路製造股份有限公司 光阻之製造方法

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1228098A (zh) * 1997-06-04 1999-09-08 克拉瑞特国际有限公司 吸收辐射的聚合物、用于吸收辐射涂层的组合物、吸收辐射的涂层及其作为抗反射涂层的应用
KR20000073111A (ko) * 1999-05-06 2000-12-05 김영환 포토레지스트 패턴의 형성방법
CN1310731A (zh) * 1998-07-23 2001-08-29 克拉里安特国际有限公司 水溶性正性光致抗蚀组合物
CN1514302A (zh) * 2002-09-30 2004-07-21 希普雷公司 改进的光致抗蚀剂
US20080220381A1 (en) * 2007-03-09 2008-09-11 Shin-Etsu Chemical Co., Ltd. Antireflection film composition and patterning process using the same
US20090176177A1 (en) * 2007-12-07 2009-07-09 Samsung Electronics Co., Ltd. method of forming a pattern using a photoresist composition for immersion lithography
US20130177848A1 (en) * 2010-09-14 2013-07-11 Central Glass Company Limited Polymer, Resist Material Containing Same, and Method for Forming Pattern Using Same
JP2014157252A (ja) * 2013-02-15 2014-08-28 Jsr Corp 感放射線性樹脂組成物、硬化膜、その形成方法、及び表示素子
TW201641608A (zh) * 2015-05-18 2016-12-01 台灣積體電路製造股份有限公司 化學增幅光阻材料、共聚物及微影方法
TW201702744A (zh) * 2015-06-24 2017-01-16 Fujifilm Corp 圖案形成方法、積層體以及有機溶劑顯影用抗蝕劑組成物
US20170205709A1 (en) * 2016-01-20 2017-07-20 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
TW201825505A (zh) * 2016-12-28 2018-07-16 日商Jsr 股份有限公司 感放射線性組成物、圖案形成方法及金屬氧化物

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1228098A (zh) * 1997-06-04 1999-09-08 克拉瑞特国际有限公司 吸收辐射的聚合物、用于吸收辐射涂层的组合物、吸收辐射的涂层及其作为抗反射涂层的应用
CN1310731A (zh) * 1998-07-23 2001-08-29 克拉里安特国际有限公司 水溶性正性光致抗蚀组合物
KR20000073111A (ko) * 1999-05-06 2000-12-05 김영환 포토레지스트 패턴의 형성방법
CN1514302A (zh) * 2002-09-30 2004-07-21 希普雷公司 改进的光致抗蚀剂
US20080220381A1 (en) * 2007-03-09 2008-09-11 Shin-Etsu Chemical Co., Ltd. Antireflection film composition and patterning process using the same
US20090176177A1 (en) * 2007-12-07 2009-07-09 Samsung Electronics Co., Ltd. method of forming a pattern using a photoresist composition for immersion lithography
US20130177848A1 (en) * 2010-09-14 2013-07-11 Central Glass Company Limited Polymer, Resist Material Containing Same, and Method for Forming Pattern Using Same
JP2014157252A (ja) * 2013-02-15 2014-08-28 Jsr Corp 感放射線性樹脂組成物、硬化膜、その形成方法、及び表示素子
TW201641608A (zh) * 2015-05-18 2016-12-01 台灣積體電路製造股份有限公司 化學增幅光阻材料、共聚物及微影方法
TW201702744A (zh) * 2015-06-24 2017-01-16 Fujifilm Corp 圖案形成方法、積層體以及有機溶劑顯影用抗蝕劑組成物
US20170205709A1 (en) * 2016-01-20 2017-07-20 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
TW201825505A (zh) * 2016-12-28 2018-07-16 日商Jsr 股份有限公司 感放射線性組成物、圖案形成方法及金屬氧化物

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI833204B (zh) * 2021-11-12 2024-02-21 台灣積體電路製造股份有限公司 光阻之製造方法

Also Published As

Publication number Publication date
TWI777569B (zh) 2022-09-11
TW202208994A (zh) 2022-03-01

Similar Documents

Publication Publication Date Title
KR101439394B1 (ko) 산 확산을 이용하는 더블 패터닝 공정에 의한 반도체소자의 미세 패턴 형성 방법
KR102395397B1 (ko) 포토레지스트 조성물 및 포토레지스트 패턴의 형성 방법
US20210364922A1 (en) Underlayer composition and method of manufacturing a semiconductor device
TW201946107A (zh) 半導體裝置的形成方法
US10520821B2 (en) Lithography process with enhanced etch selectivity
TWI793079B (zh) 半導體裝置的製作方法
TWI777569B (zh) 底層組成物與半導體裝置的製造方法
KR20210145083A (ko) 포토레지스트 조성물 및 포토레지스트 패턴의 형성 방법
TW202216906A (zh) 半導體裝置的製造方法
TW202126710A (zh) 光阻劑組成物和製造半導體元件的方法
CN113176708A (zh) 光致抗蚀剂下层和形成光致抗蚀剂图案的方法
TWI790553B (zh) 光阻劑組成物與製造半導體裝置的方法
KR20240031093A (ko) 반도체 장치의 제조 방법
TWI815097B (zh) 光阻劑組成物與製造半導體裝置的方法
US20230102166A1 (en) Method of manufacturing a semiconductor device
TWI777426B (zh) 光阻底層組成物與製造半導體裝置的方法
US20240118618A1 (en) Method of manufacturing a semiconductor device
US11682559B2 (en) Method to form narrow slot contacts
US20230063073A1 (en) Method of manufacturing a semiconductor device
US20210200091A1 (en) Underlayer composition and method of manufacturing a semiconductor device
TW202136327A (zh) 製造半導體裝置的方法
CN114721222A (zh) 聚合物组合物以及制造半导体装置的方法
CN117352374A (zh) 半导体器件的制造方法
TW202414593A (zh) 光阻組合物及半導體裝置的製造方法
CN113359391A (zh) 光致抗蚀剂组合物和形成光致抗蚀剂图案的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination