CN113168119B - 用于测量光刻过程的参数的目标 - Google Patents

用于测量光刻过程的参数的目标 Download PDF

Info

Publication number
CN113168119B
CN113168119B CN201980080754.3A CN201980080754A CN113168119B CN 113168119 B CN113168119 B CN 113168119B CN 201980080754 A CN201980080754 A CN 201980080754A CN 113168119 B CN113168119 B CN 113168119B
Authority
CN
China
Prior art keywords
target
sub
pitch
targets
grating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980080754.3A
Other languages
English (en)
Other versions
CN113168119A (zh
Inventor
M·范德斯卡
O·V·兹维尔
帕特里克·华纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of CN113168119A publication Critical patent/CN113168119A/zh
Application granted granted Critical
Publication of CN113168119B publication Critical patent/CN113168119B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • Automation & Control Theory (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

一种用于确定光刻过程的性能参数的目标,所述目标包括:第一子目标,所述第一子目标由至少两个叠置光栅形成,其中所述第一子目标的底层光栅具有第一节距且所述第一子目标的顶部光栅具有第二节距;至少一个第二子目标,所述第二子目标由至少两个叠置光栅形成,其中所述第二子目标的底层光栅具有第三节距且所述第二子目标的顶部光栅具有第四节距。

Description

用于测量光刻过程的参数的目标
相关申请的交叉引用
本申请要求2018年12月4日递交的美国申请62/775,295的优先权,所述美国申请的全部内容通过引用并入本文中。
技术领域
本发明涉及用于在(例如)通过光刻技术进行器件制造时可用的量测的方法和设备,并且涉及使用光刻技术来制造器件的方法。本发明还涉及在这样的方法中可用的图案形成装置和计算机程序产品。
背景技术
光刻设备是将期望的图案涂覆至衬底上(通常涂覆至衬底的目标部分上)的机器。光刻设备可以用于(例如)集成电路(IC)的制造中。在所述实例中,图案形成装置(其替代地称作掩模或掩模版)可以用以产生待形成在IC的单层上的电路图案。这种图案可以转印至衬底(例如,硅晶片)上的目标部分(例如,包括管芯的部分、一个管芯或若干管芯)上。通常经由成像至设置于衬底上的辐射敏感材料(抗蚀剂)层上来进行图案的转印。通常,单个衬底将包含被连续地图案化的相邻的目标部分的网络。在光刻过程中,频繁地期望对所产生的结构进行测量,例如,用于过程控制和验证。用于进行这些测量的各种工具为已知的,包括常常用以测量临界尺寸(CD)的扫描电子显微镜和用以测量重叠(测量器件中的两个层的对准准确度的度量)的专用工具。可以就两个层之间的偏差程度来描述重叠,例如,对所测量的1nm的重叠的提及可以描述两个层未对准达1nm的情形。
最近,已开发用于光刻领域中的各种形式的散射仪。这些器件将辐射束引导至目标上且测量散射辐射的一个或更多个性质(例如,作为波长的函数的在单个反射角下的强度;作为反射角的函数的在一个或更多个波长下的强度;或作为反射角的函数的偏振),以获得可以用于确定目标的感兴趣的性质的“光谱”。可以通过各种技术来执行感兴趣的性质的确定:例如,通过诸如严密耦合波分析或有限元方法之类的迭代方法来进行的目标的重构;库搜索;和主成份分析。
由常规散射仪使用的目标相对较大的光栅,例如40μm×40μm,并且测量束产生小于光栅的斑(即,光栅欠填充)。这种情形简化目标的数学重构,这是因为它可以将目标视为无限的。然而,为了减小目标的大小,例如减小至10μm×10μm或更小,例如因此它们可以被定位在产品特征之间而不是划线中,已提议使光栅小于测量斑(即,光栅过填充)的量测。通常使用暗场散射量测术来测量这些目标,在暗场散射量测术中阻挡零阶衍射(对应于镜面反射),并且仅处理较高阶。可以在国际专利申请WO 2009/078708和WO 2009/106279中找到暗场量测的示例,这些文献的全部内容由此通过引用并入。在专利公开出版物US20110027704A、US20110043791A和US20120242970A中已描述技术的进一步开发。在US2010201963A1和US2011102753A1中描述设备的修改以提高生产量。所有这些申请的内容也以引用的方式并入本文中。使用衍射阶的暗场检测的基于衍射的重叠实现对较小目标的重叠测量。这些目标可以小于照射斑且可以由晶片上的产品结构环绕。目标可以包括可以在一个图像中测量的多个光栅。
在已知量测技术中,通过在旋转重叠目标或改变照射模式或成像模式同时在某些条件下测量重叠目标两次以分别获得-1衍射阶强度和+1衍射阶强度,来获得重叠测量结果。关于给定重叠目标的强度不对称性(这些衍射阶强度的比较)提供目标不对称性的测量结果。重叠目标中的这种不对称性可以用作重叠(两个层的不期望的偏差)的指示器。
在使用四个不同的子目标的已知方法中,由于边缘效应,图案化区域的某一部分不可用。在半导体产品设计中,空间的有效使用是极重要的。仅两个特定偏移的使用强制执行上述线性假设,当真实关系是非线性时所述线性假设可能导致不准确。增加已知设计中使用的偏移量将增加使用的空间。
发明内容
将期望能够以增加的准确度和/或以用于目标的较少空间来执行重叠或其它性能参数的量测。
本发明在第一方面中提供用于确定光刻过程的性能参数的目标,所述目标包括:第一子目标,所述第一子目标由至少两个叠置光栅形成,其中第一子目标的底层光栅具有第一节距且第一子目标的顶部光栅具有第二节距;至少一个第二子目标,所述第二子目标由至少两个叠置光栅形成,其中第二子目标的底层光栅具有第三节距且第二子目标的顶部光栅具有第四节距。
下文参考随附附图来详细地描述本发明的其它特征和优势,以及本发明的各个实施例的结构和操作。应注意,本发明不限于本文中所描述的具体实施例。本文中仅出于说明性目的呈现这样的实施例。基于本文中所含的教导,相关领域技术人员将明白额外的实施例。
附图说明
现在将参考随附附图仅通过举例来描述本发明的实施例,在所述附图中:
图1描绘根据本发明的实施例的光刻设备;
图2描绘根据本发明的实施例的光刻单元或光刻元或光刻簇;
图3包括:(a)用于使用第一对照射孔来测量目标的暗场散射仪的示意图;(b)目标光栅的针对给定照射方向的衍射光谱的细节;(c)在使用散射仪以用于基于衍射的重叠测量时提供另外的照射模式的第二对照射孔;以及(d)将第一对孔与第二对孔组合的第三对照射孔;
图4描绘衬底上的多光栅目标的已知形式和测量斑的轮廓;
图5描绘在图3的散射仪中获得的图4的目标的图像;
图6描绘根据本发明的一方面的包括连续偏置特征的多光栅目标的第一示例;
图7描绘在图3的散射仪中获得的图6的目标的图像。
具体实施方式
在详细地描述本发明的实施例之前,呈现可以供实施本发明的实施例的示例环境是具有指导性的。
图1示意性地描绘光刻设备LA。该设备包括:照射光学系统(照射器)IL,所述照射光学系统被配置成调节辐射束B(例如UV辐射或DUV辐射);图案形成装置支撑件或支撑结构(例如掩模台)MT,其被构造成支撑图案形成装置(例如掩模)MA且连接至被配置成根据某些参数来准确地定位图案形成装置的第一定位器PM;衬底台(例如晶片台)WT,所述衬底台被构造成保持衬底(例如涂覆有抗蚀剂的晶片)W且连接至被配置成根据某些参数来准确地定位衬底的第二定位器PW;以及投影光学系统(例如折射型投影透镜系统)PS,所述投影光学系统被配置成将由图案形成装置MA赋予至辐射束B的图案投影至衬底W的目标部分C(例如包括一个或更多个管芯)上。
照射光学系统可以包括用于引导、成形或控制辐射的各种类型的光学或非光学部件,诸如折射型、反射型、磁性型、电磁型、静电型或其它类型的部件,或其任何组合。
图案形成装置支撑件以依赖于图案形成装置的方向、光刻设备的设计和诸如图案形成装置是否保持在真空环境中之类的其它条件的方式来保持图案形成装置。图案形成装置支撑件可以使用机械、真空、静电或其它夹持技术以保持图案形成装置。图案形成装置支撑件可以是(例如)框架或台,其可以根据需要而是固定的或可移动的。图案形成装置支撑件可以确保图案形成装置(例如)相对于投影系统处于期望的位置。可以认为本文中对术语“掩模版”或“掩模”的任何使用与更上位的术语“图案形成装置”同义。
本文中所使用的术语“图案形成装置”应广义地解释为是指可以用以在辐射束的横截面中向辐射束赋予图案以便在衬底的目标部分中产生图案的任何装置。应注意,例如,如果赋予至辐射束的图案包括相移特征或所谓的辅助特征,则所述图案可能不精确地对应于衬底的目标部分中的期望的图案。通常,赋予至辐射束的图案将对应于器件中的在目标部分中形成的特定功能层(诸如,集成电路)。
图案形成装置可以是透射型或反射型的。图案形成装置的示例包括掩模、可编程反射镜阵列和可编程LCD面板。掩模在光刻术中是众所周知的,并且包括诸如二元、交替相移和衰减相移之类的掩模类型,以及各种混合掩模类型。可编程反射镜阵列的示例采用小反射镜的矩阵布置,每个小反射镜可以被单独倾斜,以便在不同方向上反射入射辐射束。被倾斜的反射镜在由反射镜矩阵反射的辐射束中赋予图案。
如这里所描绘的,所述设备属于透射类型(例如,采用透射型掩模)。替代地,所述设备可以属于反射类型(例如,采用如上文提及的类型的可编程反射镜阵列,或采用反射掩模)。
光刻设备也可以属于如下类型:其中衬底的至少一部分可以由具有相对高折射率的液体(例如,水)覆盖,以便填充投影系统与衬底之间的空间。也可以将浸没液体施加至光刻设备中的其它空间,例如,掩模与投影系统之间的空间。在本领域中众所周知的是,浸没技术用于增大投影系统的数值孔径。本文中所使用的术语“浸没”不意味着诸如衬底之类的结构必须浸没在液体中,而是仅意味着液体在曝光期间位于投影系统与衬底之间。
参考图1,照射器IL从辐射源SO接收辐射束。例如,当所述源是准分子激光器时,源和光刻设备可以是分立的实体。在这样的情况下,不认为所述源形成光刻设备的部分,并且辐射束借助于包括(例如)适合的定向反射镜和/或扩束器的束传递系统BD而从所述源SO传递至照射器IL。在其它情况下,例如,当源是汞灯时,源可以是光刻设备的组成部分。源SO和照射器IL连同束传递系统BD(在需要时)可以被称作辐射系统。
照射器IL可以包括用于调整辐射束的角强度分布的调整器AD。通常,可以调整照射器的光瞳平面中的强度分布的至少外部径向范围和/或内部径向范围(通常分别称作σ-外部和σ-内部)。另外,照射器IL可以包括各种其它部件,诸如积分器IN和聚光器CO。照射器可以用于调节辐射束,以使得在辐射束的横截面中具有期望的均一性和强度分布。
辐射束B入射到保持在图案形成装置支撑件(例如,掩模台MT)上的图案形成装置(例如,掩模)MA上,并且由图案形成装置图案化。在已横穿图案形成装置(例如掩模)MA的情况下,辐射束B穿过投影光学系统PS,投影光学系统PS将辐射束聚焦至衬底W的目标部分C上,由此将图案的图像投影于目标部分C上。借助于第二定位器PW和位置传感器IF(例如,干涉测量装置、线性编码器、2-D编码器或电容式传感器),可以准确地移动衬底台WT,例如,以便将不同的目标部分C定位在辐射束B的路径中。类似地,可以使用第一定位器PM和另一位置传感器(其未在图1中明确地描绘)以(例如)在从掩模库的机械获取之后或在扫描期间相对于辐射束B的路径来准确地定位图案形成装置(例如,掩模)MA。
可以使用掩模对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置(例如,掩模)MA和衬底W。虽然所图示的衬底对准标记占据专用目标部分,但所述标记可以位于目标部分之间的空间中(这些标记称为划线对准标记)。类似地,在将超过一个管芯设置于图案形成装置(例如,掩模)MA上的情形中,掩模对准标记可以位于所述管芯之间。小对准标识也可以被包括在器件特征之间的管芯内,在这种情况下,期望使标识尽可能小且无需与相邻特征不同的任何成像或过程条件。下文进一步描述检测对准标记的对准系统。
这种示例中的光刻设备LA属于所谓的双平台类型,其具有两个衬底台WTa、WTb和两个站(曝光站和测量站),在所述两个站之间可以交换衬底台。在曝光站处曝光一个衬底台上的一个衬底的同时,可以在测量站处将另一衬底装载至另一衬底台上且进行各种预备步骤。预备步骤可以包括使用水平传感器LS来映射衬底的表面控制,和使用对准传感器AS来测量衬底上的对准标记的位置。这种情形实现所述设备的生产量的相当大的增加。
所描绘的设备可以用于多种模式中,包括(例如)步进模式或扫描模式。光刻设备的构造和操作对本领域技术人员来说是众所周知的,并且为理解本发明,无需对其进行进一步描述。
如图2所示,光刻设备LA形成光刻系统的部分,其被称作光刻单元或光刻元LC或簇。光刻单元LC也可以包括用以对衬底执行曝光前和曝光后过程的设备。通常,这些设备包括用以沉积抗蚀剂层的旋涂器SC、用以显影曝光后的抗蚀剂的显影器DE、激冷板CH和焙烤板BK。衬底运送装置或机器人RO从输入/输出端口I/O1、I/O2拾取衬底,在不同过程设备之间移动衬底,并且接着将衬底传递至光刻设备的进料台LB。常常统称为涂覆显影系统的这些装置处于涂覆显影系统控制单元TCU的控制下,涂覆显影系统控制单元TCU自身受到管理控制系统SCS控制,管理控制系统SCS也经由光刻控制单元LACU来控制光刻设备。因此,不同设备可以被操作以最大化生产量和处理效率。
为了正确地且一致地曝光由光刻设备曝光的衬底,期望检查曝光后的衬底以测量多种性质,诸如后续层之间的重叠误差、线厚度、临界尺寸(CD)等。因此,定位有光刻单元LC的制造设施也包括量测系统MET,量测系统MET接收已在光刻单元中被处理的衬底W中的一些或全部。将量测结果直接地或间接地提供至管理控制系统SCS。如果检测到误差,则可以对后续衬底的曝光进行调整,尤其是在可以足够迅速地且快速地完成检查以使得同一批量的其它衬底仍待曝光的情况下。此外,已曝光的衬底可以被剥离和返工以改善良率,或被舍弃,由此避免对已知有缺陷的衬底执行进一步处理。在衬底的仅一些目标部分有缺陷的情况下,可以仅对良好的那些目标部分执行另外的曝光。
在量测系统MET内,检查设备用以确定衬底的性质,并且具体地,确定不同衬底或同一衬底的不同层的性质如何在不同层之间变化。检查设备可以被集成至光刻设备LA或光刻单元LC中,或可以是单独的装置。为了实现最快速的测量,期望使检查设备在曝光之后立即测量曝光后的抗蚀剂层中的性质。然而,抗蚀剂中的潜像具有非常低的对比度(在已曝光至辐射的抗蚀剂的部分与还未曝光至辐射的抗蚀剂的部分之间仅存在非常小的折射率差)且不是所有检查设备都具有足够的敏感度以对潜像进行有用的测量。因此,可以在曝光后焙烤步骤(PEB)之后进行测量,曝光后焙烤步骤通常是对曝光后的衬底执行的第一步骤且增加抗蚀剂的曝光部分与未曝光部分之间的对比度。在这样的阶段,抗蚀剂中的图像可以被称作半潜像。也可能的是对显影后的抗蚀剂图像进行测量(这时已移除抗蚀剂的曝光部分或未曝光部分),或在诸如蚀刻的图案转印步骤之后对显影后的抗蚀剂图像进行测量。后一种可能情况限制返工有缺陷衬底的可能性,但仍可以提供有用的信息。
图3的(a)中示出量测设备。在图3的(b)中更详细地图示目标T和用以照射目标的测量辐射的衍射射线。所图示的量测设备属于称为暗场量测设备的类型。在这里所描绘的量测设备仅是示例性的,以提供对暗场量测的解释。量测设备可以是单独的装置,或并入例如在测量站处的光刻设备LA中或并入光刻单元LC中。在整个设备中具有若干分支的光轴由点线O表示。在这样的设备中,由源11(例如氙气灯)发射的光由包括透镜12、14和物镜16的光学系统经由分束器15而引导至衬底W上。这些透镜被布置成呈4F布置的双重序列。可以使用不同的透镜布置,只要所述透镜配置仍将衬底图像提供至检测器上,并且同时地允许访问中间光瞳平面以用于空间频率滤光。因此,可以通过定义在呈现衬底平面的空间光谱的平面(这里称作(共轭)光瞳平面)中的空间强度分布,来选择辐射入射到衬底上的角度范围。具体地,可以通过在是物镜光瞳平面的背向投影图像的平面中、在透镜12与透镜14之间插入适合的形式的孔板13,来进行这种选择。在所图示示例中,孔板13具有不同的形式,标注为13N和13S,从而允许选择不同的照射模式。本示例中的照射系统形成离轴照射模式。在第一照射模式中,孔板13N提供从仅出于描述起见而被指明为“北”的方向的离轴。在第二照射模式中,孔板13S是用以提供类似的照射,但提供来自标注为“南”的相反方向的照射。通过使用不同的孔,其它照射模式是可能的。光瞳平面的其余部分期望地是暗的,这是由于期望的照射模式之外的任何不必要的光将干涉期望的测量信号。
如图3的(b)中示出,以衬底W垂直于物镜16的光轴O的方式放置目标T。衬底W可以由支撑件(未示出)支撑。与轴线O成角度而照射到目标T上的测量辐射射线I引起一个零阶射线(实线0)和两个一阶射线(点划线+1和双点划线-1)。应记住,在利用过填充的小目标的情况下,这些射线仅仅是覆盖衬底的包括量测目标T和其它特征的区域的许多平行射线中的一条射线。因为板13中的孔具有(接收有用量的光所必要的)有限的宽度,所以入射射线I实际上将占据一角度范围,并且衍射射线0和+1/-1将稍微散开。根据小目标的点扩散函数,每个阶+1和-1将在角度范围上进一步散布,而不是如所示出的单条理想射线。应注意,目标的光栅节距和照射角度可以被设计或调整成使得进入物镜的一阶射线与中心光轴严密地对准。图3的(a)和图3的(b)所图示的射线被示出为略微离轴,仅用以使其能够在附图中较易区分。
由衬底W上的目标T衍射的至少0阶和+1阶由物镜16收集,并且被引导返回通过分束器15。返回至图3的(a),通过指定标注为北(N)和南(S)的完全相对的孔来图示第一照射模式和第二照射模式两者。当测量辐射的入射射线I来自光轴的北侧时,即,当使用孔板13N来应用第一照射模式时,被标注为+1(N)的+1衍射射线进入物镜16。相比之下,当使用孔板13S应用第二照射模式时,-1衍射射线(标注为-1(S))是进入透镜16的衍射射线。
第二分束器17将衍射束划分成两个测量分支。在第一测量分支中,光学系统18使用零阶衍射束和一阶衍射束来在第一传感器19(例如,CCD或CMOS传感器)上形成目标的衍射光谱(光瞳平面图像)。每个衍射阶射中传感器上的不同点,使得图像处理可以比较且对比若干阶。由传感器19捕获的光瞳平面图像可以用于聚焦量测设备和/或对一阶束的强度测量结果进行归一化。也可以出于诸如重构之类的许多测量目的来使用光瞳平面图像。
在第二测量分支中,光学系统20、22在传感器23(例如CCD或CMOS传感器)上形成目标T的图像。在第二测量分支中,在与光瞳平面共轭的平面中提供孔径光阑21。孔径光阑21用以阻挡零阶衍射束,使得目标的形成在传感器23上的图像仅由-1或+1阶束形成。由传感器19和23捕获的图像被输出至处理器PU,处理器PU处理所述图像,所述处理器的功能将依赖于正执行的测量的特定类型。应注意,在广义上使用术语“图像”。由此,如果仅存在-1阶和+1阶中的一个阶,则将不会如此形成光栅线的图像。
图3中示出的孔板13和场光阑21的特定形式仅是示例。在本发明的另一个实施例中,使用目标的同轴照射,并且使用具有离轴孔的孔径光阑大致仅将一个一阶衍射光传递至传感器。在其它示例中,可以使用两个象限孔。这可以启动正阶和负阶同步检测,如上文提及的US2010201963A1中所描述的。如上文提及的US2011102753A1中所描述的,具有检测分支中的光楔(分段棱镜或其它适合的元件)的实施例可以用于分离用于在单个图像中进行空间成像的若干阶。在又一其它实施例中,代替一阶束或除一阶束以外,在测量中也可以使用二阶束、三阶束和更高阶束(图3中未示出)。在又一其它实施例中,可以使用分段棱镜代替孔径光阑21,使得能够在图像传感器23上的空间上分立的位置处同时捕获+1和-1阶。
为了使测量辐射可以适应于这些不同类型的测量,孔板13可以包括围绕盘而形成的多个孔图案,所述盘旋转以使期望的图案处于适当的位置。应注意,孔板13N或13S可以仅用于测量在一个方向(依赖于设定而是X或Y)上定向的光栅。为了测量正交光栅,可以使目标进行达90°和270°的旋转。图3的(c)和图3的(d)中示出不同的孔板。上文提及的先前已公布申请中描述了这些孔板的使用以及设备的众多其它变化和应用。
图4描绘了根据已知实践形成在衬底上的重叠目标或复合重叠目标。这种示例中的重叠目标包括四个子目标(例如,光栅)32至35,所述子目标紧密定位在一起,使得其将全部位于由量测设备的量测辐射照射束形成的测量斑31内。因此,所述四个子重叠目标都同时被照射且被同时成像在传感器23上。在致力于重叠测量的示例中,子目标32至35自身是由在形成在衬底W上的半导体器件的不同层中图案化的重叠光栅形成的复合结构。子目标32至35可以具有被不同地偏置的重叠偏移,以便促进其中形成有复合子目标的不同部分的层之间的重叠的测量。下文中将参考图7来解释重叠偏置的涵义。子目标32至35的定向也可以不同(如所示出),以便使入射辐射在X方向和Y方向上衍射。在一个示例中,子目标32和34是分别具有+d、-d的偏置的X方向子目标。子目标33和35是分别具有偏移+d和-d的Y方向子目标。可以在由传感器23捕获的图像中识别这些子目标的分开的图像。这种仅是重叠目标的一个示例。重叠目标可以包括多于或少于4个子目标。
图5示出在使用来自图3的(d)的孔板13NW或13SE的情况下、在图3的设备中使用图4的重叠目标而可以形成在传感器23上且由传感器23检测的图像的示例。虽然光瞳平面图像传感器19无法分辨不同的单独的子目标32至35,但图像传感器23可以进行这种分辨。阴影区域40表示传感器上的图像的场,在这个场内,衬底上的照射斑31成像至相应的圆形区域41中。在这样的区域41内,矩形区域42至45表示小的重叠目标子目标32至35的图像。如果重叠目标位于产品区域中,则在该图像场的周边中也可见产品特征。图像处理器和控制器PU使用图案识别来处理这些图像以识别子目标32至35的分立的图像42至45。以这种方式,所述图像不必在传感器框架内的特定部位处被非常精确地对准,这极大地改善测量设备整体上的生产量。
一旦已识别重叠目标的分立的图像,就可以(例如)通过对所识别的区域内的所选像素强度值求平均值或求和来测量那些单独的图像的强度。可以将所述图像的强度和/或其它性质彼此进行比较。可以组合这些结果以测量光刻过程的不同参数。重叠性能是这种参数的重要示例。
举例来说,使用上文提及的诸如US20110027704A之类的申请中描述的方法,测量子目标32至35内的两个层之间的重叠误差(即,不期望的和非有意的重叠偏差)。这种方法可以被称作基于微衍射的重叠(μDBO)。可以通过重叠目标不对称性来进行这种测量,重叠目标不对称性如是比较重叠目标在+1阶和-1阶暗场图像中的强度(可以比较其它相应的更高阶的强度,例如,+2阶与-2阶)以获得强度不对称性的测量而被揭示的。
在使用诸如图4中所图示的多光栅目标的已知方法中,可以由以下等式确定重叠OV:
其中:
-是来自正偏置目标的+1衍射阶(例如强度值);
-是来自正偏置目标的-1衍射阶;
-是来自负偏置目标的+1衍射阶;
-是来自负偏置目标的-1衍射阶;
-(例如来自正偏置目标的+1和-1强度中的不对称性);以及
-(例如来自负偏置目标的+1和-1强度中的不对称性)。
可以就灵敏度系数K对等式1进行重新调配,所述系数K是具有独立于重叠(呈现完美目标)的特定性质的依赖于叠层的参数:
A+d+A-d=K·OV (等式2)
其中:
当等式2是简单线性等式时,基于小的偏置值和重叠误差的假设(与形成子目标的光栅的节距进行比较),不对称性在更宽范围内对重叠误差和偏置的依赖性具有大致正弦形式。也可以使用正弦模型,而不是等式2的线性模型。
使用四个不同的子目标的已知方法要求每个子目标附近存在边界(图4和5中未示出),以使其在图像40中是独特的。这意味着由于边缘效应,图案化区域的某一部分不可用。此外,仅两个特定偏移的使用强制执行上述线性假设,当真实关系是非线性时所述线性假设可能导致不准确性。
在下文中,我们公开了包括具有连续的偏置变化和/或多个偏置值的重叠目标的解决方案。当应用于刚刚描述的像平面重叠测量技术时,在目标区域范围内的强度图像中可以看见多个偏置值。可以执行线性校验和/或正弦拟合,以确保质量信息正被使用。此外,可以获得更多关于目标和测量设备对重叠和其它因素的灵敏度的信息。将基于形成重叠光栅的一个或两个光栅的旋转或交错来图示实施例。将基于顶部和底部光栅的不同节距来图示实施例。通过适合的设计,当前区域的更多部分可以用于信号确定。与当前技术相比,可以减小目标尺寸和/或增加测量准确度。
图6示出包括单独的子目标632至635的多光栅目标600。如同图4的目标,四个子目标包括用于在X方向上的测量的两个重叠光栅和用于在Y方向上的测量的两个重叠光栅。然而,不是在每个光栅内提供固定的重叠偏置,而是提供包括负值、正值以及中间值的偏置的多个步骤或连续变化。光栅632和635分别具有随着X和Y增加的偏置值。相反,光栅633和634分别具有随着X和Y减小的偏置值。这是设计选择的问题,即目标600和单独的子目标是否具有于已知目标相同的尺寸,或被制作得更大或更小。
图7示意性地示出在图3的设备中在传感器23上捕获的相应的图像740。附图标记742至745指示与单独的子目标相对应的强度图像区域。由于每个子目标范围内的偏置的变化,强度会变化而不是在每个区域内恒定。替代感兴趣的区域ROI,可以设想“感兴趣的线”LOI,其与偏置的变化的方向对准,如所示出的。稍后将描述处理强度信息以获得重叠测量结果的方式。首先,将图示连续偏置目标的各种可能的实现方式。
进一步参考子目标632到635,形成这些目标中的每个目标的光栅可以具有不同的节距。子目标632可以包括具有节距pb632的底部光栅且可以包括具有节距pt632的顶部光栅。以类似方式,子目标634可以包括具有节距pb634的底部光栅和具有节距pt634的顶部光栅。当在诸如图3中描绘的工具之类的量测工具内利用辐射进行照射时,这些目标将在图3中的工具的检测传感器上提供莫尔条纹图案。在这样的情况下,从随后的莫尔条纹干涉图案的相位的偏移提取重叠。
当前莫尔条纹量测的问题在于来源于量测目标的不完美光栅、由于半导体晶片的处理所致的缺陷的信号对包含感兴趣的参数(诸如重叠)的信号的污染。这样的问题被称为与光栅不对称性有关的损害。由于上文提及的处理效果,来自子目标632和634的信号将是不同的,只要这些目标中的顶部和底部节距相同。此外,由于存在多种路径,在从光栅反射和衍射时光可以穿过叠层行进(视差效应),因此给测量信号增加另一损害。导致重叠信号的最主要路径是顶部光栅的直接衍射与路径之间的干涉,在所述路径中光以0阶透射穿过顶部光栅,使光以反射方式并以1阶从底部光栅衍射且最后以0阶透射穿过顶部光栅。由于来自632和634的、在从底部光栅衍射之后的衍射角是不同的,因此视差效应也将不同。
本发明的目标是提供考虑前述损害的更稳健的重叠测量。目标设计包括将解决提及的问题的具有至少3个不同节距的光栅。在实施例中,底部光栅的节距在子目标632和634中是相同的。另外,子目标632包括具有节距p1的顶部光栅。另外,子目标634包括具有节距p2的顶部光栅。
子目标632将形成莫尔条纹节距abs(P0*P1/(P0-P1))
子目标634的相应的情形也将得出类似的莫尔条纹节距,不同之处在于p1由p2替换。
因此,对于P2<>P1,解决方案为
因此,利用这种三节距设计,将根本不影响重叠提取,唯一的修改在于相位与重叠之间的重叠比例现在仅线性地依赖于底部节距P0。
当前莫尔条纹量测的另一问题是由于以下事实:光的散射是由光栅(其是量测目标的一部分)的节距与光的波长之间的比率来调节的。如本领域中已知的,散射光栅的节距和在量测工具中使用的光的波长的选择允许检测特定的阶,例如,衍射光的一阶,同时确保其它阶不存在于量测工具的传感器上。
目前技术的问题是由可用节距和波长的稀缺而造成的。因此,本发明的目标是提供增加节距和波长的选择的方法,以这种方式,允许对光刻或蚀刻过程的感兴趣的参数(例如重叠)进行更稳健和准确的量测。
当量测目标被光照射时形成莫尔条纹,量测目标包括具有节距Pb的底部光栅和具有节距Pt的顶部光栅。从这些光栅散射的光干涉且在传感器上形成莫尔条纹。在示例中,从所述莫尔条纹的相位进一步获得光刻或蚀刻过程的感兴趣的参数,诸如重叠。
在实施例中,描述莫尔条纹的形成,其中使用多个散射阶。例如,选择波长以使得针对具有节距Pb的底部光栅产生n各阶,且针对具有节距Pt的顶部光栅产生m个阶。这些阶对因此根据以下公式来产生莫尔条纹:
其中是莫尔条纹节距。以这种方式,传播的散射阶n和m的所有组合被包括在分立的莫尔条纹节距中。对组合信号的进一步傅里叶分析允许提取单对贡献。目标设计包括具有如上文所定义的莫尔条纹节距的光栅。方法包括用辐射来照射具有莫尔条纹节距的目标。方法还包括对散射信号进行傅里叶分析以提取对单独的阶对的贡献。另一方法包括针对用于不同阶对的波长来测量重叠和针对不同波长来重复针对不同阶对的重叠的测量直到达到阈值为止,其中该阈值指示哪一波长在针对不同阶对测量的重叠中提供最少的变化。
量测目标和相关联量测方法的目前技术主要基于包括具有相等节距的光栅的目标。鉴于过程或工艺会引发变化,多种量测方法是已知的,其中重叠测量的准确度由复杂的多波长测量或目标设计来确保。用于相等节距量测方法中的另一参数是顶部光栅与底部光栅的位置之间的偏置,并且目前技术区分单偏置目标、多偏置目标或连续偏置目标。
使用多偏置/连续偏置目标的另一问题在于需要在晶片上的较大面积来容纳众多偏置。
本发明的又一目标是提出一种方法,所述方法用于组合单个偏置与多个偏置/连续偏置目标测量或用于采用从多偏置/连续目标获得的信息来校正利用单偏置目标测量的重叠,以便减小所述目标所需的面积且改善重叠准确度和稳健性。在实施例中,少数多个/连续偏置目标用于校准多个较小的单偏置目标的重叠测量。
以下等式描述在单个偏置目标上测量的强度,等式允许测量重叠:
这里,A和B参数涉及光栅的衍射效率,是重叠相位,b是偏置,q是光学路径长度,并且λ是光的波长。A、B和q全部都以非常依赖于叠层的方式依赖于波长。当组合若干波长的测量值得出以上等式时,如果A、B和q以不期望的方式改变,则不能对数据进行良好的组合拟合以提取重叠。然而,如果使用多偏置目标(而理论上,如果不存在噪声和完美成像,则2个偏置应足够,但实际上需要4或8个偏置),则我们可以完全地拟合以上等式且针对每个波长来提取A、B和q参数。我们随后将这作为先验知识馈送至常规单偏置目标的重叠估算中,由此可以处理更多非理想叠层。
以下等式描述在连续偏置目标上测量的强度:
这里,我们看到相同的A和B参数以及略微不同的q参数(其在分析上以已知方式与先前等式中的q相关)。通过观察这些条纹的幅值,余弦项退出,并且我们可以针对任何波长来恢复A和B。通过经由波长来观测相移,同样可以将q估算为波长的函数。假定这些参数不在连续偏置目标与单偏置目标之间大幅度改变(由类似的目标设计来确保),则可以使用针对单偏置目标拟合重叠的已知参数,从而利用非常依赖于波长的叠层响应来极大地改善确定难以处理的层的重叠的准确度。
虽然使用多偏置目标/连续目标占据更多空间且较不密集地取样正弦函数,但使用多偏置目标/连续目标的另一优点是它们较少受到相机噪声和光学像差影响。
虽然以上所描述的目标是出于测量的目的而特定设计和形成的量测目标,但在其它实施例中,可以在作为形成在衬底上的器件的功能性部分的目标上测量性质。许多器件具有规则的类光栅结构。如本文中所使用的术语“目标光栅”和“目标”不需要已经特定针对正在被执行的测量来提供所述结构。另外,量测目标的节距P接近于散射仪的光学系统的分辨率极限,但可以比通过光刻过程在目标部分C中制造的典型产品特征的尺寸大得多。在实践中,可以将目标内的重叠光栅的线和/或空间制造为包括尺寸上与产品特征类似的较小结构。
与实现于衬底和图案形成装置上的目标A的实体光栅结构相关联地,实施例可以包括包含机器可读指令的一个或更多个序列的计算机程序,所述机器可读指令描述测量衬底上的目标和/或分析测量以获得关于光刻过程的信息的方法。可以在例如图3的设备中的单元PU和/或图2的控制单元LACU内执行这种计算机程序。也可以提供数据储存介质(例如半导体存储器、磁盘或光盘),其具有存储在其中的这种计算机程序。在属于(例如)图3所示出的类型的现有量测设备已在生产中和/或在使用中的情况下,可以通过提供更新后的计算机程序产品来实施本发明,所述更新后的计算机程序产品用于使处理器执行步骤S1至S6且因此计算重叠误差。
可选地,程序可以被布置成控制光学系统、衬底支撑件等等以执行步骤S1至S6,用于测量关于合适的多个目标的不对称性。
在以下编号方面中描述根据本发明的另外的实施例。
虽然上文可以具体地参考在光学光刻的内容背景下对本发明的实施例的使用,但将了解,本发明可以用于其它应用(例如,压印光刻术)中,并且在上下文允许的情况下不限于光学光刻术。在压印光刻术中,图案形成装置中的形貌限定形成在衬底上的图案。可以将图案形成装置的形貌压入至供应至衬底的抗蚀剂层中,在衬底上,抗蚀剂是通过施加电磁辐射、热、压力或其组合来固化的。在抗蚀剂固化之后将图案形成装置移出抗蚀剂,从而在其中留下图案。
本文中所用的术语“辐射”和“束”涵盖所有类型的电磁辐射,包括紫外(UV)辐射(例如,具有约365nm、355nm、248nm、193nm、157nm或126nm的波长)和极紫外(EUV)辐射(例如,具有5nm至20nm范围内的波长),以及粒子束,诸如离子束或电子束。
术语“透镜”在上下文允许的情况下可以指各种类型的部件中的任一个或组合,包括折射型、反射型、磁性型、电磁型和静电型部件。
对特定实施例的前述描述将因此完全地揭示本发明的一般性质:在不背离本发明的一般概念的情况下,其他人可以通过应用本领域技术人员所了解的知识针对各种应用而容易地修改和/或调适这些特定实施例,而无需进行过度实验。因此,基于本文中所呈现的教导和指导,这些调适和修改意图在所公开的实施例的等效物的涵义和范围内。应理解,本文中的措辞或术语是出于例如描述而不是限制的目的,使得本说明书的术语或措辞将要由本领域技术人员鉴于教导和指导进行解释。
本发明的广度和范围不应受上述示例性实施例中的任一示例性实施例限制,而应仅根据以下权利要求及其等效物来限定。

Claims (3)

1.一种用于确定光刻过程的性能参数的目标,所述目标包括:
第一子目标,所述第一子目标由至少两个叠置光栅形成,其中所述第一子目标的底层光栅具有第一节距Pb1且所述第一子目标的顶部光栅具有第二节距Pt1
至少一个第二子目标,所述第二子目标由至少两个叠置光栅形成,其中所述第二子目标的底层光栅具有第三节距Pb2且所述第二子目标的顶部光栅具有第四节距Pt2
其中,预先选择的波长针对所述第一子目标的具有所述第一节距Pb1的底层光栅产生n个散射阶,且针对所述第一子目标的具有所述第二节距Pt1的顶部光栅产生m个散射阶,使得针对所述第一子目标的所述n个散射阶和所述m个散射阶两者分别是不同的单独的阶对并且协作以根据所述第一子目标的莫尔条纹节距的计算公式/>产生所述第一子目标的莫尔条纹节距;和
其中,预先选择的波长针对所述第二子目标的具有所述第三节距Pb2的底层光栅产生n个散射阶,且针对所述第二子目标的具有所述第四节距Pt2的顶部光栅产生m个散射阶,使得针对所述第二子目标的所述n个散射阶和所述m个散射阶两者分别是不同的单独的阶对并且协作以根据所述第二子目标的莫尔条纹节距的计算公式/>产生所述第二子目标的莫尔条纹节距。
2.根据权利要求1所述的目标,其中所述第一节距与所述第三节距相同。
3.根据权利要求1所述的目标,其中所述第三节距与所述第四节距相同。
CN201980080754.3A 2018-12-04 2019-12-04 用于测量光刻过程的参数的目标 Active CN113168119B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862775295P 2018-12-04 2018-12-04
US62/775,295 2018-12-04
PCT/EP2019/083666 WO2020115125A1 (en) 2018-12-04 2019-12-04 A target for measuring a parameter of a lithographic process

Publications (2)

Publication Number Publication Date
CN113168119A CN113168119A (zh) 2021-07-23
CN113168119B true CN113168119B (zh) 2024-05-14

Family

ID=68835198

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980080754.3A Active CN113168119B (zh) 2018-12-04 2019-12-04 用于测量光刻过程的参数的目标

Country Status (4)

Country Link
US (1) US20220035255A1 (zh)
CN (1) CN113168119B (zh)
TW (1) TWI734284B (zh)
WO (1) WO2020115125A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4002015A1 (en) * 2020-11-16 2022-05-25 ASML Netherlands B.V. Dark field digital holographic microscope and associated metrology method
WO2023222349A1 (en) * 2022-05-20 2023-11-23 Asml Netherlands B.V. Single pad overlay measurement
WO2023222342A1 (en) * 2022-05-20 2023-11-23 Asml Netherlands B.V. Measurement of fabrication parameters based on moiré interference pattern components

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7046361B1 (en) * 2002-04-04 2006-05-16 Nanometrics Incorporated Positioning two elements using an alignment target with a designed offset
US6982793B1 (en) * 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
KR101461457B1 (ko) 2009-07-31 2014-11-13 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
CN102483582B (zh) 2009-08-24 2016-01-20 Asml荷兰有限公司 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
WO2012022584A1 (en) * 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
JP5992110B2 (ja) * 2012-11-05 2016-09-14 エーエスエムエル ネザーランズ ビー.ブイ. ミクロ構造の非対称性を測定する方法および装置、位置測定方法、位置測定装置、リソグラフィ装置およびデバイス製造方法
JP6421237B2 (ja) * 2014-08-29 2018-11-07 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジー方法、ターゲット、及び基板
NL2017466A (en) * 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate
WO2017111925A1 (en) * 2015-12-21 2017-06-29 Intel Corporation Multi-pitch or variable pitch grating structures for overlay, dose or focus information extraction
WO2017178220A1 (en) * 2016-04-11 2017-10-19 Asml Netherlands B.V. Metrology target, method and apparatus, target design method, computer program and lithographic system
KR102640173B1 (ko) * 2016-06-14 2024-02-26 삼성전자주식회사 회절 기반 오버레이 마크 및 오버레이 계측방법
JP6716779B2 (ja) * 2016-07-21 2020-07-01 エーエスエムエル ネザーランズ ビー.ブイ. ターゲットの測定方法、基板、計測装置およびリソグラフィ装置
US10527952B2 (en) * 2016-10-25 2020-01-07 Kla-Tencor Corporation Fault discrimination and calibration of scatterometry overlay targets
CN110546577B (zh) * 2017-04-28 2022-05-24 Asml荷兰有限公司 计量方法和设备以及相关联的计算机程序
CN110612481A (zh) * 2017-05-08 2019-12-24 Asml荷兰有限公司 测量结构的方法、检查设备、光刻系统和器件制造方法
US10795268B2 (en) * 2017-09-29 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for measuring overlay errors using overlay measurement patterns
EP3489756A1 (en) * 2017-11-23 2019-05-29 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter
US10705435B2 (en) * 2018-01-12 2020-07-07 Globalfoundries Inc. Self-referencing and self-calibrating interference pattern overlay measurement
KR20200096843A (ko) * 2018-01-17 2020-08-13 에이에스엠엘 네델란즈 비.브이. 타겟 측정 방법, 및 계측 장치
KR102616712B1 (ko) * 2018-10-08 2023-12-20 에이에스엠엘 네델란즈 비.브이. 계측 방법, 패터닝 디바이스, 장치 및 컴퓨터 프로그램
US11119419B2 (en) * 2019-01-28 2021-09-14 Kla-Tencor Corporation Moiré target and method for using the same in measuring misregistration of semiconductor devices

Also Published As

Publication number Publication date
US20220035255A1 (en) 2022-02-03
CN113168119A (zh) 2021-07-23
KR20210090696A (ko) 2021-07-20
TW202028884A (zh) 2020-08-01
WO2020115125A1 (en) 2020-06-11
TWI734284B (zh) 2021-07-21

Similar Documents

Publication Publication Date Title
KR101994385B1 (ko) 비대칭 측정 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
CN107750350B (zh) 量测方法、检查设备、光刻系统和器件制造方法
TW201816359A (zh) 用於量測微影程序之參數的度量衡方法及裝置、電腦程式及微影系統
WO2015018625A1 (en) Metrology method and apparatus, lithographic system and device manufacturing method
JP7179979B2 (ja) メトトロジ方法、パターニングデバイス、装置及びコンピュータプログラム
WO2018046227A1 (en) Metrology method, apparatus and computer program
CN113168119B (zh) 用于测量光刻过程的参数的目标
TWI796535B (zh) 測量圖案化製程之參數的方法、度量衡裝置與目標
CN112352202B (zh) 量测方法、设备和计算机程序
TWI793593B (zh) 包含目標配置之基板及相關聯之至少一個圖案化裝置、微影方法及度量衡方法
TWI666713B (zh) 量測關注參數的方法、檢測設備、微影系統及器件製造方法
WO2021005067A1 (en) Metrology method and associated computer product
EP3444676A1 (en) Metrology method, apparatus and computer program
KR102669792B1 (ko) 리소그래피 프로세스의 파라미터를 측정하기 위한 타겟
TWI792789B (zh) 量測基板上之目標之方法
TW201910923A (zh) 量測所關注參數之方法、器件製造方法、度量衡設備及微影系統
US20230236515A1 (en) A target for measuring a parameter of a lithographic process
EP3671346A1 (en) Method of measuring a parameter of a patterning process, metrology apparatus, target
TW202414110A (zh) 度量衡目標及相關聯度量衡方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant