CN112534246A - 结构特性预测系统、成像器系统及相关方法 - Google Patents

结构特性预测系统、成像器系统及相关方法 Download PDF

Info

Publication number
CN112534246A
CN112534246A CN201980051438.3A CN201980051438A CN112534246A CN 112534246 A CN112534246 A CN 112534246A CN 201980051438 A CN201980051438 A CN 201980051438A CN 112534246 A CN112534246 A CN 112534246A
Authority
CN
China
Prior art keywords
data
metrology
image data
wafer lot
metrology data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201980051438.3A
Other languages
English (en)
Other versions
CN112534246B (zh
Inventor
A·马宗达
刘茜岚
P·拉马钱德兰
S·D·利奥史密斯
S·K·麦坎德利斯
T·L·泰勒
A·N·诺埃曼恩
G·A·哈勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN112534246A publication Critical patent/CN112534246A/zh
Application granted granted Critical
Publication of CN112534246B publication Critical patent/CN112534246B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B1/00Measuring instruments characterised by the selection of material therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4738Diffuse reflection, e.g. also for testing fluids, fibrous materials
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8887Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges based on image processing techniques
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/10Numerical modelling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10024Color image
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10141Special mode during image acquisition
    • G06T2207/10152Varying illumination
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Software Systems (AREA)
  • Power Engineering (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Pathology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Immunology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Mathematical Physics (AREA)
  • Computing Systems (AREA)
  • Medical Informatics (AREA)
  • Data Mining & Analysis (AREA)
  • Artificial Intelligence (AREA)
  • Quality & Reliability (AREA)
  • Geometry (AREA)
  • Signal Processing (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

一种预测晶片批次的虚拟计量数据的方法包含:从成像器系统接收第一图像数据,所述第一图像数据与至少一个第一晶片批次有关;从计量设备接收与所述至少一个第一晶片批次有关的所测量计量数据;向所述第一图像数据和所述所测量计量数据应用一或多个机器学习技术以产生至少一个用于预测晶片批次的虚拟计量数据或虚拟单元度量数据中的至少一个的预测性模型;以及利用所述至少一个产生的预测性模型产生所述第一晶片批次的第一虚拟计量数据或第一虚拟单元度量数据中的至少一个。

Description

结构特性预测系统、成像器系统及相关方法
优先权要求
本申请要求2018年8月10日提交的第16/100,729号美国专利申请“结构特性预测系统、成像器系统及相关方法(SYSTEM FOR PREDICTING PROPERTIES OF STRUCTURES,IMAGER SYSTEM,AND RELATED METHODS)”的提交日的权益。
技术领域
本公开大体上涉及基于图像数据经由机器学习技术产生和预测晶片的虚拟计量数据及虚拟单元度量数据的方法。本公开还涉及一种用于平衡来自光源的颜色强度的图像系统。
背景技术
半导体装置和其它微电子装置通常在配置为晶片或其它包括半导体材料且在主动表面上的阵列中具有大量单独的裸片位置的块状衬底的工件上制造。每个晶片都要经历几个不同的过程来构建开关、电容器、导电互连件和装置的其它组件。例如,晶片使用光刻、植入、蚀刻、沉积、平坦化、退火及其它重复以构建微观结构形式的高密度特征的过程来处理。制造微电子装置的一个方面是评估工件,以确保每个裸片位置的微观结构符合所需的规格。例如,工艺工程师必须能够准确测量此类表面特征以及包括裸片位置的晶片整个主动表面上的表面凹部的各种临界尺寸(“CD”)和厚度,以微调制造工艺并确保所需的装置几何结构。
散射测量法是一种评估微观结构的若干参数(如临界尺寸和厚度)的技术。举例来说,散射测量法是反射测量法的一种,它是一种非破坏性的光学技术,记录和分析从周期性散射表面反射的光强度的变化。通过测量和分析从图案化周期性样本衍射的光,可以测量周期性结构的尺寸。在某些类型的散射测量中,具有宽光谱组成的光可以以固定角度照射到工件上,并且光的强度随波长的变化而变化。对于半导体装置,散射测量法用于评估膜厚度、线间距、沟槽深度、沟槽宽度和微观结构的其它方面。例如,许多半导体晶片在各个裸片之间的划道中包含光栅,以提供可使用现有散射测量设备评估的周期性结构。一种现有的散射测量工艺包含在工件上照射这样的周期性结构,并获得从周期性结构返回的散射辐射的表示。然后分析返回辐射的表示,以估计微观结构的一或多个参数。已经开发了若干种不同的散射计和方法来评估不同类型衬底上微观结构和/或膜的不同方面。
一些散射测量系统包含接收反射光的光学中继系统和对反射光成像的传感器阵列。第WO 2005/026707号国际公开案以及第6,804,001号、第6,556,284号、第5,880,845号和第5,703,686号美国专利公开了不同代的散射计。
椭圆偏振测量法是另一种评估微观结构参数(例如,临界尺寸和厚度)的技术。如本领域所知,椭圆偏振测量法是一种用于研究薄膜的介电特性(复折射率或介电函数)的光学技术。椭圆偏振测量法测量反射或透射时偏振的变化,并将其与模型进行比较。具体地说,椭圆偏振测量法可用于表征组成、粗糙度、厚度(深度)、结晶性质、掺杂浓度、电导率和其它材料特性。椭圆偏振测量法对入射辐射的光学响应变化非常敏感,入射辐射与被研究的材料相互作用。
当使用椭圆偏振测量法时,测量信号是入射辐射(在已知状态下)与所关注材料结构(反射、吸收、散射或透射)相互作用时偏振的变化。偏振变化通过振幅比和相位差来量化。此外,由于信号与厚度和材料特性有关,所以椭圆偏振测量法是一种无接触确定膜厚度和光学常数的通用工具。
使用散射测量法和/或椭圆偏振测量法评估微观结构的一个挑战是,只能在划线中专门设计的散射箱(scatter box)上进行测量。此外,这些工艺通常相对较慢,并且由于划线中的处理损坏,来自散射箱的数据可能会偏离活裸片。另外,例如,所需的计算时间可能需要几分钟,使得工件(例如,晶片)通常离线评估,而不是在工艺工具内原位评估。例如,如所属领域的技术人员将理解的,通过散射计和/或椭偏仪收集计量数据相对耗时,并且因此,收集计量数据所需的时间无法实现可以收集多少计量数据并且仍然保持成本效益。因此,对于给定晶片批次内的有限数目的晶片,通常仅收集相对较少数目的数据点。换句话说,在常规处理中,并不是通过散射计和/或椭偏仪来分析一个晶片批次中的每个晶片。
另外,如本领域所知,还利用各种形式的成像器来评估晶片的微观结构参数。典型地,发光二极管(“LED”)光被用作光源并且在晶片处发射。反射光通常由互补金属氧化物(“CMOS”)成像器收集。CMOS成像器捕获晶片的合成图像以及RGB图像(例如,真彩色图像)。利用CMOS成像器提供相对快速的数据收集,并提供整个晶片的图像。然而,尽管通过RGB图像获得的RGB比对厚度和临界尺寸相对敏感,但是通过CMOS成像器获得的数据尚未用于以传统计量工具(例如,散射测量和/或椭圆偏振测量)的精度提取临界尺寸和厚度数据。
单元或探针度量是在半导体裸片上执行以量化参数的电测量,例如,1)裸片的中值阈值电压,2)裸片内阈值电压的变化,3)裸片的操作电压窗口,4)读取/写入周期的耐久性,5)裸片的寿命,6)存储器的持久性,和7)裸片的产品等级。通常,只有在完成所有处理步骤之后才能实现这些测量,在某些情况下,这可能需要几个月的时间。上述参数在晶片之间或相同晶片的裸片之间的变化可能是由诸如厚度和CD之类的工艺变化引起的。
发明内容
本公开的一或多个实施例包含一种预测晶片批次的虚拟计量数据的方法。所述方法可包含:从成像器系统接收第一图像数据,所述第一图像数据与至少一个第一晶片批次有关;从计量设备接收与所述至少一个第一晶片批次有关的所测量计量数据;向所述第一图像数据和所述所测量计量数据应用一或多个机器学习技术以产生至少一个用于预测晶片批次的虚拟计量数据或虚拟单元度量数据中的至少一个的预测性模型;以及利用所述至少一个产生的预测性模型产生所述第一晶片批次的第一虚拟计量数据或第一虚拟单元度量数据中的至少一个。
本公开的一些实施例包含一种预测晶片批次的虚拟计量数据的方法。所述方法可包含:从成像器系统接收第一图像数据,所述第一图像数据与至少一个第一晶片批次有关;从计量设备接收所述至少一个第一晶片批次的所测量计量数据;利用所述图像数据和所述所测量计量数据训练机器学习模型;以及向所述第一图像数据和所述所测量计量数据应用一或多个机器学习技术以产生至少一个用于预测晶片批次的虚拟计量数据或虚拟单元度量数据中的至少一个的预测性模型;从所述成像器系统接收第二图像数据,所述第二图像数据与至少一个第二晶片批次有关;以及经由所述至少一个产生的预测性模型基于所述第二图像数据产生所述第二晶片批次的第二虚拟计量数据或第二虚拟单元度量数据中的至少一个。
本公开的一或多个实施例包含一种预测晶片批次的虚拟计量数据的方法。所述方法可包含:从成像器系统接收第一图像数据,所述第一图像数据与至少一个第一晶片批次有关,以及在不接收与所述至少一个第一晶片批次有关的任何所测量计量数据的情况下,基于所述第一图像数据,利用至少一个产生的用于预测晶片批次的虚拟计量数据或虚拟单元度量数据中的至少一个的预测性模型来产生所述第一晶片批次的第一虚拟计量数据或第一虚拟单元度量数据中的至少一个。
本公开的额外实施例包含一种成像器系统。所述成像器系统可包含可调谐光源、漫射器、至少一个二极管和控制器。所述可调谐光源可以定向成在晶片处发射光,并且可以可操作地耦合到电源。所述漫射器可以安置在所述可调谐光源和所述晶片之间。所述至少一个二极管可以安置在所述可调谐光源和所述晶片之间,并且所述至少一个二极管可配置成检测由所述可调谐光源发射的光。所述控制器可配置成从所述至少一个二极管接收信号,并且基于所接收信号,调整经由所述电源供应到所述可调谐光源的电流以平衡所述光的颜色强度。
附图说明
为详细理解本公开,应参考结合附图进行的以下详细描述,在附图中,相同元件通常以相同标号标示,且其中:
图1示出根据本公开的一或多个实施例的其中可操作预测性计量和单元度量系统的环境的示意图;
图2是预测系统可以用来训练机器学习模型、产生与计量参数和/或单元度量有关的一或多个预测性模型和预测至少一个晶片的计量参数和/或单元度量的简化顺序流;
图3A和3B示出了经由常规方法获取的计量数据和由本公开的预测系统产生的虚拟计量数据的实例比较;
图4A和4B示出了经由常规方法获取的计量数据和由本公开的预测系统产生的虚拟计量数据的额外比较;
图5A和5B示出了经由常规方法获取的计量数据和由本公开的预测系统产生的虚拟计量数据的额外比较;
图6示出根据本公开的一或多个实施例的成像器系统的示意图;
图7示出描绘了白色光内的经平衡颜色强度的图;
图8示出图6的成像器系统的俯视图;以及
图9示出根据本公开的一或多个实施例的实例计算装置的框图。
具体实施方式
这里呈现的图示不是任何图像系统、预测系统或其任何组件的实际视图,而仅仅是用于描述本发明实施例的理想化表示。
如本文中所使用,除非上下文另外清楚地指示,否则在“一”和“所述”后的单数形式意图也包含复数形式。
如本文中所使用,关于材料、结构、特征或方法动作的术语“可”指示此类预期供在实施本公开的实施例时使用,且此类术语优先于在更具限定性术语“是”意义上使用以便避免对于应该或必须排除可与其组合使用的其它兼容材料、结构、特征和方法的任何暗示。
如本文中所使用,任何关系术语,例如“第一”、“第二”、“以上”等等,用于清楚和方便理解本公开和附图,而不暗示或取决于任何特定的偏好或次序,上下文另有清楚指示的情况除外。例如,这些术语可以指预测系统、晶片和/或成像器系统的元件在常规定向中的定向。此外,这些术语可以指如附图所示的预测系统、晶片和/或成像器系统的元件的定向。
如本文所使用的,提及给定参数、特性或条件的术语“大体上”是指并且包含到本领域技术人员将理解给定参数、特性或条件以微小程度的变化(例如在可接受的制造公差内)满足的程度。举例来说,取决于大体上满足的特定参数、特性或条件,参数、特性或条件可至少满足90.0%、至少95.0%、至少99.0%或甚至至少99.9%。
如本文中所使用,参考给定参数使用的术语“约”包含所陈述值,且具有由上下文指定的含义(例如,其包含与给定参数的测量相关联的误差程度,以及由制造公差造成的变化,等)。
如本文所使用,术语“晶片”是指并且包含在其上并且在其中部分或完全制造包含微米和纳米尺度的特征尺寸的结构的材料。这些术语包含传统的半导体(例如,硅)晶片,以及半导体和其它材料的块状衬底。此类结构可包含例如集成电路(有源和无源)、MEMS装置及其组合。为方便起见,下面将这些结构称为“晶片”。
本公开的实施例包含一种预测性计量和单元度量系统,其产生用于基于图像数据并经由机器学习技术预测晶片的计量和单元度量的一或多个预测性模型。在一些实施例中,预测性系统可基于图像数据和一或多个晶片批次的所测量计量数据训练一或多个预测性模型。图像数据可包含给定晶片批次中的晶片的当前状态(例如,层)的图像数据(例如,在最近处理步骤之后收集的图像)。另外,图像数据可包含由图像系统捕获的给定晶片批次中的晶片的当前收集的红色、绿色和蓝色(“RGB”)水平(例如,强度)和/或比率。此外,图像数据可包含先前处理步骤(例如,调平步骤)中给定晶片批次中的每一个晶片的图像。举例来说,图像数据可包含在早先过程(例如,先前应用的掩模、层压、蚀刻、曝光、图案化、封装等)期间给定晶片中的每一个晶片的图像。计量数据可包含给定晶片的特征(例如,层和/或膜)的所测量计量参数(例如,厚度)和/或晶片的特征(例如,通过使用光刻、干式蚀刻、湿式蚀刻或其它半导体处理技术在晶片上图案化的特征)的尺寸。另外,图像数据和/或计量数据可包含与单元度量数据有关的数据,例如给定裸片的中值阈值电压、给定裸片内阈值电压的变化、给定裸片的操作电压窗口、对读取和/或写入周期的耐久性、给定裸片的寿命、裸片内存储器的持久性、将给定裸片分为不同产品等级的分仓等。在操作中,预测系统可以利用图像数据对照计量数据和/或单元度量数据训练所述一或多个预测性模型。换句话说,预测系统可以确定图像数据(例如,颜色数据)和计量数据(例如,晶片特征)之间的关系。
在训练(例如,产生)所述一或多个预测性模型时,本公开的实施例包含预测系统,其利用所述一或多个预测性模型基于与给定晶片批次有关的图像数据及几乎没有计量数据来预测(例如,估计)晶片批次的计量数据。通过将所述一或多个预测性模型应用于给定晶片批次的图像数据,预测系统可确定并产生给定晶片批次的虚拟计量数据(例如,预测计量数据)。例如,基于给定晶片批次的图像数据,预测系统可以经由所述一或多个产生的预测性模型预测给定晶片批次的相关虚拟计量数据和/或虚拟单元度量数据,而不必使用计量设备进行任何测量。在一些实施例中,虚拟计量数据可包含与晶片批次特征的预测厚度和/或临界尺寸有关的数据。例如,虚拟计量数据可包含与可通过传统计量设备实现的任何测量有关的数据。此外,虚拟计量数据可包含与单元度量有关的数据,例如,给定裸片的中值阈值电压、给定裸片内阈值电压的变化、给定裸片的操作电压窗口、对读取和/或写入周期的耐久性、给定裸片的寿命、裸片内存储器的持久性、将给定裸片分为不同产品等级的分仓。
本公开的一或多个实施例包含成像器系统。成像器系统可以配置为本领域已知的任何合适的成像器系统。然而,成像器系统可进一步包含一或多个光电二极管,以检测由图像系统的光源发射的光并向成像器系统的控制器提供反馈。基于检测到的光,控制器可以调整(例如,调谐)到光源内的LED组的功率(例如,电流),以平衡各个LED组的强度(例如,光源的颜色)。例如,如下面更详细地讨论,产生本文所描述的虚拟计量的要求是在输出RGB值中具有一致性,而与使用哪个成像器工具来收集图像数据或在晶片内收集图像数据的位置无关。因此,成像器系统可包含用于校准LED组发射的光内的各个颜色的强度的机构。另外,成像器系统能够校准成像器系统照明区域内不同位置的光强度。
鉴于前述,所属领域的技术人员将了解,半导体裸片中多层膜和图案的复杂性先前妨碍了创建可用于计算膜厚度和CD的数学模型。因此,尽管先前可以凭经验从色差观察半导体裸片的厚度和CD的变化,但是先前在产品全流裸片上无法实现实际测量。此外,基于内联成像的度量的可预测性可以帮助优化规范,并且可以帮助在探测给定晶片以进行实际测量之前检测漂移。
图1示出根据本公开的一或多个实施例的其中预测性计量和单元度量系统102(在下文称为“预测系统102”)可操作的环境100的示意图。在一或多个实施例中,预测系统102在计量设备104和成像器系统106内或与它们一起操作。另外,环境100可包含具有应用118的用户装置110。在一些实施例中,预测系统102、计量设备104、成像器系统106和用户装置110可经由网络进行通信。
在一些实施例中,计量设备104可包含散射计和/或椭偏仪中的一或多个。举例来说,计量设备104可包含上文所描述的散射测量和/或椭圆偏振测量系统中的任一个。另外,计量设备104可包含任何已知的散射测量、椭圆偏振测量系统和/或CD扫描电子显微(“SEM”)系统。另外,计量设备104可以可操作地耦合到预测系统102,并且可以向预测系统102提供所测量计量数据(例如,与晶片特征的厚度和临界尺寸的测量有关的数据)。此外,计量设备104可以与成像器系统106一起并协调操作。
成像器系统106可包含任何已知的用于使半导体装置成像的成像器。举例来说,成像器系统106可包含互补金属氧化物(“CMOS”)成像器,如上文所描述。作为另一非限制性实例,成像器系统106可包含晶片智能扫描仪。例如,成像器系统106可形成CLEAN TRACKTMLITHIUS ProTM平台的一部分。举例来说,成像器系统106可包含晶片智能扫描仪(“WIS”)。在一或多个实施例中,成像器系统106可以集成在光刻导轨(photo track)(例如,一种光刻工具)中,也可包含独立的单个设备。作为另一非限制性实例,成像器系统106可包含
Figure BDA0002928408510000071
(应用材料公司)成像器。在一些实施例中,成像器系统106可以集成在化学机械平坦化工具内。另外,成像器系统106可以可操作地耦合到预测系统102,并且可以向预测系统102提供图像数据。
如下文关于图2-5B更详细地描述,预测系统102可针对一或多个晶片批次分别从计量设备104和成像器系统106接收所测量计量数据和图像数据。此外,基于接收到的所测量计量数据和图像数据并利用机器学习系统114,预测系统102可产生用于预测晶片批次的计量参数和/或单元度量的一或多个预测性模型。如本文所使用,术语“计量参数”可以指给定晶片的厚度和临界尺寸数据。举例来说,计量参数可以指给定晶片的特征(例如,层和/或膜)的厚度和/或给定晶片的特征(例如,通过使用光刻、干式蚀刻、湿式蚀刻或其它半导体处理技术在给定晶片上图案化的特征)的尺寸。如本文中所使用,术语“单元度量”可以指表示单元健康状况的度量。举例来说,单元度量(例如,电气性能度量)可以指给定裸片的中值阈值电压、给定裸片内阈值电压的变化、给定裸片的操作电压窗口、对读取和/或写入周期的耐久性、给定裸片的寿命、裸片内存储器的持久性、将给定裸片分为不同产品等级的分仓等。此外,当给定晶片批次几乎没有计量数据可用和/或收集时,利用产生的预测性模型和可用于给定晶片批次的图像数据,预测系统102可预测给定晶片批次的一或多个计量参数和/或单元度量。
如图1中所示,用户111可与用户装置110介接,例如以利用预测系统108产生虚拟计量数据和/或虚拟单元度量数据。用户111可以是个人(即,人类用户)、企业、群体或任何其它实体。尽管图1只示出一个与用户装置110相关联的用户111,但是环境100可包含任何数目个用户,这些用户都可以使用对应的客户端装置与环境100交互。
在一些实施例中,用户装置110包含安装在其上的客户端应用112。客户端应用112可以与预测系统102相关联。例如,客户端应用112允许用户装置110与预测系统102直接或间接地介接。客户端应用112还使用户111经由成像器系统106、计量设备104和预测系统102(例如,一或多个预测性模型)开始分析晶片批次,并使用户装置110接收经预测计量和单元度量数据。
用户装置110和预测系统102均表示可与用户交互的各种类型的计算装置。例如,用户装置110和/或预测系统102可以是移动装置(例如,蜂窝电话、智能电话、PDA、平板电脑、笔记本电脑、手表、可穿戴装置等)。但是,在一些实施例中,用户装置110和/或预测系统102可以是非移动装置(例如,桌面计算机或服务器)。在下文关于图7论述关于用户装置110和预测系统102的额外细节。
图2通过示意流程图示出预测系统102的实例过程200。举例来说,图2示出预测系统102用来训练机器学习模型、产生用于预测计量参数和/或单元度量(例如,单元健康状况)的一或多个预测性模型(例如,预测性算法)和预测至少一个晶片的计量参数和/或单元度量的简化顺序流的一或多个实施例。如本文中所使用,短语“预测性模型”可以指经过训练的用于预测(例如,估计)至少一个晶片的计量参数和单元度量的机器学习模型。所属领域的技术人员将了解,预测性模型中所指示的值可以在置信区间内确定。此外,如本文所描述,通过预测系统102确定和/或预测的任何值可以在置信区间内呈现。
在一些实施例中,过程200可包含从成像器系统106接收第一组图像数据,如图2的动作202中所示。举例来说,过程200可包含预测系统102从成像器系统106接收第一组图像数据。第一组图像数据可包含给定晶片批次内的每个晶片(例如,待分析晶片)的图像(例如,实际图像)。换句话说,第一组图像数据可包含给定晶片批次(例如,第一晶片批次)中的晶片的100%图像。在一或多个实施例中,第一组图像数据可包含给定晶片批次中的晶片的当前状态(例如,层)的图像数据(例如,在最近处理步骤之后收集的图像)。另外,第一组图像数据可包含由成像器系统106捕获的给定晶片批次中的晶片的当前红色、绿色和蓝色(“RGB”)水平(例如,强度)和/或比率。换句话说,第一组图像数据可包含信号和噪声数据。所属领域的技术人员将理解,第一组图像数据可包含跨整个晶片批次中的每个完整晶片所捕获的RGB水平(在下文称为“颜色数据”)。
如上文所提到,第一组图像数据可以通过在晶片批次的晶片处发射LED光并利用成像器系统106(例如,成像器系统106的相机)收集晶片批次的反射光来收集。此外,如本领域中已知,颜色数据可以表示晶片特征(例如,厚度和临界尺寸)(例如,可以是晶片特征的函数)。此外,所属领域的技术人员将了解,即使给定晶片批次内的晶片是通过同一方式处理的,但是给定晶片批次中的每个晶片的颜色数据可显著不同,并且可指示晶片特征的差异。
另外,过程200可包含从成像器系统106接收第二组图像数据,如图2的动作204中所示。举例来说,过程200可包含预测系统102从成像器系统106接收第二组图像数据。类似于第一组图像数据,第二组图像数据可包含已接收到其中的第一组图像数据的给定晶片批次内的每个晶片的图像(例如,实际图像)。换句话说,第二组图像数据可包含给定晶片批次中的晶片的100%图像。在一或多个实施例中,第二组图像数据可包含在先前处理步骤(例如,调平步骤)中给定晶片批次中的每一个晶片的图像。举例来说,第二组图像数据可包含在早先过程(例如,先前应用的掩模、层压、蚀刻、曝光、图案化、封装等)期间给定晶片中的每一个晶片的图像。
另外,第二组图像数据可包含来自先前处理步骤的颜色数据。此外,第二组图像数据可包含在每一处理层级处给定晶片批次中的每个晶片的颜色数据(例如)的比较。因此,如下文将更详细地描述,贯穿处理步骤利用颜色数据的比较,预测系统102可以确定在晶片的早先处理步骤中存在的晶片的早先特征(例如,厚度和临界尺寸)对当前颜色数据的影响。举例来说,多个处理层可影响给定晶片批次中的晶片的当前颜色数据。因此,第二组图像数据可包含噪声数据。
在一些实施例中,图像数据的粒度(例如,数据字段细分的尺寸)小于晶片级(即,裸片级或子裸片级或点级)。如下文更详细地论述,给定晶片中特定点的计量测量可以匹配到处于或紧靠着给定晶片的所述特定点的裸片的所测量RGB值和图像数据。给定晶片内图像数据中的计量数据和RGB数据针对不同点可为不同的。在一些实施例中,可以为分析单独考虑点级数据(即,来自晶片的每个测量点的数据)。
此外,过程200可包含从计量设备104接收所测量计量数据,如图2的动作206中所示。例如,过程200可包含预测系统102从计量设备104接收所测量计量数据。如上文所论述,在一些实施例中,计量设备104可包含散射计或椭偏仪中的一或多个。如上文所提到,计量数据可包含给定晶片的特征(例如,层和/或膜)的所测量计量参数(例如,厚度)和/或经由常规方法测量的晶片的特征(例如,图案、刮痕、表面形态、掩模、线、孔、标记)的尺寸。
在一些实施例中,所测量计量数据可包含接收到其中的第一和第二图像数据组的相同晶片批次的所测量计量数据。如上文所提及,由于时间约束,利用传统的计量设备(例如,散射计和/或椭偏仪)限制了可以在给定时间帧内适当地收集的数据点的数目。因此,即使在所测量计量数据与接收到其中的第一和第二图像数据组的相同晶片批次相关,所测量计量数据也可能不包含给定晶片批次中的每个晶片的数据。举例来说,在一些实施例中,接收到的所测量计量数据可能仅间歇性地搜集、仅从晶片的部分搜集、仅从给定晶片批次中的部分晶片搜集,等等。
在额外实施例中,所测量计量数据可能不与接收到其中的第一和第二图像数据组的相同晶片批次相关。举例来说,所测量计量数据可与先前接收到的其它经分析晶片批次的图像数据相关。如下文更详细地描述,所测量计量数据组可供预测系统102用于训练机器学习模型和产生一或多个预测性模型。另外,预测系统102可利用计量数据验证先前产生的预测性模型。作为非限制性实例,预测系统102可接收第一晶片批次的图像数据(例如,第一组和第二组图像数据)和计量数据。如下文更详细地论述,预测系统102可利用第一晶片批次的图像数据和计量数据训练机器学习模型(即,预测性模型)。此外,预测系统102可以只接收第二晶片批次、第三晶片批次、第四晶片批次等的图像数据。但是,在一些实施例中,预测系统102可以间歇性地接收后续晶片批次的计量数据。
仍参考图2,过程200可进一步包含在接收第一组和第二组图像数据后筛选第一组和第二组图像数据,如图2的动作205中所示。举例来说,预测系统102可将第一组和第二组图像数据筛选到至少三个类别中。例如,预测系统102可将第一组和第二组图像数据筛选到训练图像数据(如动作207中所示)、验证图像数据(如动作209中所示)和不具有可用和/或所测量相关计量数据的图像数据(在下文称为“无计量图像数据”)(如动作211中所示)中。另外,在上述类别中的每一个内,预测系统102可基于各个晶片的先前处理方式(例如,蚀刻、曝光、分层、成型等)将数据分组。此外,预测系统102可以识别并去除每个类别中的离群值(例如,离群裸片数据)。举例来说,如果给定晶片批次内的一或多个晶片的处理方式不同于给定晶片批次内的任何其它晶片,那么预测系统102可以将所述一或多个晶片识别为离群数据。另外,预测系统102可以向图像数据(即,第一组和第二组图像数据)应用一或多个拟合函数(例如,平均分析、均方分析、平均相关系数分析、性能指标分析、最小二乘误差分析等)以识别离群值。此外,预测系统102可以将任何所识别的离群值排除在进一步分析和建模之外。
另外,过程200可包含筛选接收到的所测量计量数据,如图2的动作208中所示。例如,预测系统102可将所测量计量数据筛选到至少两个类别中。具体地说,预测系统102可将所测量计量数据筛选到训练计量数据(如动作210中所示)和验证计量数据(如动作212中所示)中。如下文更详细地论述,预测系统102可利用训练计量数据训练机器学习模型并产生用于预测给定晶片批次的计量参数和单元度量数据的一或多个预测性模型。此外,预测系统102可利用验证计量数据验证预测系统102关于计量参数和单元度量做出的预测。在一或多个实施例中,筛选所测量计量数据可包含识别和去除所测量计量数据中的离群值。例如,预测系统102可以向所测量计量数据应用上述拟合函数或任何其它已知的离群值识别方法中的任一种。
在一或多个实施例中,过程200可包含向给定晶片批次的接收到的训练图像数据和计量训练数据应用一或多个机器学习技术,如图2的动作213中所示。例如,预测系统102可以向给定晶片批次(例如,至少一个晶片批次)的接收到的训练图像数据和计量训练数据应用一或多个机器学习技术。在一或多个实施例中,机器学习技术可包含回归模型(例如,用于估计变量之间的关系的一组统计过程)、分类模型和/或现象模型中的一或多个。另外,机器学习技术可包含二次回归分析、逻辑回归分析、支持向量机、高斯过程回归、集合模型或任何其它回归分析。此外,在另外其它实施例中,机器学习技术可包含决策树学习、回归树、增强树、梯度增强树、多层感知器、一对一、朴素贝叶斯、k最近邻、关联规则学习、神经网络、深度学习、图案辨识或任何其它类型的机器学习。在另外其它实施例中,机器学习技术可包含多元插值分析。
此外,通过向给定晶片的接收到的训练图像数据和训练计量数据应用所述一或多个机器学习技术,过程200可进一步包含基于接收的图像数据产生用于预测晶片批次(例如,给定晶片批次和其它晶片批次)的计量参数的一或多个预测性模型,如图2的动作214中所示。举例来说,预测系统102可利用图像训练数据和计量训练数据训练一或多个预测性模型(例如,预测性算法)以根据晶片的图像数据预测计量数据。换句话说,经由机器学习模型技术,预测系统102可以学习晶片的图像数据(例如,颜色数据)和计量数据(例如,特征数据)之间的相关性。换句话说,预测系统可以学习晶片的图像数据和计量数据之间的关系。例如,本领域中将理解,对于给定晶片批次的给定一组输入值(例如,图像数据)(例如,晶片批次的100%图像),预期预测系统102和产生的预测性模型产生与经由上文所描述的传统计量设备实际测量情况相同的输出值(即,计量数据(厚度、临界尺寸、单元度量))。具体地说,预测性模型经训练以产生至少一个晶片批次的给定一组输入值(例如,图像数据)的值,所述值对应于计量设备通过针对相对大量的输入值组重复训练过程所测量的值。换句话说,对照训练计量数据训练预测性模型。在足够次数的重复之后,预测性模型变为经训练预测性模型。如下文更详细地论述,一旦预测性模型已经产生和训练,接收到的计量数据就只能用于验证预测性模型,并且在一些实例中,用于重新训练预测性模型。在经过训练之后,经训练预测性模型可以接着供预测系统102用于根据晶片批次的图像数据模拟或预测(例如,估计)计量数据(下文称为“虚拟计量数据”)。预测性模型可以通过本领域中已知的任何方式训练。此外,尽管本文中将预测性模型描述为在来自晶片批次的数据上训练,但是本公开不限于此。相反,预测性模型还可在历史数据(例如,来自在其它晶片和/或晶片批次上执行的先前分析的数据(图像和计量数据))上训练。
仍参考图2,过程200可包含向无计量图像数据(例如,来自不具有相关计量数据的晶片批次的图像数据)应用所述一或多个预测性模型,如图2的动作216中所示。例如,过程200可包含预测系统102向无计量图像数据应用所述一或多个预测性模型。在一些实施例中,向无计量图像数据应用所述一或多个预测性模型可包含向晶片批次的整个无计量图像数据(例如,100%)应用预测性模型。
通过向无计量图像数据应用所述一或多个预测性模型,过程200可包含确定和产生其中接收到无计量图像数据的晶片批次的虚拟计量数据(例如,经预测和/或经估计计量数据),如图2的动作218中所示。例如,基于给定晶片批次的接收到的无计量图像数据,预测系统102可经由产生的预测性模型预测给定晶片批次的相关虚拟计量数据和/或虚拟单元度量数据,而不用通过计量设备104进行任何测量。在一些实施例中,虚拟计量数据可包含与晶片批次的特征的经预测厚度和/或临界尺寸有关的数据。例如,虚拟计量数据可包含与可经由传统的计量设备实现的任一测量有关的数据,如上文所描述。此外,虚拟计量数据可包含与上文所描述的任一单元度量有关的数据,例如给定裸片的中值阈值电压、给定裸片内阈值电压的变化、给定裸片的操作电压窗口、对读取和/或写入周期的耐久性、给定裸片的寿命、裸片内存储器的持久性、将给定裸片分为不同产品等级的分仓。举例来说,虚拟计量可包含可经由传统的计量设备确定的任何单元度量数据。另外,虚拟计量数据可包含与所述虚拟计量数据中的任一个有关的预测间隔(例如,其中预测(例如,未来观察)所处的间隔的估计,给定已经观察到的内容,其具有特定概率)。在一些实施例中,产生的虚拟计量可包含一或多个统计过程控制(“SPC”)图。SPC图可包含对照晶片批次绘制的输出数据(例如,虚拟计量数据)。下文关于图3A-5B更详细地描述虚拟计量数据和虚拟单元度量数据。
鉴于前述,并且如上文关于图2的动作214简要讨论的,预测系统102可经由产生的预测性模型来模拟或预测(例如,估计)不具有来自传统计量设备的任何计量数据的给定晶片组的给定一组输入值(例如,图像数据)的计量数据。因此,与局限于捕获图像数据的传统成像器系统不同,本公开的预测系统102可以以与使用传统计量设备获得的精度相似的精度从图像数据中提取计量数据和单元度量数据。此外,由于预测系统102主要利用训练预测性模型之后的图像数据,因此与传统计量设备相比,预测系统102在确定晶片批次的计量数据时提供了显著更快的时间。因此,预测系统102可实现成本节约和半导体装置的更快整体处理。此外,本公开的预测系统102可实现更好的过程控制(例如,利用反馈和前馈机制)。另外,本公开的预测系统102可导致识别过程和工具漂移和不匹配。同样,由于晶片批次的可用计量数据的增加,本公开的预测系统102可以更好地预测裸片损耗。
此外,由于通过传统计量设备进行的测量需要大量的时间来获取,因此本公开的预测系统102在给定类似时间量的情况下,可以产生和预测与传统计量设备相比超过其100倍的给定晶片批次的计量数据量。此外,由于预测系统102的预测性模型是针对所测量计量数据训练的,因此预测系统102可使得增加计量设备104提供的计量数据的精度(例如,理解)。通过减少传统计量设备的使用和/或必要性,本公开的预测系统102提供了在降低成本和处理时间的同时确定晶片批次中的每个晶片的每个裸片的计量数据和单元度量数据的有效方法。
鉴于前述,本公开的预测系统可基于其中几乎没有计量数据可用的晶片批次的图像数据产生虚拟计量数据。因此,相比于传统的确定晶片批次的计量数据的方法,本公开的预测系统102所需要的所测量计量数据少得多。
仍然参考图2,过程200可进一步包含向晶片批次的图像验证数据应用所述一或多个预测性模型,如图2的动作220中所示。举例来说,预测系统102可经由上文关于图2的动作216所描述的任一方式向图像验证数据应用所述一或多个预测性模型。通过向图像验证数据应用所述一或多个预测性模型,过程200可包含产生图像验证数据的虚拟验证计量数据,如图2的动作222中所示。例如,基于给定晶片批次的可用图像验证数据,预测系统102可应用预测性模型以确定和/或预测给定晶片批次的虚拟验证计量数据和/或单元度量数据,而不用通过计量设备进行任何测量。虚拟验证计量数据可包含上文关于虚拟计量数据和虚拟单元度量数据及图2的动作218所描述的数据中的任一个。
在一些实施例中,过程200还可包含对照所测量验证计量数据验证虚拟验证计量数据,如图2的动作224中所示。举例来说,产生的虚拟验证计量可包含一或多个拟合优度(“GOF”)图。换句话说,预测系统102可确定输出数据(例如,虚拟计量数据)和所测量数据(例如,所测量计量数据)的拟合优度。如本领域中已知,拟合优度是回归分析的分量,回归分析是一种用于基于观察到的值进行预测的统计方法。换句话说,拟合优度是一组实际观察结果(即,所测量计量数据)与模型的预测(即,虚拟计量数据)相关程度的度量。举例来说,预测系统102可以经由一或多个GOF图比较虚拟验证计量数据与所测量验证计量数据。
如本领域中已知,拟合优度水平由决定系数(R2)表示,其范围在0.0和1.0之间,百分比越高,拟合越好。在一些实施例中,如果预测系统102确定晶片批次的虚拟验证计量数据指示与所测量验证计量数据的低拟合优度,那么预测系统102可触发经由计量设备收集额外计量数据以进行进一步验证和校正(例如,机器学习模型的重新训练)。举例来说,如果拟合优度指示低于特定阈值(例如,0.80、0.60或0.50)的决定系数(R2),那么预测系统102可触发经由计量设备收集额外计量数据以进行预测性模型的进一步验证和校正。另外,在一或多个实施例中,如果预测系统102确定针对阈值数目个晶片或晶片批次连续地测量出低拟合优度,那么预测系统102可触发预测性模型的重新训练(例如,重新进行预测性模型验证)。在额外实施例中,预测系统102可经由均方根误差(“RMSE”)分析对照所测量验证计量数据验证虚拟验证计量数据。在此类实施例中,如果RMSE高于特定阈值数目,那么预测系统102可触发预测性模型的重新训练。由于前述内容,预测系统102可以连续地验证预测性模型并重新训练预测性模型,以使其预测精度最大化。如果模型漂移无法使用决定系数(R2)或RMSE适当地识别出,那么预测系统102可触发预测性模型的周期性重新训练。预测性模型可以经由本领域中已知的任何方法重新训练。在一些实施例中,预测系统102可以给定时间间隔(例如,每小时、每天、每周、每月等)自动重新训练预测性模型。在额外实施例中,如果晶片批次的基础材料特性改变以用于例如新单元引入,那么预测系统102可以专门重新训练预测性模型。
尽管本文中描述了对照所测量验证计量数据验证虚拟验证计量数据的特定方法,但是本公开不限于此。相反,预测系统102可以使用本领域中已知的用于比较预测性数据与所测量数据的任何方法和/或分析。
仍参考图2,过程200可进一步包含虚拟计量数据的误差校正,如图2的动作226中所示。举例来说,过程200可包含预测系统102向虚拟计量数据的数据应用上述拟合函数中的任一个以确定离群值、随机误差、系统误差或任何其它类型的误差。举例来说,预测系统102可应用平均分析、均方分析、平均相关系数分析、性能指标分析、最小二乘误差分析、标准偏差分析、z分或极值分析、基于接近度的非参数模型、高维离群值检测方法等。除了确定离群值之外,预测系统102还可以校正和/或去除离群值以进行进一步的分析和建模。
图3A和3B示出了经由应用于图像数据的预测性模型来进行的经由常规方法获取的计量数据(图3A)和由本公开的预测系统102产生的虚拟计量数据(图3B)的实例比较。如图3A中所示,并且如所属领域的技术人员将了解,由于传统计量设备的时间约束,数据点(例如,对于一个晶片批次中的所测量晶片)是有限的,并且并不包含每个晶片的数据点。相反地,如图3B中所示,针对晶片批次中的每个(即,各)晶片,基于晶片批次中的晶片的图像数据从经训练预测性模型产生的虚拟计量数据包含明显更多的数据点。此外,所属领域的技术人员将了解,数据越多使得处理越好、裸片性能预测越好、裸片检测越好等。举例来说,作为非限制性实例,传统的计量设备可以为一个给定晶片批次提供约100个数据点,而本公开的预测系统102可以为相同的给定晶片批次提供约4200个数据点。另外,传统的计量设备可能不会为给定晶片批次内的每个晶片都提供数据点,而本公开的预测系统102可以为晶片批次中的每个晶片都提供数据点。
图4A和4B示出了经由常规方法获取的计量数据(图4A)和由本公开的预测系统102产生的虚拟计量数据(图4B)的额外比较。举例来说,图4A示出经由传统的计量设备为一个晶片批次中的给定晶片获取的计量数据的实例等值线图,且图4B示出经由上文所描述的预测性模型确定和产生的虚拟计量数据的实例等值线图。如图4A和4B中所示,相比于所测量计量数据,虚拟计量数据提供了明确得多的等值线图。
图5A和5B示出了经由常规方法获取的计量数据(图5A)和由本公开的预测系统102产生的虚拟计量数据(图5B)的额外比较。举例来说,图4A示出经由传统的计量设备针对一个晶片批次中的给定晶片获取的计量数据的实例变异性图,且图4B示出经由上文所描述的预测性模型确定和产生的虚拟计量数据的实例变异性图。如图5A和5B中所示,相比于所测量计量数据,虚拟计量数据提供明确得多的变异性图。此外,如图5A和5B中所示,本公开的预测系统102可用于填充所测量计量数据内的间隙。例如,在一些实施例中,极少计量数据可用于给定晶片批次,且本公开的预测系统102可用于经由上文所描述的任一方式利用虚拟计量数据补充和增强计量数据。
图6示出根据本公开的一或多个实施例的成像器系统600的示意图。如图6所示,成像器系统600可包含可调谐光源602、漫射器604、镜606、一或多个光电二极管608、控制器610、光源电源612和电荷耦合装置(CCD)相机614。
所属领域的技术人员将理解,成像器系统的传统光源包含白色LED光源。此外,常规白色LED光源的发射光谱通常不是平坦的,并且可以使白色LED光源发射的白光中的各个颜色(例如,红色、绿色和蓝色)的强度发生偏移。使各个颜色的强度偏移可能会使图像数据和/或产生的虚拟计量数据(如上所述)偏移。因此,关于图6描述的成像器系统600提供用于平衡白光内所有波长的颜色(例如,红色、绿色和蓝色)的强度,使得成像器系统600可以与传统计量设备交叉校准,并提供一致且可靠的图像数据。
可调谐光源602可定向成以锐角和/或钝角发射安置在卡盘618上的晶片616上的光。漫射器604可以安置在可调谐光源602和晶片616之间,并且可配置成漫射由可调谐光源602发射的光。在一或多个实施例中,光电二极管608可安置在漫射器604内或漫射器604附近以检测和捕获由可调谐光源602发射的光。光电二极管608可操作地耦合到控制器610并且可与控制器610通信。另外,光源电源612可以可操作地耦合到控制器610并且可由控制器610操作。
镜606可以朝向晶片616和/或卡盘618上方,并且可以定向成将从晶片616反射的光反射到CCD相机614。CCD照相机614可以捕获晶片616的图像数据(例如,上文关于图1-5B描述的任何图像数据),并且可以将图像数据提供给控制器610。
在一些实施例中,可调谐光源602可包含LED阵列。此外,LED中的每一个可以是可调谐的。换句话说,LED的强度可以是可调谐(例如,可调整)的。更确切地说,光源的各个波长的强度可以是跨成像器系统600的整个照射区域进行调谐。例如,如果照射区域的第一部分与照射区域的第二部分具有不同RGB强度,那么光源的各个波长的强度可以调谐以实现照射区域的每个部分的期望RGB强度。在一或多个实施例中,CCD相机614可展现稳定的光谱响应。此外,CCD相机614可包含全密封两级冷却彩色相机。另外,在一些实施例中,镜606可包含受保护金、受保护银、UV增强铝或受保护铝中的一或多个。举例来说,镜可包含受保护银。
在一些实施例中,CCD相机614和光电二极管608中的一或多个可以向控制器610提供关于由可调谐光源602发射的光的颜色强度的反馈。举例来说,CCD相机614和光电二极管608中的一或多个可以向控制器610提供指示由可调谐光源602发射的光的每个颜色(例如,红色、蓝色和绿色)的当前强度的数据。
此外,基于从CCD相机614和/或光电二极管608接收到的反馈,控制器610可以经由电源612调整到可调谐光源602的一或多个LED的电流(例如,电流)。举例来说,控制器610可以调整到可调谐光源602的一或多个LED的电流,使得由可调谐光源602发射的光的颜色的强度基本相同。例如,控制器610可以调整到可调谐光源602的一或多个LED的电流,以实现图7所示的强度。在一些实施例中,成像器系统600可提供晶片616的相对高分辨率图像。举例来说,成像器系统600可以提供具有足够高的分辨率的图像,以区别于给定裸片的阵列区域和外围区域(例如,裸片级分辨率)。作为非限制性实例,成像器系统600可以提供具有800万到1200万像素分辨率的图像。另外,成像器系统600的控制器610可利用裸片设计的计算机绘图(例如,计算机辅助绘图)来从计算机绘图识别裸片边界和阵列边界。此外,在一些实施例中,控制器610可以产生从每个裸片、裸片阵列(不包括外围)和子阵列区域反射到CCD相机614的光的各个颜色强度的平均值、中值和标准偏差。
在一些实施例中,本公开的成像器系统600可以确定晶片的图像的像素的RGB值的裸片级平均值、中值和标准偏差。举例来说,本公开的成像器系统600可以只确定给定裸片的阵列区域的像素的RGB值的裸片级平均值、中值和标准偏差。可以在产生任何图像数据之前去除来自裸片的外围区域、划线和所有非阵列区域的图像的像素。后续过程可包含子裸片级图像数据,其中针对给定裸片内的各个阵列组确定图像像素的平均值、中值和标准偏差。
图8是其上安置有镜606的晶片616及晶片616上的可调谐光源602的照明区域620的示意图。在一些实施例中,CCD相机614可捕获跨成像区域被可调谐光源602均匀照亮(例如,每个颜色的强度相同)的矩形图像。晶片616的移动实现多个图像的收集。所述多个图像可以排列在一起以形成整体晶片图像。镜606、可调谐光源602和处理器(例如,晶片处理器)配置可包含本领域中已知的任何配置。
图9是根据本公开的一或多个实施例的预测系统102和/或用户装置110的框图。将了解,一或多个计算装置900可实施预测系统102和/或用户装置110。预测系统102和/或用户装置110可包括处理器902、存储器904、存储装置906、I/O接口908和通信接口910,它们可以通过通信基础架构912以通信方式耦合。尽管在图9中示出了计算装置的实例,但是图9中所示的组件并不意图是限制性的。可在其它实施例中使用额外或替代性组件。此外,在某些实施例中,计算装置900可包含比图9中所示的那些更少的组件。现将进一步详细地描述图9中所示的计算装置900的组件。
在一或多个实施例中,处理器902包含用于执行指令的硬件,例如组成计算机程序的那些指令。作为实例但不作为限制,为了执行指令,处理器902可从内部寄存器、内部高速缓存、存储器904或存储装置906检取(或获取)指令并对它们进行解码和执行。在一或多个实施例中,处理器902可包含用于数据、指令或地址的一或多个内部高速缓存。作为实例但不作为限制,处理器902可包含一或多个指令高速缓存、一或多个数据高速缓存和一或多个转换旁视缓冲器(TLB)。指令高速缓存中的指令可以是存储器904或存储装置906中的指令的副本。
存储器904可用于存储数据、元数据和程序以供处理器执行。存储器904可包含易失性和非易失性存储器中的一或多个,例如随机存取存储器(“RAM”)、只读存储器(“ROM”)、固态磁盘(“SSD”)、快闪、相变存储器(“PCM”),或其它类型的数据存储。存储器904可以是内部或分布式存储器。
存储装置906包含用于存储数据或指令的存储器。作为实例但不作为限制,存储装置906可包括上述非暂时性存储媒体。存储装置906可包含硬盘驱动器(HDD)、软盘驱动器、快闪存储器、光盘、磁光盘、磁带或通用串行总线(USB)驱动器或其中两个或更多个的组合。在适当的情况下,存储装置906可包含可装卸式或非可装卸式(或固定)媒体。存储装置906可以在计算装置900的内部或外部。在一或多个实施例中,存储装置906是非易失性固态存储器。在其它实施例中,存储装置906包含只读存储器(ROM)。在适当的情况下,该ROM可以是掩模编程ROM、可编程ROM(PROM)、可擦除PROM(EPROM)、电可擦除PROM(EEPROM)、电可变ROM(EAROM)或快闪存储器,或其中两个或多个的组合。
I/O接口908允许用户111向计算装置900提供输入、从其接收输出,并且以其它方式向其传递数据和从其接收数据。I/O接口908可包含鼠标、小键盘或键盘、触摸屏、相机、光扫描器、网络接口、调制解调器、其它已知I/O装置,或此类I/O接口的组合。I/O接口908可包含用于向用户111呈现输出的一或多个装置,包含但不限于图形引擎、显示器(例如,显示屏)、一或多个输出驱动器(例如,显示器驱动器)、一或多个音频扬声器和一或多个音频驱动器。在某些实施例中,I/O接口908配置成向显示器提供图形数据以供呈现给用户111。图形数据可以表示一或多个图形用户界面和/或任何其它可以服务特定实施方案的图形内容。
通信接口910可包含硬件、软件或这两者。在任何情况下,通信接口910可提供一或多个接口用于计算装置900和一或多个其它计算装置或网络之间的通信(例如基于包的通信)。作为实例但不作为限制,通信接口910可包含用于与以太网或其它基于线的网络通信的网络接口控制器(NIC)或网络适配器,或用于与WI-FI等无线网络通信的无线NIC(WNIC)或无线适配器。
另外或替代地,通信接口910可促进与特用网络、个人局域网(PAN)、局域网(LAN)、广域网(WAN)、城域网(MAN)或因特网的一或多个部分或其中两个或更多个的组合的通信。这些网络中的一或多个的一或多个部分可以是有线或无线的。作为实例,通信接口910可促进与无线PAN(WPAN)(例如,蓝牙WPAN)、WI-FI网络、WI-MAX网络、蜂窝式电话网络(例如全球移动通信系统(GSM)网络)或其它合适的无线网络或其组合通信。
另外,通信接口910可促进各种通信协议。可以使用的通信协议的实例包含但不限于数据传输媒体、通信装置、传输控制协议(“TCP”)、因特网协议(“IP”)、文件传输协议(“FTP”)、远程登录、超文本传输协议(“HTTP”)、加密的超文本传输协议(“HTTPS”)、会话起始协议(“SIP”)、简单对象访问协议(“SOAP”)、可扩展标记语言(“XML”)及其变化形式、简单邮件传输协议(“SMTP”)、实时传输协议(“RTP”)、用户110数据报协议(“UDP”)、全球移动通信系统(“GSM”)技术、码分多址(“CDMA”)技术、时分多址(“TDMA”)技术、短消息服务(“SMS”)、彩信服务(“MMS”)、射频(“RF”)信令技术、长期演进(“LTE”)技术、无线通信技术、带内和带外信令技术,以及其它合适的通信网络和技术。
通信基础架构912可包含将计算装置900的组件彼此耦合的硬件、软件或这两者。作为实例但不作为限制,通信基础架构912可包含加速图形端口(AGP)或其它图形总线、增强工业标准结构(EISA)总线、前侧总线(FSB)、超传输(HT)互连、工业标准结构(ISA)总线、无限频带互连、低引脚数(LPC)总线、存储器总线、微信道架构(MCA)总线、外围组件互连(PCI)总线、PCI快速(PCIe)总线、串行高级技术附件(SATA)总线、视频电子标准协会本地(VLB)总线或另一合适的总线或其组合。
本公开的一或多个实施例包含一种预测晶片批次的虚拟计量数据的方法。所述方法可包含:从成像器系统接收第一图像数据,所述第一图像数据与至少一个第一晶片批次有关;从计量设备接收与所述至少一个第一晶片批次有关的所测量计量数据;向所述第一图像数据和所述所测量计量数据应用一或多个机器学习技术以产生至少一个用于预测晶片批次的虚拟计量数据或虚拟单元度量数据中的至少一个的预测性模型;以及利用所述至少一个产生的预测性模型产生所述第一晶片批次的第一虚拟计量数据或第一虚拟单元度量数据中的至少一个。
本公开的一些实施例包含一种预测晶片批次的虚拟计量数据的方法。所述方法可包含:从成像器系统接收第一图像数据,所述第一图像数据与至少一个第一晶片批次有关;从计量设备接收所述至少一个第一晶片批次的所测量计量数据;利用所述图像数据和所述所测量计量数据训练机器学习模型;以及向所述第一图像数据和所述所测量计量数据应用一或多个机器学习技术以产生至少一个用于预测晶片批次的虚拟计量数据或虚拟单元度量数据中的至少一个的预测性模型;从所述成像器系统接收第二图像数据,所述第二图像数据与至少一个第二晶片批次有关;以及经由所述至少一个产生的预测性模型基于所述第二图像数据产生所述第二晶片批次的第二虚拟计量数据或第二虚拟单元度量数据中的至少一个。
本公开的一或多个实施例包含一种预测晶片批次的虚拟计量数据的方法。所述方法可包含:从成像器系统接收第一图像数据,所述第一图像数据与至少一个第一晶片批次有关,以及在不接收与所述至少一个第一晶片批次有关的任何所测量计量数据的情况下,基于所述第一图像数据,利用至少一个产生的用于预测晶片批次的虚拟计量数据或虚拟单元度量数据中的至少一个的预测性模型来产生所述第一晶片批次的第一虚拟计量数据或第一虚拟单元度量数据中的至少一个。
本公开的额外实施例包含一种成像器系统。所述成像器系统可包含可调谐光源、漫射器、至少一个二极管和控制器。所述可调谐光源可以定向成在晶片处发射光,并且可以可操作地耦合到电源。所述漫射器可以安置在所述可调谐光源和所述晶片之间。所述至少一个二极管可以安置在所述可调谐光源和所述晶片之间,并且所述至少一个二极管可配置成检测由所述可调谐光源发射的光。所述控制器可配置成从所述至少一个二极管接收信号,并且基于所接收信号,调整经由所述电源供应到所述可调谐光源的电流以平衡所述光的颜色强度。
上述和附图中所示的本公开的实施例不限制本公开的范围,此范围由所附权利要求书及其法律等效物的范围所涵盖。任何等效实施例都在本公开的范围内。实际上,除了本文所示和描述的那些修改之外,所属领域的技术人员根据描述内容将清楚本公开的各种修改,例如所描述的元件的替代有用组合。此类修改和实施例也属于所附权利要求书和等效物的范围。

Claims (24)

1.一种方法,其包括:
从成像器系统接收第一图像数据,所述第一图像数据与至少一个第一晶片批次有关;
从计量设备接收与所述至少一个第一晶片批次有关的所测量计量数据;
向所述第一图像数据和所述所测量计量数据应用一或多个机器学习技术以产生至少一个用于预测晶片批次的虚拟计量数据或虚拟单元度量数据中的至少一个的预测性模型;以及
利用所述至少一个产生的预测性模型产生所述至少一个第一晶片批次的第一虚拟计量数据或第一虚拟单元度量数据中的至少一个。
2.根据权利要求1所述的方法,其进一步包括:
从所述成像器系统接收第二图像数据,所述第二图像数据与至少一个第二晶片批次有关;
经由所述至少一个产生的预测性模型基于所述第二图像数据产生所述第二晶片批次的第二虚拟计量数据或第二虚拟单元度量数据中的至少一个。
3.根据权利要求2所述的方法,其进一步包括不接收所述第二晶片批次的所测量计量数据。
4.根据权利要求2或3中任一权利要求所述的方法,其中经由所述预测性模型产生所述第二晶片批次的第二虚拟计量数据或所述第二虚拟单元度量数据中的所述至少一个包括在不接收与所述至少一个第二晶片批次有关的所测量计量数据的情况下,产生所述第二虚拟计量数据或所述第二虚拟单元度量数据中的至少一个。
5.根据权利要求4所述的方法,其进一步包括:
接收与所述至少一个第二晶片批次有关的所测量计量数据;以及
利用与所述至少一个第二晶片批次有关的所述所测量计量数据验证所述至少一个产生的预测性模型。
6.根据权利要求1、2或3中任一权利要求所述的方法,其进一步包括将所述至少一个第一晶片批次的所述所测量计量数据筛选到训练计量数据和验证计量数据中。
7.根据权利要求1、2或3中任一权利要求所述的方法,其进一步包括将与所述至少一个第一晶片批次有关的所述第一图像数据筛选到训练图像数据、验证图像数据和无计量图像数据中。
8.一种方法,其包括:
从成像器系统接收第一图像数据,所述第一图像数据与至少一个第一晶片批次有关;
从计量设备接收所述至少一个第一晶片批次的所测量计量数据;
利用所述第一图像数据和所述所测量计量数据训练机器学习模型;
向所述第一图像数据和所述所测量计量数据应用一或多个机器学习技术以产生至少一个用于预测晶片批次的虚拟计量数据或虚拟单元度量数据中的至少一个的预测性模型;
从所述成像器系统接收第二图像数据,所述第二图像数据与至少一个第二晶片批次有关;以及
经由所述至少一个产生的预测性模型基于所述第二图像数据产生所述第二晶片批次的第二虚拟计量数据或第二虚拟单元度量数据中的至少一个。
9.根据权利要求8所述的方法,其中所述一或多个机器学习技术选自由以下组成的列表:二次回归分析、逻辑回归分析、支持向量机、高斯过程回归、集合模型、任何其它回归分析、决策树学习、回归树、增强树、梯度增强树、多层感知器、一对一、朴素贝叶斯、k最近邻、关联规则学习、神经网络、深度学习和图案辨识。
10.根据权利要求8或9中任一权利要求所述的方法,其中所述第二虚拟计量数据包括与所述至少一个第二晶片批次内的晶片的特征的厚度和临界尺寸有关的数据。
11.根据权利要求8或9中任一权利要求所述的方法,其中所述第二虚拟单元度量数据包括与以下有关的数据:给定裸片的中值阈值电压、给定裸片内阈值电压的变化、给定裸片的操作电压窗口、对给定裸片的读取和/或写入周期的耐久性、给定裸片的寿命、给定裸片内存储器的持久性或将给定裸片分为不同产品等级的分仓。
12.根据权利要求8或9中任一权利要求所述的方法,其进一步包括:
从所述成像器系统接收第三图像数据,所述第三图像数据与至少一个第三晶片批次有关;
从所述计量设备接收所述至少一个第三晶片批次的所测量计量数据;以及
利用与所述至少一个第三晶片批次有关的所述第三图像数据和所述所测量计量数据验证所述至少一个产生的预测性模型。
13.根据权利要求8或9中任一权利要求所述的方法,其中所述第一图像数据包括所述至少一个第一晶片批次内的晶片的红色、绿色和蓝色强度。
14.根据权利要求8或9中任一权利要求所述的方法,其中所述计量设备包含散射计或椭偏仪中的至少一个。
15.根据权利要求8或9中任一权利要求所述的方法,其中所述第一图像数据包含所述至少一个第一晶片批次内的每个晶片的图像,且所述至少一个第一晶片批次的所述所测量计量数据只包含所述至少一个第一晶片批次中的部分晶片的计量测量。
16.一种方法,其包括:
从成像器系统接收第一图像数据,所述第一图像数据与至少一个第一晶片批次有关;以及
在不接收与所述至少一个第一晶片批次有关的任何所测量计量数据的情况下,基于所述第一图像数据,利用至少一个产生的用于预测晶片批次的虚拟计量数据或虚拟单元度量数据中的至少一个的预测性模型来产生所述至少一个第一晶片批次的第一虚拟计量数据或第一虚拟单元度量数据中的至少一个。
17.根据权利要求16所述的方法,其进一步包括:
接收与至少一个第二晶片批次有关的所测量计量数据;以及
利用与所述至少一个第二晶片批次有关的所述所测量计量数据验证所述至少一个产生的预测性模型。
18.根据权利要求17所述的方法,其进一步包括从所述成像器系统接收第二图像数据,所述第二图像数据与所述至少一个第二晶片批次有关;以及
基于所述第二图像数据,利用所述至少一个产生的预测性模型产生所述至少一个第二晶片批次的第二虚拟计量数据。
19.根据权利要求18所述的方法,其中验证所述至少一个产生的预测性模型包括确定与所述至少一个第二晶片批次有关的所产生第二虚拟计量数据和所述所测量计量数据的拟合优度。
20.根据权利要求19所述的方法,其进一步包括在所述拟合优度的决定系数低于阈值的情况下,触发所述至少一个产生的预测性模型的重新训练。
21.根据权利要求19所述的方法,其进一步包括在所述拟合优度的决定系数低于0.60的情况下,触发所述至少一个产生的预测性模型的重新训练。
22.根据权利要求16或20中任一权利要求所述的方法,其进一步包括向与另一晶片批次有关的图像数据和所测量计量数据应用一或多个机器学习技术以产生所述至少一个产生的预测性模型。
23.一种成像器系统,其包括:
可调谐光源,其定向成在晶片处发射光且可操作地耦合到电源;
漫射器,其安置在所述可调谐光源和所述晶片之间;
至少一个二极管,其安置在所述可调谐光源和所述晶片之间,所述至少一个二极管配置成检测由所述可调谐光源发射的光;以及
控制器,其配置成从所述至少一个二极管接收信号,并且响应于所接收信号,调整经由所述电源供应到所述可调谐光源的电流以平衡所述光的颜色强度。
24.根据权利要求23所述的成像器系统,其进一步包括:
电荷耦合装置相机;以及
镜,其定向成将来自所述晶片的表面的反射光引导到所述电荷耦合装置相机。
CN201980051438.3A 2018-08-10 2019-07-12 结构特性预测系统、成像器系统及相关方法 Active CN112534246B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/100,729 US10872403B2 (en) 2018-08-10 2018-08-10 System for predicting properties of structures, imager system, and related methods
US16/100,729 2018-08-10
PCT/US2019/041693 WO2020033107A1 (en) 2018-08-10 2019-07-12 System for predicting properties of structures, imager system, and related methods

Publications (2)

Publication Number Publication Date
CN112534246A true CN112534246A (zh) 2021-03-19
CN112534246B CN112534246B (zh) 2022-01-18

Family

ID=69406063

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980051438.3A Active CN112534246B (zh) 2018-08-10 2019-07-12 结构特性预测系统、成像器系统及相关方法

Country Status (6)

Country Link
US (3) US10872403B2 (zh)
EP (1) EP3833966A4 (zh)
JP (1) JP7322136B2 (zh)
KR (1) KR102561592B1 (zh)
CN (1) CN112534246B (zh)
WO (1) WO2020033107A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220413036A1 (en) * 2021-06-28 2022-12-29 Sandisk Technologies Llc Virtual quality control interpolation and process feedback in the production of memory devices

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11328964B2 (en) * 2018-12-13 2022-05-10 Applied Materials, Inc. Prescriptive analytics in highly collinear response space
JP2023517873A (ja) 2020-03-03 2023-04-27 ピーディーエフ ソリューションズ,インコーポレイテッド 寿命初期故障を起こしやすいダイの予測
EP4127834A1 (en) * 2020-04-02 2023-02-08 ASML Netherlands B.V. Method for determining an inspection strategy for a group of substrates in a semiconductor manufacturing process
EP3910417A1 (en) * 2020-05-13 2021-11-17 ASML Netherlands B.V. Method for determining an inspection strategy for a group of substrates in a semiconductor manufacturing process
JP2022028457A (ja) * 2020-08-03 2022-02-16 東京エレクトロン株式会社 成膜条件出力装置、成膜条件出力方法、成膜条件出力プログラム及び成膜装置

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6645824B1 (en) * 2002-04-30 2003-11-11 Timbre Technologies, Inc. Combined optical profilometry and projection microscopy of integrated circuit structures
US20050008217A1 (en) * 2003-07-11 2005-01-13 Leica Microsystems Semiconductor Gmbh Method for defect segmentation in features on semiconductor substrates
US20050146716A1 (en) * 2003-12-31 2005-07-07 Tokyo Electron Limited Method and system to compensate for lamp intensity differences in a photolithographic inspection tool
US7873585B2 (en) * 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US9518916B1 (en) * 2013-10-18 2016-12-13 Kla-Tencor Corporation Compressive sensing for metrology
US20170194126A1 (en) * 2015-12-31 2017-07-06 Kla-Tencor Corporation Hybrid inspectors
CN107924561A (zh) * 2015-08-31 2018-04-17 科磊股份有限公司 使用图像的以模型为基础的计量

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2729220B1 (fr) 1995-01-06 1997-04-04 Eldim Dispositif de mesure colorimetrique d'un ecran d'affichage
FR2749388B1 (fr) 1996-05-31 1998-08-07 Eldim Appareil de mesure des caracteristiques photometriques et colorimetriques d'un objet
FR2777653B1 (fr) 1998-04-20 2000-06-30 Eldim Systeme de mesure des caracteristiques de luminance d'objets, notamment d'objets a luminance dependante de la direction d'emission
IL130874A (en) 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
FR2800163B1 (fr) 1999-10-26 2002-01-18 Eldim Dispositif de mesure de la repartition spatiale de l'emission spectrale d'un objet
US6891627B1 (en) * 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
JP4723860B2 (ja) 2002-12-09 2011-07-13 クォンタム セミコンダクター リミテッド ライアビリティ カンパニー Cmos画像センサー
FR2859781B1 (fr) 2003-09-17 2007-07-06 Commissariat Energie Atomique Utilisation de la transformee de fourier optique pour le controle dimensionnel en microelectronique
WO2006091913A1 (en) 2005-02-25 2006-08-31 Nanometrics Incorporated Apparatus and method for enhanced critical dimension scatterometry
US20070009010A1 (en) * 2005-06-23 2007-01-11 Koji Shio Wafer temperature measuring method and apparatus
JP5164317B2 (ja) * 2005-08-19 2013-03-21 株式会社日立ハイテクノロジーズ 電子線による検査・計測方法および検査・計測装置
US7526354B2 (en) 2006-07-10 2009-04-28 Tokyo Electron Limited Managing and using metrology data for process and equipment control
US7642550B2 (en) 2006-07-25 2010-01-05 Micron Technology, Inc. Multi-layer structures for parameter measurement
US10121950B2 (en) * 2008-03-01 2018-11-06 Goldeneye, Inc. Lightweight solid state light source with common light emitting and heat dissipating surface
US7519216B1 (en) * 2008-04-30 2009-04-14 International Business Machines Corporation Systems and methods of maintaining equipment for manufacturing semiconductor devices
JP2010177374A (ja) * 2009-01-28 2010-08-12 Toshiba Corp パターン検証方法および半導体装置の製造方法
US9110200B2 (en) * 2010-04-16 2015-08-18 Flex Lighting Ii, Llc Illumination device comprising a film-based lightguide
US9557016B2 (en) * 2013-08-02 2017-01-31 Philips Lighting Holding B.V. Color rendering index tunable lamp and luminaire
US10290088B2 (en) 2014-02-14 2019-05-14 Kla-Tencor Corporation Wafer and lot based hierarchical method combining customized metrics with a global classification methodology to monitor process tool condition at extremely high throughput
JP2017122580A (ja) 2014-04-08 2017-07-13 株式会社日立ハイテクノロジーズ 検査装置及び検査条件決定方法
US9401016B2 (en) * 2014-05-12 2016-07-26 Kla-Tencor Corp. Using high resolution full die image data for inspection
US9490183B2 (en) * 2014-05-16 2016-11-08 Tokyo Electron Limited Nondestructive inline X-ray metrology with model-based library method
US9506871B1 (en) * 2014-05-25 2016-11-29 Kla-Tencor Corporation Pulsed laser induced plasma light source
EP3113215A1 (en) * 2015-06-30 2017-01-04 IMEC vzw Method and device for inspection of a semiconductor device
US9748113B2 (en) * 2015-07-30 2017-08-29 Veeco Intruments Inc. Method and apparatus for controlled dopant incorporation and activation in a chemical vapor deposition system
US10360477B2 (en) * 2016-01-11 2019-07-23 Kla-Tencor Corp. Accelerating semiconductor-related computations using learning based models
KR20180076592A (ko) * 2016-12-28 2018-07-06 삼성전자주식회사 반도체 장치의 계측 방법
TW201905598A (zh) * 2017-06-19 2019-02-01 里梭科技股份有限公司 Uv-led曝光光源系統
CN111655170A (zh) 2017-12-21 2020-09-11 爱惜康有限责任公司 包括具有不同钉尺寸的可储存仓的外科缝合器

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6645824B1 (en) * 2002-04-30 2003-11-11 Timbre Technologies, Inc. Combined optical profilometry and projection microscopy of integrated circuit structures
US20050008217A1 (en) * 2003-07-11 2005-01-13 Leica Microsystems Semiconductor Gmbh Method for defect segmentation in features on semiconductor substrates
US20050146716A1 (en) * 2003-12-31 2005-07-07 Tokyo Electron Limited Method and system to compensate for lamp intensity differences in a photolithographic inspection tool
US7873585B2 (en) * 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US9518916B1 (en) * 2013-10-18 2016-12-13 Kla-Tencor Corporation Compressive sensing for metrology
CN107924561A (zh) * 2015-08-31 2018-04-17 科磊股份有限公司 使用图像的以模型为基础的计量
US20170194126A1 (en) * 2015-12-31 2017-07-06 Kla-Tencor Corporation Hybrid inspectors

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220413036A1 (en) * 2021-06-28 2022-12-29 Sandisk Technologies Llc Virtual quality control interpolation and process feedback in the production of memory devices

Also Published As

Publication number Publication date
EP3833966A1 (en) 2021-06-16
US20200051235A1 (en) 2020-02-13
EP3833966A4 (en) 2022-08-03
JP7322136B2 (ja) 2023-08-07
JP2021533570A (ja) 2021-12-02
US20210090246A1 (en) 2021-03-25
WO2020033107A1 (en) 2020-02-13
US11869178B2 (en) 2024-01-09
KR102561592B1 (ko) 2023-07-31
US10872403B2 (en) 2020-12-22
CN112534246B (zh) 2022-01-18
KR20210025701A (ko) 2021-03-09
US20240153062A1 (en) 2024-05-09

Similar Documents

Publication Publication Date Title
CN112534246B (zh) 结构特性预测系统、成像器系统及相关方法
CN106062939B (zh) 用于基于图像的叠对测量的信号响应计量
US10062157B2 (en) Compressive sensing for metrology
US10210606B2 (en) Signal response metrology for image based and scatterometry overlay measurements
CN107077644B (zh) 用于组合来自多个计量工具的原始数据的系统、方法及计算机程序产品
KR101906647B1 (ko) 고처리량 박막 특성화 및 결함 검출
US11380594B2 (en) Automatic optimization of measurement accuracy through advanced machine learning techniques
KR20150096776A (ko) 모델-기반 계측 및 프로세스 모델의 통합 사용
KR20050027953A (ko) 광 계측학에 이용되는 가설 프로파일 선택
US10983005B2 (en) Spectroscopic overlay metrology
US10692203B2 (en) Measuring defectivity by equipping model-less scatterometry with cognitive machine learning
TW201636602A (zh) 用於增強檢測工具之檢測靈敏度之系統及方法
US11796390B2 (en) Bandgap measurements of patterned film stacks using spectroscopic metrology
US7742177B2 (en) Noise-reduction metrology models
CN113508291B (zh) 基于光学色散的多维模型估计参数的值的方法与系统
TW201706723A (zh) 度量衡方法及設備、電腦程式及微影系統
US11763161B2 (en) Enhanced resolution in semiconductor fabrication data acquisition instruments using machine learning
TWI631636B (zh) 以模型爲基礎之量測及一製程模型的整合使用
Cho et al. High-speed wafer film measurement with heterogeneous optical sensor system
Wörnhör et al. A self-consistent hybrid model connects empirical and optical models for fast, non-destructive inline characterization of thin, porous silicon layers
US20240062356A1 (en) Data-driven prediction and identification of failure modes based on wafer-level analysis and root cause analysis for semiconductor processing
TW202405371A (zh) 用於光學臨界尺寸量測的方法、用於光學臨界尺寸量測的系統以及非暫時性機器可存取儲存媒體

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant