CN112204721A - 利用光束宽度调制的晶片点加热 - Google Patents

利用光束宽度调制的晶片点加热 Download PDF

Info

Publication number
CN112204721A
CN112204721A CN201980033939.9A CN201980033939A CN112204721A CN 112204721 A CN112204721 A CN 112204721A CN 201980033939 A CN201980033939 A CN 201980033939A CN 112204721 A CN112204721 A CN 112204721A
Authority
CN
China
Prior art keywords
substrate
disposed
spot
processing chamber
heating module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980033939.9A
Other languages
English (en)
Inventor
刘树坤
叶祉渊
朱作明
中西孝之
中川敏行
尼欧·谬
诸绍芳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN112204721A publication Critical patent/CN112204721A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • B23K26/034Observing the temperature of the workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/0604Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams
    • B23K26/0608Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams in the same heat affected zone [HAZ]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/062Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam
    • B23K26/0622Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam by shaping pulses
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/0648Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising lenses
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/073Shaping the laser spot
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/0823Devices involving rotation of the workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/12Working by laser beam, e.g. welding, cutting or boring in a special atmosphere, e.g. in an enclosure
    • B23K26/126Working by laser beam, e.g. welding, cutting or boring in a special atmosphere, e.g. in an enclosure in an atmosphere of gases chemically reacting with the workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/12Working by laser beam, e.g. welding, cutting or boring in a special atmosphere, e.g. in an enclosure
    • B23K26/127Working by laser beam, e.g. welding, cutting or boring in a special atmosphere, e.g. in an enclosure in an enclosure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2101/00Articles made by soldering, welding or cutting
    • B23K2101/36Electric or electronic devices
    • B23K2101/40Semiconductor devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26
    • B23K2103/56Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26 semiconducting

Abstract

本公开内容的实施方式提供一种热处理腔室,包含基板支撑件、设置于基板支撑件的上方或下方的第一多个加热元件、及设置于基板支撑件上的点加热模块。点加热模块被用于在处理期间提供设置于基板支撑件上的基板上的区域的局部加热。基板的局部加热改变了温度分布,这进而可用于改善沉积均匀性。由点加热模块所产生的光束点的形状可被修改,而无需改变点加热模块的光学元件。

Description

利用光束宽度调制的晶片点加热
技术领域
本公开内容的实施方式涉及用于半导体基板处理的设备及方法,更特定地,涉及适用于半导体基板处理的使用点加热模块的热处理腔室。
背景技术
针对各种各样的应用来处理半导体基板,这些应用包含集成电路器件及微器件的制造。在一个类别的处理中,基板通常位于处理腔室内的基座上。基座由支撑轴支撑,支撑轴可绕中央轴旋转,以旋转耦接在该支撑轴的一个末端处的基座。精确控制加热源(例如,设置在基板下方及上方的多个加热灯)允许基板在基板处理期间被加热。
基板的温度及处理期间基板温度的均匀性可影响沉积在基板上的材料的厚度均匀性。基板温度的非均匀性可为轴向对称的或轴向非对称的。当基座在处理期间旋转时,通过对加热源进行分区控制,可减低基板温度的轴向对称非均匀性。基板温度的轴向非对称非均匀性(例如,由基座或支撑轴(例如,升降销)的结构非均匀性造成的轴向非对称非均匀性)不能通过对加热源的分区控制来补偿,因为非均匀性的来源与基座及基板一起旋转。
尽管使用加热源的精确控制以加热基板,然而在许多现有设备中所执行的沉积处理的结果中仍观察到非均匀性。因此,需要一些方法来管理并减低热半导体处理腔室中的轴向非对称的温度非均匀性。
发明内容
本公开内容的实施方式涉及用于半导体基板处理的设备及方法,更特定地,涉及适用于半导体基板处理的热处理腔室。在一个实施方式中,处理腔室包含:外壳;基板支撑件,该基板支撑件设置于该外壳内;能量模块,该能量模块设置于该外壳外部,面向该基板支撑件;支撑件,该支撑件设置于该外壳外部;及点加热模块,该点加热模块设置于该支撑件上。该点加热模块包含:保持器;及移动装置,该移动装置耦接至该保持器。
在另一实施方式中,处理腔室包含:外壳;基板支撑件,该基板支撑件设置于该外壳内;能量模块,该能量模块设置于该外壳外部,面向该基板支撑件;及点加热模块,该点加热模块设置于该外壳外部。该点加热模块包含:多个保持器;及多个移动装置,其中该多个移动装置的每个移动装置耦接至该多个保持器的对应的保持器。
在另一实施方式中,处理腔室包含:外壳;基板支撑件,该基板支撑件设置于该外壳内;能量模块,该能量模块设置于该外壳外部,面向该基板支撑件;及点加热模块,该点加热模块设置于该支撑件上。该点加热模块包含:台座;保持器,该保持器设置于该台座上;准直器,该准直器设置于该保持器上;及移动装置,其中该移动装置设置于该保持器及该准直器之间。
附图说明
作为可以详细理解本公开内容上述特征的方式,可通过参考实施方式来获得本公开内容的简短总结于上文的更特定描述,实施方式中的一些图示于附图中。然而,应注意,附图仅图示本公开内容典型的实施方式,因此不应视为对范围的限制,因为本公开内容可允许其他等效实施方式。
图1为根据一个实施方式的处理腔室的示意横截面侧视图。
图2为根据另一实施方式的处理腔室的示意横截面侧视图。
图3为根据一个实施方式的点加热器的示意侧视图。
图4A至4B为根据一个实施方式的点加热模块的示意俯视图。
图5A至5C为通过一个或多个图3的点加热器形成的光束点的示意视图。
图6A至6B为根据一个实施方式的相对于基板移动具有不同定向的光束点的示意视图。
图7为根据另一实施方式的处理腔室的示意横截面侧视图。
图8为根据又一实施方式的处理腔室的示意横截面侧视图。
图9为根据进一步实施方式的处理腔室的示意横截面侧视图。
为了便于理解,尽可能使用相同附图标记标示附图中共用的相同元件。可以预期的是,在一个实施方式中公开的元件可有利地使用于其他实施方式,而无须赘述。
具体实施方式
本公开内容的实施方式提供一种热处理腔室,包含基板支撑件、设置于基板支撑件上方、下方、或上方和下方的第一多个加热元件、及设置于基板支撑件上的点加热模块。点加热模块用于在处理期间提供设置于基板支撑件上的基板上的区域的局部加热。基板的局部加热改变了温度分布,进而可用于改善沉积均匀性。由点加热模块所产生的光束点的形状可被修改,而无需改变点加热模块的光学元件。
如本文所述的“基板”或“基板表面”是指在其上执行处理的任何基板表面。例如,取决于应用,基板表面可包含硅、氧化硅、掺杂硅、硅锗、锗、砷化镓、玻璃、蓝宝石、及任何其他材料,例如金属、金属氮化物、金属合金、及其他导电性或半导电性材料。基板或基板表面也可包含介电材料,例如二氧化硅、氮化硅、有机硅酸盐、及碳掺杂氧化硅或氮化物材料。基板本身不限于任何特定的尺寸或形状。尽管本文的实施方式相关于圆形的200mm或300mm的基板,可使用其他形状,例如多边形、正方形、矩形、弯曲或其他非圆形工件。
图1根据一个实施方式图示处理腔室100的示意横截面侧视图。处理腔室100可为沉积或热处理腔室,例如气相外延腔室。例如处理腔室100的腔室也可用于执行其他热处理。可使用处理腔室100以处理一个或多个基板。基板102设置于处理腔室100中以用于处理,处理可包含在基板102的器件侧150上沉积材料、加热基板102、蚀刻基板102、或上述的组合。处理腔室100包含点加热模块171。点加热模块171包含一个或多个点加热器170。点加热模块171用于在处理期间加热基板102上的一个或多个区域。该一个或多个区域可为冷点,例如,升降销132向基板102产生非均匀热量施加的位置。在一个实施方式中,点加热器170经由对应的光纤101连接至电磁辐射源103。单一电磁辐射源103可通过光纤101仅光学耦接至一个点加热器170,或光学耦接至多于一个的点加热器170。多个光纤101可将一个电磁辐射源103连接至多个点加热器170,如图1中所示。在一些实施方式中,电磁辐射源103直接设置于点加热器170上,而非通过光纤101耦接至点加热器170。电磁辐射源103可为脉冲电磁辐射源或连续波(CW)电磁辐射源。
电磁辐射源103可为高能量辐射源,例如激光器。可使用的激光源的示例包含晶体激光器、激光二极管及阵列、及VCSEL。也可使用高强度LED源,且可使用准直器以准直从LED源发射的光以形成光束。发射的辐射的波长一般可位于紫外光、可见光和/或红外光的光谱中,从约200nm至约900nm,例如810nm,且发射的辐射可为单色、窄频带、宽频带、或超宽频带,例如白色激光。电磁辐射源103发射高强度电磁辐射,其通过光纤101引导至点加热器170。点加热器170使光纤101的出口端朝向处理腔室100中的目标位置定向,例如定向至设置于基座106上的基板102上。如此定向的光纤101从由电磁辐射源103发射的辐射产生朝向目标位置的辐射光束。光纤101的末端可具有一个或多个光学特征,包含透镜、刻面(faceted surfaces)、漫射表面、滤波器及其他涂层,以引导或调节离开光纤的电磁辐射。替代地,一个或多个光学元件可耦接至点加热器170中的光纤101的末端。因此,点加热器170是可配置的和可交换的。来自电磁辐射源103的辐射光束可具有相同波长或不同波长。在一个实施方式中,辐射光束具有不同波长以用于加热基板102上形成的不同材料。
如图1中所展示,点加热器170包含由保持器192保持的准直器190。准直器190是准直来自电磁辐射源103的其中一者的辐射的光学元件,例如,通过使用适当设计的透镜。准直器190具有第一端,来自电磁辐射源103的辐射被输入到该第一端,例如,通过引导激光源的输出进入该第一端中的开口。准直器190具有第二端,该第二端具有容纳准直光学组件的开口。在一些实施方式中,激光器或激光源可通过将激光器的光束离开部分插入准直器190的第一端而直接安装至准直器190,使得激光器发射的辐射穿过准直器190并经过准直光学组件的第二端(可以是透镜或透镜组)离开。
在一些实施方式中,准直器190被光纤101或电磁辐射源103取代,且保持器192直接保持光纤101或电磁辐射源103。保持器192设置于台座194上。台座194包含楔形体196及滑块198。下文将进一步描述点加热器170。
处理腔室100包含外壳148,外壳148包括基底180及设置于基底180上的反射器154。处理腔室100进一步包含设置于基底180上的间隔器112、设置于间隔器112上的第一壳体108、设置于基底180上的第二壳体110、设置于第一壳体108及第二壳体110之间的基座106、以及设置于处理腔室100内的能量模块104。在这种情况下,能量模块104设置于基底180内。能量模块104加热基座106和/或设置于基座106上的基板102。在一个实施方式中,能量模块104为辐射加热灯的阵列,如图1中所示。如图1及图2中所展示,能量模块104可位于第二壳体110下方以照射基座106,且基座106介于基板102及能量模块104之间。如图2中所示,能量模块104可设置于基座106的两侧上,使得基座106介于两个能量模块104之间。尽管将图1示出为能量模块104在基座106的一侧上(即,在基座106下方),能量模块104可设置于基座106的另一侧上,如图2中所示(即,在基座106上方)。设置在第一壳体108上方的能量模块104也经定位以照射基板102。
可在分区(例如径向分区)中独立控制每个能量模块104,以便在处理气体或蒸汽通过基板102的表面上方时控制基板102的多个区域的温度,从而有助于材料沉积至基板102的器件侧150上。径向分区性允许通过调整反应位置处的局部温度来控制沉积厚度均匀性,以补偿由于流动非均匀性和边缘到中心的反应物消耗引起的反应物浓度变化。使用单独的电源或通过分区间的功率分配控制来对分区单独供电。
基板102被传送进入处理腔室100并通过在间隔器112中形成的装载端口109被放置至基座106上。基座106可为如图所示的盘状基板支撑件。在一些实施方式中,基座106被环形基板支撑件(未示出)取代,该环形基板支撑件从基板102的边缘支撑基板102以直接暴露基板102背侧,从而从设置于第二壳体110下方的能量模块104加热。基座106可由碳化硅、涂有碳化硅的硅、涂有碳化硅的石英、或涂有碳化硅的石墨制成,以吸收来自能量模块104的辐射能量并将辐射能量引导至基板102,从而加热基板102。
尽管未示出,例如,如果基座106具有减少接触的特征,基板102的部分可被升高到基座106上方。在这些情况下,基座通过辐射和传导的组合来加热基板102。辐射加热和传导加热的比例由与基座表面直接接触的基板面积的占比来决定。两个不同加热机构的分布可导致不能通过能量模块104的分区控制来校正的热非均匀性。
基座106由耦接至运动组件120的轴或杆118来支撑。运动组件120包含旋转致动器122,旋转致动器122在操作中绕着垂直于处理腔室100的X-Y平面的处理腔室100的纵轴A旋转杆118,杆118旋转基座106。运动组件120也包含线性致动器124以沿着处理腔室100的Z方向上(例如,垂直)的处理腔室100的纵轴A移动杆118,杆118移动基座106。在处理期间,使用旋转致动器122使基座106围绕其中央旋转,以最小化处理腔室100内的热和处理气体流动空间异常的效应,从而有助于基板102的均匀处理。基座106以约5RPM至约100RPM之间的速率旋转,例如介于约10RPM及约50RPM之间,例如约30RPM。
第一壳体108可为圆顶,且第二壳体110也可为圆顶。第一壳体108及第二壳体110的每一者传递来自能量模块104的辐射能量。第一壳体108及第二壳体110以及设置于第一壳体108及第二壳体110之间的间隔器112界定了处理腔室100的内部区域111。第一壳体108和/或第二壳体110的每一者可为平坦的、凸的、或凹的。在一些实施方式中,第一壳体108和/或第二壳体110的每一者对于从能量模块104发射的辐射能量的辐射是透明的(传递辐射能量的至少95%的辐射)。在一个实施方式中,第一壳体108及第二壳体110由石英制成。
能量模块104提供介于约10KW及约60KW之间的总辐射功率输出,其可将半导体基板加热至约200摄氏度至约1600摄氏度的范围内的温度。能量模块104的每个灯105可耦接至功率分配板,例如印刷电路板(PCB)159,经由该功率分配板向每个灯105供应功率。如果一个电源为多于一个的加热分区供应功率,PCB 159可具有功率分配控制电路。在一个实施方式中,能量模块104定位于外壳145内。外壳145具有一个或多个通道149以在灯105之间流动冷却流体。
在图1中,将基座106示出为处于升高的处理位置。当基座106位于处理位置时,基座106将处理腔室100的内部区域111分成基座106上方的处理气体区域136及基座106下方的净化气体区域138。为了装载和卸载基板102,基座106移动至传送位置,以允许升降销132接触设置于第二壳体110的内表面113上的支座134。支座134由与第二壳体110相同的材料制成。升降销132悬挂在穿过基座106形成的孔洞107中。每一孔洞107从基座106的基板支撑表面115延伸至与基板支撑件表面115相反的基座106的后表面117。使用一个或多个热传感器153来确定基板温度,热传感器153经配置以感测由基座106的后表面117发射的热辐射。传感器153可为设置于外壳145中形成的端口中的高温计。额外地或替代地,一个或多个热传感器153直接感测由基板102的器件侧150发射的热辐射。传感器153、或耦接至传感器153以接收表示所感测的辐射的信号一个或多个控制器从感测到的辐射来决定温度。反射器154放置于第一壳体108外部,以反射从基板102辐射离开的辐射,并将该辐射重新引导回到基板102上。在一个实施方式中,如图2中所示,能量模块104耦接至反射器154。设置于间隔器112和第一壳体108上的夹环156将反射器154固定在第一壳体108上。反射器154可由金属制成,例如铝或不锈钢。可穿过反射器154设置传感器153,以接收来自基板102的器件侧150的辐射。
从处理气体供应源151供应的处理气体经由在间隔器112中形成的处理气体入口114被引入处理气体区域136。间隔器112具有外表面182及内表面184。处理气体入口114引导处理气体跨越基板102的器件侧150。基座106的处理位置及其上的基板102相邻于处理气体入口114,从而允许处理气体大致沿着流动路径173流动跨越基板102的器件侧150。处理气体经由位于间隔器112中并与处理气体入口114相对的气体出口116离开处理气体区域136(沿着流动路径175)。气体出口116为在间隔器112中形成的、将真空泵157流体耦接至处理气体区域136的开口。通过与其耦接的真空泵157,有助于经由气体出口116移除处理气体。
从净化气体源162供应的净化气体经由在间隔物112中形成的净化气体入口164被引入净化气体区域138。在成膜处理期间,基座106位于使得净化气体大致沿着流动路径165流动跨越基座106的后表面117的位置。净化气体经由气体出口116离开净化气体区域138(沿着流动路径166)并排出处理腔室100。
可通过基于处理器的系统控制器(例如控制器147)来控制上述处理腔室100,如图1及图2所示。例如,控制器147经配置以在基板处理序列的不同操作期间控制来自气源的多种前驱物和处理气体和净化气体的流动。控制器147可经配置以控制点加热模块171的启动,预测用于启动点加热模块171的算法,和/或使点加热模块171的操作与基板旋转、气体供给、灯操作、或其他处理参数、及其他控制器操作同步。控制器147包含耦接至处理腔室100的各种部件的可与存储器155及大容量储存装置一起操作的可编程中央处理单元(CPU)152、输入控制单元、及显示器单元(未示出),例如时钟、高速缓存、输入/输出(I/O)电路等,以便于控制处理腔室100中的基板处理。控制器147进一步包含支援电路158。为了便于上述的处理腔室100的控制,CPU 152可为可在工业设定中使用的通用目的计算机处理器的任何形式的其中一者,例如可编程逻辑控制器(PLC),以用于控制多种腔室及子处理器。存储器155为计算机可读储存媒体的形式,其含有指令,当该指令由CPU 152执行时,便于处理腔室100的操作。存储器155中的指令为程序产品的形式,例如实践本公开内容的方法的程序。
图2根据一个实施方式图示了处理腔室200的示意截面视图。处理腔室200在一些方面相似于图1中所示的处理腔室100。处理腔室200具有反射器254,反射器254具有整合于其中的能量模块104。处理腔室200包含外壳148,外壳148包括基底180和设置于基底180上的反射器254。点加热模块171设置于反射器254上。如图2中所示,一条光纤101可将一个电磁辐射源103连接至一个点加热器170,或可使用多条光纤101将多于一个的电磁辐射源103连接至一个点加热器170。
图3是根据一个实施方式的点加热器170的示意侧视图。如图3中所示,点加热器170包含由保持器192保持的准直器190。准直器190可为含有光学元件(例如透镜)的管状构件,且保持器192可为具有中央开口的圆柱形物体,以在所需位置接收并固定管状的准直器190。保持器192设置于台座194上,且台座194设置于支撑件302上。台座194包含楔形体196及滑块198。滑块198可使用定位螺钉或致动器在支撑件302上线性移动。滑块198可包含可在处理期间移动滑块198的致动器。楔形体196包含与保持器192接触的表面304,且表面304相对于平面306形成角度A,平面306实质平行于基座的主要表面,例如图1及图2中所示的处理腔室100的基座106。
可通过位于楔形体196中的致动器来调整楔形体196的角度A。可通过选择楔形体196的角度A及通过调整滑块198的位置来达成点加热器170的瞄准。因为可通过致动器调整楔形体196的角度A及滑块198的位置,可在处理期间调整基板(例如图1中所示的基板102)上光束点的位置。楔形体196、滑块198、及支撑件302可由对从电磁辐射源103发射的辐射能量的辐射透明(传送辐射能量的至少95%的辐射)的材料制成。在一个实施方式中,楔形体196、滑块198、及支撑件302由石英制成。在一些实施方式中,开口穿过楔形体196、滑块198、及支撑件302而形成,以用于使来自准直器190的光束(例如激光光束)通过楔形体196、滑块198、及支撑件302至基板102上的目标区域。开口可足够大以适应楔形体196的表面304或滑块198的移动。在支撑件302中形成的开口可至少大于在楔形体196及滑块198中形成的开口,从而在楔形体196及滑块198中形成的开口不会被支撑件302的任何部分遮挡。在一个实施方式中,在支撑件302中形成的开口大于滑块198中的开口,无论滑块198的位置如何。在楔形体196具有开口的情况下,该开口将与在准直器190内部传递辐射的辐射源的光轴对齐(即,光纤101),且将被调整尺寸以允许辐射的所有、或所需部分穿过开口。
可通过执行手动对齐处理来瞄准点加热器170。在对齐处理期间,可移除支撑件302及基座106之间的任何部件以有助于点加热器170的手动对齐。点加热器170被通电以产生导引光束,因此操作员可观察打在基座上的来自导引光束的光点。可旋转基座,使得由点加热器170加热的区域容易被导引光束照亮。接着,可操作定位装置(例如滑块198及台座194的楔形体196)以使点加热器170对齐待加热区域。在一个实施方式中,待加热的区域为升降销所在的位置,例如图1中所示的处理腔室100的升降销132。可通过直接耦接或光纤耦接至点加热器170的较低强度激光产生导引光束。
移动装置308耦接至准直器190以有助于准直器190的移动。移动装置308设置于保持器192及准直器190之间。一个或多个轴承可设置于移动装置308及保持器192之间以最小化移动装置308及保持器192之间的摩擦。在一个实施方式中,移动装置308是可使准直器190相对于准直器190的纵轴310旋转的装置。准直器190在使用第一处理配方处理基板期间可位于第一位置,且准直器190可在使用第二处理配方处理基板之前旋转至第二位置。准直器190的旋转可改变离开准直器190的辐射光束的光束点的形状和/或尺寸。
在一个实施方式中,移动装置308在处理期间相对于准直器190的纵轴310在一个方向上(顺时针或逆时针)连续旋转准直器190,以动态地改变基板上的光束点的形状。基板在处理期间也可被旋转。准直器190的旋转可与基板的旋转同步,以便提供基板上的一个或多个冷点的精确加热。在另一实施方式中,移动装置308使准直器190在预定角度范围内旋转振荡,例如在负60度至60度之间。准直器190的振荡可与基板的旋转同步。在一些实施方式中,准直器190被光纤101或电磁辐射源103取代(图1)。移动装置308耦接至由保持器192保持的光纤101或电磁辐射源103(图1),且移动装置308以与旋转准直器190相同的方式来旋转光纤101或电磁辐射源103。
移动装置308可为产生周期性运动的受控运动装置,周期性运动例如是振动、圆周运动、或线性运动。由移动装置308产生的运动被传递至准直器190,或替代地,传递到光纤101或电磁辐射源103(图1)。准直器190可随着从移动装置308传递的运动移动准直器190来移动光束点,且光束点的移动照射大于光束点面积的暴露面积。在另一实施方式中,准直器190照射基板上的重叠区域,近似于以大于由电磁辐射源103(图1)产生的光束点的大光束点的照射。当准直器190移动时,电磁辐射源产生连续的电磁辐射光束,当电磁辐射光束通过移动的准直器190时,该连续的电磁辐射光束照射在基板上的暴露面积大于光束点的面积。大光束点界定了当基板旋转时的环形加热分区。
当电磁辐射光束通过移动的准直器190时,电磁辐射源103可经由移动准直器190被脉冲化以在基板上形成大光束点。当基板旋转时,大光束点加热基板上的离散面积。电磁辐射光束的脉冲可与基板的旋转和/或光束点的移动同步。例如,可将光束的脉冲设定为与准直器190的振动频率相关的频率。相关的频率可将辐射脉冲传递至基板的重叠面积,使得基板的暴露面积(大于任何脉冲的面积)暴露于脉冲辐射。通过移动准直器190的脉冲持续时间决定沿着环形或部分环形加热分区的暴露的角度扫描。
准直器190可连续地或周期性地移动,例如当电磁辐射光束的脉冲通过准直器190时。在一个示例中,在准直器190移动时,光束可以第一持续时间脉冲化,且在准直器190不移动时,光束可以第二持续时间脉冲化。在该实施方式中,对应于第一持续时间的基板的第一暴露面积大于光束的面积,而对应于第二持续时间的第二暴露面积具有与光束的尺寸相同的尺寸。
图4A至4B为根据一个实施方式的点加热模块171的示意顶部视图。如图4A中所示,点加热模块171包含一个或多个点加热器170。一个或多个点加热器170设置于支撑件302上,支撑件302整合至腔室盖402中或腔室盖402上。腔室盖402可为图1及图2中所示的处理腔室100或200的反射器154或254。每一点加热器170包含设置于支撑件302上的台座194。每一点加热器170可进一步包含设置于台座194上的准直器190。一个或多个传感器408(例如高温计)设置于支撑件302上。在一些实施方式中,每一点加热器170包含准直器190及传感器408,且准直器190及传感器408都设置于单一台座194上,如图4B中所示。
一个或多个传感器408可用于调制提供至点加热器170的功率。例如,控制器(未展示)可从传感器408接收温度数据,且可基于温度数据增加或减低提供至点加热器170的功率。在该系统中,可将传感器408及点加热器170的组合使用于闭环或开环控制,以基于来自传感器408的读数来调整点加热器170。
本文描述的实施方式可同于通过调整加热辐射点的形状和/或尺寸来调节基板的点加热。点加热器170经配置以动态地控制光束点的形状和/或尺寸,而无需修改系统的光学元件。
图5A至5C为由本文所述的一个或多个点加热器170形成的光束点的示意视图。如图5A中所示,光束点502由一个点加热器170(图3)形成。可在不改变点加热器170的光学元件的情况下修改光束点502。例如,如图5B中所示,光束点504由两个点加热器170(图1)形成。两个点加热器170经定位使得点加热器170产生的光束点重叠。两个点加热器170可包含产生相同或不同波长的辐射光束的电磁辐射源103。在一个实施方式中,两个点加热器170分别包含蓝色激光器及绿色激光器,并且光束点504包含蓝色部分及绿色部分。替代地,可通过移动准直器190(图3)来形成光束点504。在另一实施方式中,通过致动(例如振动)滑块198(图3)来形成光束点504。在又一实施方式中,通过致动(例如移动)楔形体196的角度A(图3)来形成光束点504。准直器190、滑块198的移动或楔形体196的角度A形成跑道形的光束点506,如图5C中所示。在一个实施方式中,一对楔形体196以一定的偏移角度精确地加工,以分别实现图5B、5C中所示的光束点504、506。
图6A至6B为根据一个实施方式相对于基板移动具有不同定向的光束点的示意视图。如图6A中所示,光束点602具有椭圆形状。可将椭圆形的光束点602的长轴定向为实质垂直于基板移动的方向,如箭头604所指示。当光束点602的长轴实质垂直于基板的移动方向时,可在不改变点加热器170的光学元件的情况下调整光束点602的宽度(即,光束点602的长轴的有效长度)。例如,可通过旋转准直器190(图3)来改变光束点602的宽度。如图6B中所示,准直器190的旋转造成光束点602定向旋转,使得椭圆形的光束点602的长轴不再实质垂直于基板的移动方向,导致光束点602的宽度较窄。该技术也适用于线性光束点。
图7为根据一个实施方式的处理腔室700的示意横截面侧视图。处理腔室700在某些方面相似于图1及图2中所示的处理腔室100。处理腔室700经配置以处理一个或多个基板,包含在基板710的器件侧722上沉积材料。处理腔室700包含第一壳体712、第二壳体714、及设置于第一壳体712及第二壳体714之间的基板支撑件702。第一壳体712及第二壳体714可由与图1中所展示的第一壳体108及第二壳体110相同的材料制成。
基板支撑件702包含用于支撑基板710的支撑环724及用于支撑支撑环724的环支撑件726。基板710经由装载端口728被传送进入处理腔室700且定位于支撑环724上。支撑环724可由涂有SiC的石墨制成。通过马达(未示出)旋转环支撑件726,进而旋转支撑环724及基板710。
处理腔室700包含设置于第二壳体714下方的第一能量模块706(例如辐射加热灯),以用于从基板710下方加热基板710。处理腔室700也包含设置于第一壳体712上的第二能量模块704(例如辐射加热灯),以用于从基板710上方加热基板710。在一个实施方式中,第一及第二能量模块704、706分别经由第一壳体712及第二壳体714将红外光辐射热提供至基板。第一及第二壳体712、714对于从能量模块704、706发射的辐射能量的辐射是透明的(传递辐射能量的至少95%的辐射)。在一个实施方式中,处理腔室700也包含一个或多个温度传感器730,例如光学高温计,用于测量处理腔室700内及基板710的器件侧722上的温度。一个或多个温度传感器730设置于支撑构件732上,支撑构件732设置于盖716上。反射器718放置于第一壳体712外部,以将从基板710及第一壳体712辐射的红外光朝向基板710反射回去。
点加热模块171设置于支撑构件732上。点加热模块171包含一个或多个点加热器170。每一点加热模块171产生一个或多个电磁辐射光束734,例如高能量电磁辐射光束,例如激光光束,每一光束形成或有助于基板710的器件侧722上的光束点,以便执行基板710的局部加热。其中点加热模块171位于反射器718上方,电磁辐射光束734通过在反射器718的环形部分736中形成的开口720,且第一壳体712对于电磁辐射光束734的辐射是透明的(传递辐射光束734的至少95%的接收辐射)。
在腔室700中执行的外延操作期间,将基板710加热至预定温度,例如小于约750摄氏度。为了改善基板的温度均匀性,使用点加热模块171以局部加热基板710上的一个或多个区域。由于基板710在操作期间旋转,点加热模块171的局部加热可发生在基板710的某个半径处的环形区域上。
温度传感器730可用于调制提供至点加热模块171的功率。例如,控制器(未示出)可从温度传感器730接收温度数据,且可基于温度数据增加或减低提供至点加热模块171的功率。在该系统中,可将温度传感器730及点加热模块171的组合使用于闭环或开环控制,以基于来自温度传感器730的读数来调整点加热模块171。
图8为根据另一实施方式的处理腔室800的示意横截面侧视图。处理腔室800在某些方面相似于图1中所示的处理腔室100。处理腔室800通常可具有矩形盒的形状。处理腔室800包含第一壳体802、第二壳体804、及由第一及第二壳体802、804界定的区域803。第一壳体802及第二壳体804可由与图1中所示的第一壳体108及第二壳体110相同的材料制成。在图8的实施方式中,第一及第二壳体802及804为平坦的,且由对于要通过的能量的波长是透明的石英制成,以加热基板。
第一能量模块810设置于第一壳体802上。第一能量模块810可为多个辐射热源,例如细长管型辐射加热元件。能量模块810以间隔开的平行关系设置,且还实质平行于反应气体流动路径(由箭头812所示)延伸穿过处理腔室800。第二能量模块815定位于第二壳体804下方,且被定向为与第一能量模块810横向。多个点热源820将集中的热供应至基板支撑结构的下侧(下述),以抵消由延伸穿过处理腔室800底部的冷支撑结构所产生的热沉效应。
点加热模块171设置于位于第一能量模块810上的盖806上。点加热模块171包含一个或多个点加热器170。点加热模块171产生一个或多个电磁辐射光束以执行设置于处理腔室800中的基板的局部加热。如半导体处理设施领域中已知的,响应于经由温度传感器所测量的基板温度,可独立地或在分组分区中控制多种热源170、810、815、820的功率。
所示的基板825由设置于区域803中的基板支撑件830支撑。基板支撑件830包含基板保持器832及支撑脚架834,基板825安置在基板保持器832上。脚架834安装至轴836,轴836向下延伸穿过管838,管838延伸穿过腔室底部808。管838与净化气体源连通,净化气体可在基板825处理期间从管838流过。
多个温度传感器置于基板825附近。温度传感器可采用多种形式,例如光学高温计或热电耦。在图示的实施方式中,温度传感器包括热电耦,包含以任何合适方式悬挂在基板保持器832下方的第一或中央热电耦840。中央热电耦840在基板保持器832附近通过脚架834。处理腔室800进一步包含同样在基板825附近的多个次级或周边热电耦,多个次级或周边热电耦包含前边缘或前热电耦845、尾部边缘或后热电耦850、及侧热电耦(未示出)。每个周边热电耦安置于滑环852内,滑环852围绕基板保持器832及基板825。滑环852安置在支撑构件854上,支撑构件854从前腔室分隔器856及后腔室分隔器858延伸。分隔器856、858由石英制成。中央及周边热电耦的每一者连接至温度控制器,温度控制器响应于来自热电耦的温度读数设定多种热源810、815、820的功率。
处理腔室800进一步包含用于注入反应物及载气的入口端口860,且也可通过入口端口860接收基板825。出口端口864位于处理腔室800的相对侧上,基板支撑结构830位于入口860及出口864之间。入口部件865装配至处理腔室800,适于围绕入口端口860,且包含水平细长槽867,基板825可通过水平细长槽867而插入。大致垂直的入口868接收来自气体源的气体并将这些气体与槽867及入口端口860连通。出口部件870相似地安装至处理腔室800,使得排气开口872与出口端口864对齐且通向排气管道874。排气管道874可进而与合适的真空构件(未示出)连通,以用于从处理腔室800排出处理气体。
处理腔室800也包含位于腔室底部808下方的激发物质德尔源876。激发物质源876可以是沿气体线878设置的远程等离子体产生器。前驱气体源880耦接至气体线878以用于引入至激发物质源876。载气源882也耦接至气体线878。也可提供一个或多个分支线884以用于额外的反应物。激发物质源876可用于等离子体增强沉积,但当处理腔室800中没有基板时,也可用于激发蚀刻气体物质以清洁处理腔室800的过量沉积材料。
图9为根据又一实施方式的处理腔室900的示意横截面侧视图。处理腔室900包含点加热模块171。可使用处理腔室900以实施本文所述的方法。示范性处理腔室900为
Figure BDA0002788589860000151
腔室,可从加利福尼亚州,圣克拉拉市的应用材料公司取得。
处理腔室900包含腔室主体902。腔室主体902界定腔室900的内部容积904。处理腔室900包含设置于内部容积904中的基板支撑件906。基板支撑件906在其周边上支撑基板901。在一个实施方式中,基板支撑件906位于磁性耦接至可旋转凸缘926的可旋转圆柱体925上。基板901经定向使得基板901的表面910面向透明石英窗914。当在经由开口948将基板901带入处理腔室900并带至基板支撑件906上的基板传送器之间传送基板901时,可升高及降低升降销916以支撑基板901。
处理腔室900进一步包含位于窗914下方的辐射加热设备918。辐射加热设备918引导辐射能量朝向基板901以加热基板901。辐射加热设备918包含置于以紧密堆积的排列方式排列的反射性管922中的多个灯920。
点加热模块171设置于位于基板支撑件906上的盖908上。点加热模块171包含一个或多个点加热器170。点加热模块171产生一个或多个电磁辐射光束以执行设置于处理腔室900中的基板901的局部加热。如在半导体处理设施领域中已知的,回应于经由温度传感器(例如一个或多个热传感器153)所测量的基板温度,可独立地或在分组分区中控制多种热源170、918的功率。
本文所述的实施方式提供了一种处理腔室,包含点加热模块以用于在处理期间提供基板的局部加热。在腔室内的基板旋转期间,能量可聚焦至特定位置以便以特定的时间间隔局部加热并调节基板的特定位置,例如相邻于升降销的位置。在一些情况下,可通过以下方式将点加热元件定位到特定位置:测量测试基板的沉积厚度分布,找到将受益于点加热的测试基板的位置,在测试基板上标记这些位置,将测试基板重新插入腔室中,并使用本文所述的定位功能(导引光束并定位调整)将点加热引导至标记位置。然后,可通过目标点加热元件来对后续的基板进行点加热,以解决系统处理的非均匀性。可以在不改变点加热模块的光学元件的情况下修改由点加热模块产生的光束点。
虽然前述内容涉及本公开内容的实施方式,但可在不脱离本公开内容的基本范围的情况下修改本公开内容的其他及进一步的实施方式,且本公开内容的范围由随附权利要求书确定。

Claims (15)

1.一种处理腔室,包括:
外壳;
基板支撑件,该基板支撑件设置于该外壳内;
能量模块,该能量模块设置于该外壳外部,面向该基板支撑件;
支撑件,该支撑件设置于该外壳外部;及
点加热模块,该点加热模块设置于该支撑件上,其中该点加热模块包括:
保持器;及
移动装置,该移动装置耦接至该保持器。
2.根据权利要求1所述的处理腔室,进一步包括连接至该点加热模块的脉冲或连续波电磁辐射源。
3.根据权利要求1所述的处理腔室,其中该移动装置为受控运动装置。
4.根据权利要求1所述的处理腔室,其中该能量模块包括多个加热灯。
5.根据权利要求4所述的处理腔室,其中该点加热模块进一步包括电磁辐射源。
6.根据权利要求5所述的处理腔室,其中该电磁辐射源包括激光器。
7.一种处理腔室,包括:
外壳;
基板支撑件,该基板支撑件设置于该外壳内;
能量模块,该能量模块设置于该外壳外部,面向该基板支撑件;及
点加热模块,该点加热模块设置于该外壳外部,其中该点加热模块包括:
多个保持器;及
多个移动装置,其中该多个移动装置的每个移动装置耦接至该多个保持器的对应的保持器。
8.根据权利要求7所述的处理腔室,其中该多个移动装置的移动装置为受控运动装置。
9.根据权利要求7所述的处理腔室,其中该能量模块包括多个加热灯。
10.根据权利要求9所述的处理腔室,其中该点加热模块进一步包括电磁辐射源。
11.一种处理腔室,包括:
外壳;
基板支撑件,该基板支撑件设置于该外壳内;
能量模块,该能量模块设置于该外壳外部,面向该基板支撑件;及
点加热模块,该点加热模块设置于该外壳外部,其中该点加热模块包括:
台座;
保持器,该保持器设置于该台座上;
准直器,该准直器设置于该保持器中;及
移动装置,其中该移动装置设置于该保持器及该准直器之间。
12.根据权利要求11所述的处理腔室,进一步包括热传感器,该热传感器设置于该台座上。
13.根据权利要求11所述的处理腔室,进一步包括滑块,该滑块设置于该台座上。
14.根据权利要求13所述的处理腔室,进一步包括楔形体,该楔形体设置于该滑块上,其中该准直器及该保持器设置于该楔形体上。
15.根据权利要求11所述的处理腔室,进一步包括反射器,其中该台座设置于该反射器上。
CN201980033939.9A 2018-06-04 2019-05-14 利用光束宽度调制的晶片点加热 Pending CN112204721A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862680278P 2018-06-04 2018-06-04
US62/680,278 2018-06-04
US16/407,670 2019-05-09
US16/407,670 US11177144B2 (en) 2018-06-04 2019-05-09 Wafer spot heating with beam width modulation
PCT/US2019/032301 WO2019236252A1 (en) 2018-06-04 2019-05-14 Wafer spot heating with beam width modulation

Publications (1)

Publication Number Publication Date
CN112204721A true CN112204721A (zh) 2021-01-08

Family

ID=68695340

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980033939.9A Pending CN112204721A (zh) 2018-06-04 2019-05-14 利用光束宽度调制的晶片点加热

Country Status (5)

Country Link
US (1) US11177144B2 (zh)
KR (2) KR20240054412A (zh)
CN (1) CN112204721A (zh)
TW (2) TWI816805B (zh)
WO (1) WO2019236252A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11842907B2 (en) 2020-07-08 2023-12-12 Applied Materials, Inc. Spot heating by moving a beam with horizontal rotary motion
US11749542B2 (en) * 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
KR20230109159A (ko) * 2020-12-14 2023-07-19 매슨 테크놀로지 인크 열 처리 시스템을 갖는 워크피스 처리 장치
US11781212B2 (en) * 2021-04-07 2023-10-10 Applied Material, Inc. Overlap susceptor and preheat ring
US20230017768A1 (en) * 2021-07-16 2023-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for use with a substrate chamber

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03267374A (ja) * 1990-03-19 1991-11-28 Nec Corp レーザcvd装置
US6478480B1 (en) * 1997-09-04 2002-11-12 Sumitomo Electric Industries, Ltd. Optical parts assembling method and the optical parts
US20060086713A1 (en) * 2004-10-26 2006-04-27 Applied Materials, Inc. Method and apparatus for low temperature pyrometry useful for thermally processing silicon wafers
JP2006278846A (ja) * 2005-03-30 2006-10-12 Alfa Ec Co Ltd 基板加熱装置
CN101110381A (zh) * 2006-07-20 2008-01-23 应用材料股份有限公司 利用快速温度梯度控制处理衬底
US20100264132A1 (en) * 2009-04-20 2010-10-21 Applied Materials, Inc. Methods and apparatus for aligning a substrate in a process chamber
US20130248504A1 (en) * 2012-03-22 2013-09-26 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus for heating substrate by light irradiation
CN103551731A (zh) * 2013-10-21 2014-02-05 上海集成电路研发中心有限公司 可旋转匀化器、激光应用光路和激光退火设备
US20150064933A1 (en) * 2013-08-30 2015-03-05 Applied Materials, Inc. Crystallization of amorphous films and grain growth using combination of laser and rapid thermal annealing
US20170103907A1 (en) * 2015-10-09 2017-04-13 Applied Materials, Inc. Diode laser for wafer heating for epi processes

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7015422B2 (en) 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US7005601B2 (en) * 2002-04-18 2006-02-28 Applied Materials, Inc. Thermal flux processing by scanning
US7946759B2 (en) * 2007-02-16 2011-05-24 Applied Materials, Inc. Substrate temperature measurement by infrared transmission
US8398777B2 (en) * 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
JP2016001642A (ja) 2014-06-11 2016-01-07 坂口電熱株式会社 レーザ加熱処理装置

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03267374A (ja) * 1990-03-19 1991-11-28 Nec Corp レーザcvd装置
US6478480B1 (en) * 1997-09-04 2002-11-12 Sumitomo Electric Industries, Ltd. Optical parts assembling method and the optical parts
US20060086713A1 (en) * 2004-10-26 2006-04-27 Applied Materials, Inc. Method and apparatus for low temperature pyrometry useful for thermally processing silicon wafers
JP2006278846A (ja) * 2005-03-30 2006-10-12 Alfa Ec Co Ltd 基板加熱装置
CN101110381A (zh) * 2006-07-20 2008-01-23 应用材料股份有限公司 利用快速温度梯度控制处理衬底
US20100264132A1 (en) * 2009-04-20 2010-10-21 Applied Materials, Inc. Methods and apparatus for aligning a substrate in a process chamber
US20130248504A1 (en) * 2012-03-22 2013-09-26 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus for heating substrate by light irradiation
US20150064933A1 (en) * 2013-08-30 2015-03-05 Applied Materials, Inc. Crystallization of amorphous films and grain growth using combination of laser and rapid thermal annealing
CN103551731A (zh) * 2013-10-21 2014-02-05 上海集成电路研发中心有限公司 可旋转匀化器、激光应用光路和激光退火设备
US20170103907A1 (en) * 2015-10-09 2017-04-13 Applied Materials, Inc. Diode laser for wafer heating for epi processes

Also Published As

Publication number Publication date
KR20210005310A (ko) 2021-01-13
US11177144B2 (en) 2021-11-16
TWI816805B (zh) 2023-10-01
KR102659565B1 (ko) 2024-04-23
KR20240054412A (ko) 2024-04-25
TW202013555A (zh) 2020-04-01
US20190371631A1 (en) 2019-12-05
WO2019236252A1 (en) 2019-12-12
TW202403936A (zh) 2024-01-16

Similar Documents

Publication Publication Date Title
US11821088B2 (en) Multi zone spot heating in EPI
US11177144B2 (en) Wafer spot heating with beam width modulation
KR102652337B1 (ko) 에피 프로세스들을 위한 웨이퍼 가열을 위한 다이오드 레이저
KR19990042689A (ko) 화학기상증착 장비의 가열장치
CN111952149A (zh) 用于半导体处理腔室的经涂布的衬里组件
US10053777B2 (en) Thermal processing chamber
CN116169060A (zh) 通过以水平旋转运动移动束的点加热
US11680338B2 (en) Linear lamp array for improved thermal uniformity and profile control
TWI724822B (zh) 用於epi製程之晶圓加熱的二極體雷射

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination