TW202403936A - 利用光束寬度調變的晶圓點加熱 - Google Patents

利用光束寬度調變的晶圓點加熱 Download PDF

Info

Publication number
TW202403936A
TW202403936A TW112132699A TW112132699A TW202403936A TW 202403936 A TW202403936 A TW 202403936A TW 112132699 A TW112132699 A TW 112132699A TW 112132699 A TW112132699 A TW 112132699A TW 202403936 A TW202403936 A TW 202403936A
Authority
TW
Taiwan
Prior art keywords
heating module
spot
substrate
collimator
holder
Prior art date
Application number
TW112132699A
Other languages
English (en)
Inventor
樹坤 劉
葉祉淵
朱作明
中西孝之
中川敏行
尼O 妙
紹芳 諸
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202403936A publication Critical patent/TW202403936A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • B23K26/034Observing the temperature of the workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/0604Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams
    • B23K26/0608Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams in the same heat affected zone [HAZ]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/062Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam
    • B23K26/0622Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam by shaping pulses
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/0648Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising lenses
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/073Shaping the laser spot
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/0823Devices involving rotation of the workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/12Working by laser beam, e.g. welding, cutting or boring in a special atmosphere, e.g. in an enclosure
    • B23K26/126Working by laser beam, e.g. welding, cutting or boring in a special atmosphere, e.g. in an enclosure in an atmosphere of gases chemically reacting with the workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/12Working by laser beam, e.g. welding, cutting or boring in a special atmosphere, e.g. in an enclosure
    • B23K26/127Working by laser beam, e.g. welding, cutting or boring in a special atmosphere, e.g. in an enclosure in an enclosure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2101/00Articles made by soldering, welding or cutting
    • B23K2101/36Electric or electronic devices
    • B23K2101/40Semiconductor devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26
    • B23K2103/56Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26 semiconducting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Recrystallisation Techniques (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本揭示案的實施例提供一種熱處理腔室,包含基板支撐、設置於基板支撐上或下的第一複數個加熱元件、及設置於基板支撐上的點加熱模組。使用點加熱模組以在處理期間提供設置於基板支撐上的基板上的區域的局部加熱。基板的局部加熱改變了溫度分佈,這又可使用於改善沉積均勻性。可修改由點加熱模組所產生的光束點的形狀,而無需改變點加熱模組的光學系統。

Description

利用光束寬度調變的晶圓點加熱
本揭示案的實施例相關於用於半導體基板處理的設備及方法,更特定地,相關於使用點加熱模組的熱處理腔室,適用於半導體基板處理。
針對各種各樣的應用來處理半導體基板,包含積體電路裝置及微裝置的製造。在一個類別的處理中,基板通常位於處理腔室內的基座上。基座由支撐軸件支撐,支撐軸件可繞中央軸旋轉,以旋轉在該支撐軸件的一個末端處耦合的基座。精確控制加熱來源(例如,設置在基板下方及上方的複數個加熱燈具)允許基板在基板處理期間被加熱。
基板的溫度及處理期間基板溫度的均勻性可影響沉積在基板上的材料的厚度均勻性。基板溫度的非均勻性可為軸向對稱的或軸向非對稱的。當基座在處理期間旋轉時,藉由對加熱來源進行分區控制,可減低基板溫度的軸向對稱非均勻性。基板溫度的軸向非對稱非均勻性(例如,由基座或支撐軸件的結構非均勻性造成的那些,例如,升降銷)不能藉由對加熱來源的分區控制來補償,因為非均勻性的來源與基座及基板一起旋轉。
儘管使用加熱來源的精確控制以加熱基板,在許多現有設備中所執行的沉積處理的結果中觀察到非均勻性。因此,存在有針對管理及減低熱半導體處理腔室中的軸向非對稱溫度的非均勻性的方法之需求。
本揭示案的實施例相關於用於半導體基板處理的設備及方法,更特定地,相關於適用於半導體基板處理的熱處理腔室。在一個實施例中,處理腔室包含:一封閉體;一基板支撐,該基板支撐設置於該封閉體內;一能量模組,該能量模組設置於該封閉體外部,面向該基板支撐;一支撐,該支撐設置於該封閉體外部;及一點加熱模組,該點加熱模組設置於該支撐上。該點加熱模組包含:一維持器;及一移動裝置,該移動裝置耦合至該維持器。
在另一實施例中,處理腔室包含:一封閉體;一基板支撐,該基板支撐設置於該封閉體內;一能量模組,該能量模組設置於該封閉體外部,面向該基板支撐;及一點加熱模組,該點加熱模組設置於該封閉體外部。該點加熱模組包含:複數個維持器;及複數個移動裝置,其中該複數個移動裝置之每一移動裝置耦合至該複數個維持器的一對應維持器。
在另一實施例中,處理腔室包含:一封閉體;一基板支撐,該基板支撐設置於該封閉體內;一能量模組,該能量模組設置於該封閉體外部,面向該基板支撐;及一點加熱模組,該點加熱模組設置於該支撐上。該點加熱模組包含:一台座;一維持器,該維持器設置於該台座上;一準直器,該準直器設置於該維持器上;及一移動裝置,其中該移動裝置設置於該維持器及該準直器之間。
本揭示案的實施例提供一種熱處理腔室,包含基板支撐、設置於基板支撐上、下、或上及下的第一複數個加熱元件、及設置於基板支撐上的點加熱模組。使用點加熱模組以在處理期間提供設置於基板支撐上的基板上的區域的局部加熱。基板的局部加熱改變了溫度分佈,這又可使用於改善沉積均勻性。可修改由點加熱模組所產生的光束點的形狀,而無需改變點加熱模組的光學系統。
如本文所述的「基板」或「基板表面」是指在其上執行處理的任何基板表面。例如,基板表面可包含矽、氧化矽、摻雜矽、矽鍺、鍺、砷化鎵、玻璃、藍寶石、及任何其他材料,例如金屬、金屬氮化物、金屬合金、及其他導電性或半導電性材料,取決於應用。基板或基板表面也可包含介電材料,例如二氧化矽、氮化矽、有機矽酸鹽、及碳摻雜氧化矽或氮化物材料。基板本身不限於任何特定的尺寸或形狀。儘管此處的實施例相關於圓形的200 mm或300 mm的基板,可使用其他形狀,例如多邊形、正方形、矩形、彎曲或其他非圓形工件。
圖1根據一個實施例圖示處理腔室100的示意橫截面側視圖。處理腔室100可為沉積或熱處理腔室,例如氣相磊晶腔室。也可使用例如處理腔室100的腔室來執行其他熱處理。可使用處理腔室100以處理一個或更多個基板。基板102設置於處理腔室100中以用於處理,可包含在基板102的裝置側150上沉積材料、加熱基板102、蝕刻基板102、或上述之組合。處理腔室100包含點加熱模組171。點加熱模組171包含一個或更多個點加熱器170。使用點加熱模組171以在處理期間加熱基板102上的一個或更多個區域。該一個或更多個區域可為冷點,例如,升降銷132產生非均勻應用的熱至基板102處。在一個實施例中,點加熱器170經由對應的光纖101連接至電磁輻射來源103。單一電磁輻射來源103可僅藉由光纖101光學耦合至一個點加熱器170,或光學耦合至多於一個點加熱器170。多個光纖101可連接一個電磁輻射來源103至多個點加熱器170,如圖1中所展示。在一些實施例中,電磁輻射來源103直接設置於點加熱器170上,而非藉由光纖101耦合至點加熱器170。電磁輻射來源103可為脈衝電磁輻射來源或連續波(CW)電磁輻射來源。
電磁輻射來源103可為高能量輻射來源,例如雷射。可使用的雷射來源的範例包含晶體雷射、雷射二極體及陣列、及VCSEL。也可使用高強度LED來源,且可使用準直器以準直自LED來源發射的光以形成光束。發射的輻射的波長一般可位於紫外光、可見光及/或紅外光的光譜中,自約200 nm至約900 nm,例如810 nm,且發射的輻射可為單色、窄頻帶、寬頻帶、或超寬頻帶,例如白色雷射。電磁輻射來源103發射高強度電磁輻射,藉由光纖101引導至點加熱器170。點加熱器170將光纖101的出口端定向朝向處理腔室100中的目標位置,例如設置於基座106上的基板102上。如此定向的光纖101自由電磁輻射來源103發射的輻射產生輻射光束朝向目標位置。光纖101的末端可具有一個或更多個光學特徵,包含透鏡、刻面表面、漫射表面、濾波器及其他塗層,以引導或調節離開光纖的電磁輻射。替代地,一個或更多個光學元件可耦合至點加熱器170中的光纖101的末端。因此,點加熱器170為可配置的及可交換的。來自電磁輻射來源103的輻射光束可具有相同波長或不同波長。在一個實施例中,輻射光束具有不同波長以用於加熱基板102上形成的不同材料。
如圖1中所展示,點加熱器170包含由維持器192維持的準直器190。準直器190為準直來自電磁輻射來源103之其中一者的輻射的光學元件,例如,藉由適當設計的透鏡的使用。準直器190具有第一端,來自電磁輻射來源103的輻射被輸入到該第一端,例如,藉由引導雷射來源的輸出進入該第一端中的開口。準直器190具有第二端,該第二端具有安置準直光學組件的開口。在一些實施例中,雷射或雷射來源可藉由將雷射的光束離開部分插入準直器190的第一端而直接裝設至準直器190,使得雷射發射的輻射通過準直器190且經過準直光學組件的第二端(可為透鏡或透鏡組)離開。
在一些實施例中,準直器190被光纖101或電磁輻射來源103取代,且維持器192直接維持光纖101或電磁輻射來源103。維持器192設置於台座194上。台座194包含楔形體196及滑動器198。下方將進一步描述點加熱器170。
處理腔室100包含封閉體148,封閉體148包括基底180及設置於基底180上的反射器154。處理腔室100進一步包含設置於基底180上的間隔器112、設置於間隔器112上的第一殼體108、設置於基底180上的第二殼體110、設置於第一殼體108及第二殼體110之間的基座106、設置於處理腔室100內的能量模組104。在這種情況下,能量模組104設置於基底180內。能量模組104加熱基座106及/或設置於基座106上的基板102。在一個實施例中,能量模組104為輻射加熱燈具的陣列,如圖1中所展示。如圖1及圖2中所展示,能量模組104可位於第二殼體110下方以照射基座106,且基座106介於基板102及能量模組104之間。如圖2中所展示,能量模組104可設置於基座106的兩側上,使得基座106介於兩個能量模組104之間。儘管將圖1的能量模組104展示在基座106的一側上(亦即,在基座106下方),能量模組104可設置於基座106的另一側上,如圖2中所展示(亦即,在基座106上方)。也放置設置在第一殼體108上的能量模組104以照射基板102。
可在例如徑向分區的分區中獨立地控制每一能量模組104,以便在處理氣體或蒸汽通過基板102的表面上時控制基板102的多個區域的溫度,從而便於材料沉積至基板102的裝置側150上。徑向分區性允許藉由調整反應位置處的局部溫度來控制沉積厚度均勻性,以補償由於流動非均勻性及邊緣到中心反應物消耗引起的反應物濃度變化。使用分開的功率供應或藉由分區間的功率分配控制來分開地對分區供電。
基板102被傳送進入處理腔室100且經由在間隔器112中形成的裝載埠109被放置至基座106上。基座106可為如所展示的盤狀基板支撐。在一些實施例中,基座106被環形基板支撐(未展示)取代,該環形基板支撐自基板102的邊緣支撐基板102以直接曝露基板102背側以自設置於第二殼體110下方的能量模組104加熱。基座106可由碳化矽、塗有碳化矽的矽、塗有碳化矽的石英、或塗有碳化矽的石墨製成,以吸收來自能量模組104的輻射能量且將輻射能量引導至基板102,從而加熱基板102。
儘管未展示,例如如果基座106具有接觸減低特徵,基板102的部分可升高到基座106上方。在該等情況下,基座藉由輻射及傳導的組合來加熱基板102。輻射加熱及傳導加熱的比例由與基座表面直接接觸的基板面積的部分來決定。兩個不同加熱機構的分佈可導致不能藉由能量模組104的分區控制來校正的熱非均勻性。
由耦合至運動組件120的軸件或桿118來支撐基座106。運動組件120包含旋轉致動器122,在操作中,旋轉致動器122旋轉桿118,桿118旋轉基座106,繞著垂直於處理腔室100的X-Y平面的處理腔室100的縱軸A旋轉。運動組件120也包含線性致動器124以移動桿118,桿118移動基座106,沿著處理腔室100的Z方向上(例如,垂直)的處理腔室100的縱軸A移動。在處理期間使用旋轉致動器122繞著基座106的中央旋轉基座106,以最小化處理腔室100內的熱及處理氣體流動空間異常的效應,從而便於基板102的均勻處理。基座106以約5 RPM至約100 RPM之間的一速率旋轉,例如介於約10 RPM及約50 RPM之間,例如約30 RPM。
第一殼體108可為圓頂,且第二殼體110也可為圓頂。第一殼體108及第二殼體110之每一者傳遞來自能量模組104的輻射能量。第一殼體108及第二殼體110以及設置於第一殼體108及第二殼體110之間的間隔器112界定了處理腔室100的內部區域111。第一殼體108及/或第二殼體110之每一者可為平坦的、凸的、或凹的。在一些實施例中,第一殼體108及/或第二殼體110之每一者對自能量模組104發射的輻射能量的輻射而言為透明的(傳遞輻射能量的至少95%的輻射)。在一個實施例中,第一殼體108及第二殼體110由石英製成。
能量模組104提供介於約10 KW及約60 KW之間的總輻射功率輸出,可加熱半導體基板至約攝氏200度至約攝氏1600度的範圍內的溫度。能量模組104的每一燈具105可耦合至功率分配板,例如印刷電路板(PCB) 159,經由該功率分配板向每一燈具105供應功率。如果一個功率供應為多於一個加熱分區供應功率,PCB 159可具有功率分配控制電路。在一個實施例中,能量模組104放置於外殼145內。外殼145具有一個或更多個通道149以在燈具105之間流動冷卻流體。
在圖1中,將基座106展示為處於升高的處理位置。基座106在位於處理位置時,將處理腔室100的內部區域111分成基座106上方的處理氣體區域136及基座106下方的清洗氣體區域138。為了裝載和卸載基板102,基座106移動至傳送位置,以允許升降銷132接觸設置於第二殼體110的內表面113上的支座134。支座134由與第二殼體110相同的材料製成。升降銷132在穿過基座106形成的孔洞107中懸掛。每一孔洞107自基座106的基板支撐表面115延伸至相對於基板支撐表面115的基座106的後表面117。使用一個或更多個熱感測器153來決定基板溫度,熱感測器153經配置以感測由基座106的後表面117發射的熱輻射。感測器153可為設置於外殼145中形成的埠中的高溫計。額外地或替代地,一個或更多個熱感測器153直接感測由基板102的裝置側150發射的熱輻射。感測器153、或耦合至感測器153的一個或更多個控制器以接收表示所感測的輻射的信號,自感測到的輻射來決定溫度。反射器154放置於第一殼體108外部,以反射自基板102輻射離開的輻射,並將該輻射重新引導回到基板102上。在一個實施例中,如圖2中所展示,能量模組104耦合至反射器154。設置於間隔器112及第一殼體108上的夾環156將反射器154固定在第一殼體108上。反射器154可由金屬製成,例如鋁或不銹鋼。可穿過反射器154設置感測器153,以接收來自基板102的裝置側150的輻射。
將自處理氣體供應來源151供應的處理氣體經由在間隔器112中形成的處理氣體入口114導入處理氣體區域136。間隔器112具有外表面182及內表面184。處理氣體入口114引導處理氣體跨過基板102的裝置側150。基座106的處理位置及其上的基板102相鄰於處理氣體入口114,從而允許處理氣體大致沿著流動路徑173流動跨過基板102的裝置側150。處理氣體經由位於與處理氣體入口114相對的間隔器112中的氣體出口116離開處理氣體區域136(沿著流動路徑175)。氣體出口116為在間隔器112中形成的開口,流體地耦合真空泵157至處理氣體區域136。藉由與其耦合的真空泵157,便於經由氣體出口116移除處理氣體。
將自清洗氣體來源162供應的清洗氣體經由在間隔物112中形成的清洗氣體入口164導入清洗氣體區域138。在成膜處理期間,基座106位於一位置,使得清洗氣體大致沿著流動路徑165流動跨過基座106的後表面117。清洗氣體離開清洗氣體區域138(沿著流動路徑166)且經由氣體出口116排出處理腔室100。
可藉由基於處理器的系統控制器來控制上述處理腔室100,例如圖1及圖2中所展示的控制器147。例如,控制器147經配置以在基板處理順序的不同操作期間控制來自氣體來源的多種先質及處理氣體及清洗氣體的流動。控制器147可經配置以控制點加熱模組171的啟動,預測用於啟動點加熱模組171的演算法,及/或使點加熱模組171的操作與基板旋轉、氣體供給、燈具操作、或其他處理參數、及其他控制器操作同步。控制器147包含可程式化中央處理單元(CPU)152,可與記憶體155及大容量儲存裝置、輸入控制單元、及顯示器單元(未展示)一起操作,例如時脈、快取記憶體、輸入/輸出(I/O)電路等耦合至處理腔室100的多種部件,以便於控制處理腔室100中的基板處理。控制器147進一步包含支援電路158。為了便於上述的處理腔室100的控制,CPU 152可為可在工業設定中使用的一般目的電腦處理器之任何形式的其中一者,例如可程式化邏輯控制器(PLC),以用於控制多種腔室及子處理器。記憶體155為電腦可讀取儲存媒體的形式,含有指令,當由CPU 152執行時,便於處理腔室100的操作。記憶體155中的指令為程式產品的形式,例如實作本揭示案的方法的程式。
圖2根據一個實施例圖示了處理腔室200的示意截面視圖。處理腔室200在一些方面相似於圖1中所展示的處理腔室100。處理腔室200具有反射器254,具有能量模組104整合於反射器254中。處理腔室200包含封閉體148,封閉體148包括基底180及設置於基底180上的反射器254。點加熱模組171設置於反射器254上。如圖2中所展示,一個光纖101可連接一個電磁輻射來源103至一個點加熱器170,或多於一個電磁輻射來源103可連接至一個點加熱器170(使用多個光纖101)。
圖3是根據一個實施例的點加熱器170的示意側視圖。如圖3中所展示,點加熱器170包含由維持器192維持的準直器190。準直器190可為含有光學元件(例如透鏡)的管狀構件,且維持器192可為具有中央開口的圓柱形物體,以在所需位置接收及固定管狀的準直器190。維持器192設置於台座194上,且台座194設置於支撐302上。台座194包含楔形體196及滑動器198。滑動器198可使用設定螺釘或致動器在支撐件302上線性移動。滑動器198可包含可在處理期間移動滑動器198的致動器。楔形體196包含與維持器192接觸的表面304,且表面304相對於平面306形成角度A,平面306實質平行於基座的主要表面,例如圖1及圖2中所展示的處理腔室100的基座106。
可藉由位於楔形體196中的致動器來調整楔形體196的角度A。可藉由選擇楔形體196的角度A及藉由調整滑動器198的位置來達成點加熱器170的瞄準。因為可藉由致動器調整楔形體196的角度A及滑動器198的位置,可在處理期間調整基板上光束點的位置,例如圖1中所展示的基板102。楔形體196、滑動器198、及支撐302可由對自電磁輻射來源103發射的輻射能量的輻射而言為透明的材料製成(傳送輻射能量的至少95%的輻射)。在一個實施例中,楔形體196、滑動器198、及支撐302由石英製成。在一些實施例中,穿過楔形體196、滑動器198、及支撐302形成開口,以用於使來自準直器190的光束(例如雷射光束)通過楔形體196、滑動器198、及支撐302至基板102上的目標面積。開口可為足夠大以容納楔形體196的表面304或滑動器198的移動。在支撐302中形成的開口可至少大於在楔形體196及滑動器198中形成的開口,因此在楔形體196及滑動器198中形成的開口不會被支撐302的任何部分遮擋。在一個實施例中,在支撐302中形成的開口大於滑動器198中的開口,而無關於滑動器198的位置。在楔形體196具有開口的情況下,開口將與在準直器190內部傳遞輻射的輻射來源的光軸對齊(亦即,光纖101),且將調整尺寸以接納穿過開口的輻射的所有、或所需部分。
可藉由執行手動對齊處理來瞄準點加熱器170。在對齊處理期間,可移除支撐302及基座106之間的任何部件以便於點加熱器170的手動對齊。點加熱器170被賦能以產生導引光束,因此操作員可觀察來自落於基座上的導引光束的光點。可旋轉基座,使得由點加熱器170加熱的區域容易被導引光束照亮。接著,可操作定位裝置(例如滑動器198及台座194的楔形體196)以使點加熱器170對齊待加熱區域。在一個實施例中,待加熱的區域為升降銷所在的位置,例如圖1中所展示的處理腔室100的升降銷132。可藉由直接耦合或光纖耦合至點加熱器170的較低強度雷射產生導引光束。
移動裝置308耦合至準直器190以便於準直器190的移動。移動裝置308設置於維持器192及準直器190之間。一個或更多個軸承可設置於移動裝置308及維持器192之間以最小化移動裝置308及維持器192之間的摩擦。在一個實施例中,移動裝置308為可使準直器190相對於準直器190的縱軸310旋轉的裝置。準直器190在使用第一處理配方處理基板期間可位於第一位置,且在使用第二處理配方處理基板之前,準直器190可以旋轉至第二位置。準直器190的旋轉可改變離開準直器190的輻射光束的光束點的形狀及/或尺寸。
在一個實施例中,移動裝置308在處理期間相對於準直器190的縱軸310在一個方向上(順時針或逆時針)連續旋轉準直器190,以動態地改變基板上的光束點的形狀。在處理期間也可旋轉基板。準直器190的旋轉可與基板的旋轉同步,以便提供基板上的一個或更多個冷點的精確加熱。在另一實施例中,移動裝置308使準直器190在預定角度範圍內旋轉地振盪,例如在負60度至60度之間。準直器190的振盪可與基板的旋轉同步。在一些實施例中,準直器190被光纖101或電磁輻射來源103取代(圖1)。移動裝置308耦合至由維持器192維持的光纖101或電磁輻射來源103(圖1),且移動裝置308以與準直器190相同的方式來旋轉光纖101或電磁輻射來源103。
移動裝置308可為產生週期性運動的受控運動裝置,例如振動、圓周運動、或線性運動。由移動裝置308產生的運動被傳送至準直器190,或替代地,傳送到光纖101或電磁輻射來源103(圖1)。當自移動裝置308傳送的運動移動準直器190時,準直器190可移動光束點,且光束點的移動照射大於光束點面積的曝露面積。在另一實施例中,準直器190照射基板上的重疊區域,近似於大於由電磁輻射來源103(圖1)產生的光束點的大光束點的照射。當準直器190移動時,電磁輻射來源產生連續的電磁輻射光束,當電磁輻射光束通過移動的準直器190時,該連續的電磁輻射光束照射在基板上的曝露面積大於光束點的面積。大光束點界定了當基板旋轉時的環形加熱分區。
當電磁輻射光束通過移動的準直器190時,電磁輻射來源103可經由移動準直器190發出脈衝以在基板上形成大光束點。當基板旋轉時,大光束點加熱基板上的離散面積。電磁輻射光束的發出脈衝可與基板的旋轉及/或光束點的移動同步。例如,可將光束的脈衝設定為與準直器190的振動頻率相關的頻率。相關的頻率可將輻射脈衝傳遞至基板的重疊面積,使得基板的曝露面積(大於任何脈衝的面積)曝露於脈衝輻射。經由移動準直器190的脈衝持續時間決定沿著環形或部分環形加熱分區的曝露的角度掃描。
準直器190可連續地或週期性地移動,例如當電磁輻射光束的脈衝通過準直器190時。在一個範例中,在準直器190移動時,光束可發出脈衝持續第一持續時間,且在準直器190不移動時,光束可發出脈衝持續第二持續時間。在該實施例中,對應於第一持續時間的基板的第一曝露面積大於光束的面積,而對應於第二持續時間的第二曝露面積具有與光束的尺寸相同的尺寸。
圖4A至4B為根據一個實施例的點加熱模組171的示意頂部視圖。如圖4A中所展示,點加熱模組171包含一個或更多個點加熱器170。一個或更多個點加熱器170設置於支撐302上,支撐302整合入腔室蓋402中或腔室蓋402上。腔室蓋402可為圖1及圖2中所展示的處理腔室100或200的反射器154或254。每一點加熱器170包含設置於支撐302上的台座194。每一點加熱器170可進一步包含設置於台座194上的準直器190。一個或更多個感測器408(例如高溫計)設置於支撐302上。在一些實施例中,每一點加熱器170包含準直器190及感測器408,且準直器190及感測器408都設置於單一台座194上,如圖4B中所展示。
可使用一個或更多個感測器408以調變功率至點加熱器170。例如,控制器(未展示)可自感測器408接收溫度資料,且可基於溫度資料增加或減低至點加熱器170的功率。在該系統中,可將感測器408及點加熱器170的組合使用於封閉迴路或開路迴路控制,以基於來自感測器408的讀數來調整點加熱器170。
可使用本文描述的實施例以藉由調整加熱輻射點的形狀及/或尺寸來調節基板的點加熱。點加熱器170經配置以動態地控制光束點的形狀及/或尺寸,而無需修改系統的光學系統。
圖5A至5C為由本文所述的一個或更多個點加熱器170形成的光束點的示意視圖。如圖5A中所展示,光束點502由一個點加熱器170(圖3)形成。可在不改變點加熱器170的光學系統的情況下修改光束點502。例如,如圖5B中所展示,光束點504由兩個點加熱器170(圖1)形成。放置兩個點加熱器170使點加熱器170產生的光束點重疊。兩個點加熱器170可包含產生相同或不同波長的輻射光束的電磁輻射來源103。在一個實施例中,兩個點加熱器170分別包含藍色雷射及綠色雷射,並且光束點504包含藍色部分及綠色部分。替代地,可藉由移動準直器190(圖3)來形成光束點504。在另一實施例中,藉由致動(例如振動)滑動器198(圖3)來形成光束點504。在又一實施例中,藉由致動(例如移動)楔形體196的角度A(圖3)來形成光束點504。準直器190、滑動器198的移動或楔形體196的角度A形成跑道形的光束點506,如圖5C中所展示。在一個實施例中,一對楔形體196以偏移角度精確地加工,以分別達到圖5B、5C中所展示的光束點504、506。
圖6A至6B為根據一個實施例相對於基板移動具有不同定向的光束點的示意視圖。如圖6A中所展示,光束點602具有橢圓形狀。可將橢圓形的光束點602的長軸定向以實質垂直於基板移動的方向,如箭頭604所指示。當光束點602的長軸實質垂直於基板的移動方向時,可在不改變點加熱器170的光學系統的情況下調整光束點602的寬度(亦即,光束點602的長軸的有效長度)。例如,可藉由旋轉準直器190(圖3)來改變光束點602的寬度。如圖6B中所展示,準直器190的旋轉造成光束點602定向旋轉,使得橢圓形的光束點602的長軸不再實質垂直於基板的移動方向,導致光束點602的寬度較窄。該技術也適用於線性光束點。
圖7為根據一個實施例的處理腔室700的示意橫截面側視圖。處理腔室700在某些方面相似於圖1及圖2中所展示的處理腔室100。處理腔室700經配置以處理一個或更多個基板,包含在基板710的裝置側722上沉積材料。處理腔室700包含第一殼體712、第二殼體714、及設置於第一殼體712及第二殼體714之間的基板支撐702。第一殼體712及第二殼體714可由與圖1中所展示的第一殼體108及第二殼體110相同的材料製成。
基板支撐702包含用於支撐基板710的支撐環724及用於支撐支撐環724的環支撐726。基板710經由裝載埠728被傳送進入處理腔室700且置於支撐環724上。支撐環724可由塗有SiC的石墨製成。藉由馬達(未展示)旋轉環支撐726,依序旋轉了支撐環724及基板710。
處理腔室700包含設置於第二殼體714下方的第一能量模組706(例如輻射加熱燈具),以用於自基板710下方加熱基板710。處理腔室700也包含設置於第一殼體712上的第二能量模組704(例如輻射加熱燈具),以用於自基板710上方加熱基板710。在一個實施例中,第一及第二能量模組704、706分別經由第一殼體712及第二殼體714提供紅外光輻射熱至基板。第一及第二殼體712、714對自能量模組704、706發射的輻射能量的輻射而言為透明的(傳遞輻射能量的至少95%的輻射)。在一個實施例中,處理腔室700也包含一個或更多個溫度感測器730,例如光學高溫計,使用以測量處理腔室700內及基板710的裝置側722上的溫度。一個或更多個溫度感測器730設置於支撐構件732上,支撐構件732設置於蓋716上。反射器718放置於第一殼體712外部,以將自基板710及第一殼體712輻射的紅外光反射返回朝向基板710。
點加熱模組171設置於支撐構件732上。點加熱模組171包含一個或更多個點加熱器170。每一點加熱模組171產生一個或更多個電磁輻射光束734,例如高能量電磁輻射光束,例如雷射光束,每一光束形成或有助於基板710的裝置側722上的光束點,以便執行基板710的局部加熱。其中點加熱模組171位於反射器718上方,電磁輻射光束734通過在反射器718的環形部分736中形成的開口720,且第一殼體712對電磁輻射光束734的輻射而言為透明的(傳遞輻射光束734的至少95%的接收輻射)。
在腔室700中執行的磊晶操作期間,將基板710加熱至預定溫度,例如小於約攝氏750度。為了改善基板的溫度均勻性,使用點加熱模組171以局部加熱基板710上的一個或更多個區域。由於基板710在操作期間旋轉,點加熱模組171的局部加熱可發生在基板710的某半徑處的環形區域上。
可使用溫度感測器730以調變至點加熱模組171的功率。例如,控制器(未展示)可自溫度感測器730接收溫度資料,且可基於溫度資料增加或減低至點加熱模組171的功率。在該系統中,可將溫度感測器730及點加熱模組171的組合使用於封閉迴路或開路迴路控制,以基於來自溫度感測器730的讀數來調整點加熱模組171。
圖8為根據另一實施例的處理腔室800的示意橫截面側視圖。處理腔室800在某些方面相似於圖1中所展示的處理腔室100。處理腔室800通常可具有矩形盒的形狀。處理腔室800包含第一殼體802、第二殼體804、及由第一及第二殼體802、804界定的區域803。第一殼體802及第二殼體804可由與圖1中所展示的第一殼體108及第二殼體110相同的材料製成。在圖8的實施例中,第一及第二殼體802及804為平坦的,且由石英製成,該石英對要通過的能量之波長而言為透明的,以加熱基板。
第一能量模組810設置於第一殼體802上。第一能量模組810可為複數個輻射熱來源,例如延長管型輻射加熱元件。能量模組810以間隔開的平行關係設置,且還實質平行於反應氣體流動路徑(由箭頭812所展示)延伸穿過處理腔室800。第二能量模組815置於第二殼體804下方,且定向橫越至第一能量模組810。複數個點熱來源820將集中的熱供應至基板支撐結構的下側(下述),以抵消由延伸穿過處理腔室800底部的冷支撐結構所產生的散熱效應。
點加熱模組171設置於位於第一能量模組810上的蓋806上。點加熱模組171包含一個或更多個點加熱器170。點加熱模組171產生一個或更多個電磁輻射光束以執行設置於處理腔室800中的基板的局部加熱。如半導體處理設施領域中已知的,可獨立地或在分組分區中控制多種熱來源170、810、815、820的功率,以回應於經由溫度感測器所測量的基板溫度。
所展示的基板825由設置於區域803中的基板支撐830支撐。基板支撐830包含基板維持器832及支撐腳架834,基板825安置在基板維持器832上。腳架834裝設至軸件836,軸件836向下延伸穿過延伸穿過腔室底部808的管838。管838與清洗氣體來源連通,清洗氣體可在基板825處理期間從管838流過。
複數個溫度感測器置於基板825附近。溫度感測器可採用多種形式,例如光學高溫計或熱電耦。在圖示的實施例中,溫度感測器包括熱電耦,包含以任何合適方式懸掛在基板維持器832下方的第一或中央熱電耦840。中央熱電耦840在基板維持器832附近通過腳架834。處理腔室800進一步包含複數個次級或周邊熱電耦,也在基板825附近,包含前邊緣或前熱電耦845、尾部邊緣或後熱電耦850、及側熱電耦(未展示)。每一周邊熱電耦安置於滑環852內,滑環852圍繞基板維持器832及基板825。滑環852安置在支撐構件854上,支撐構件854自前腔室分隔器856及後腔室分隔器858延伸。分隔器856、858由石英製成。中央及周邊熱電耦之每一者連接至溫度控制器,溫度控制器設定多種熱來源810、815、820的功率,以回應於來自熱電耦的溫度讀數。
處理腔室800進一步包含用於注入反應物及載送氣體的入口埠860,且也可通過入口埠860接收基板825。出口埠864位於處理腔室800的相對側上,基板支撐結構830位於入口860及出口864之間。入口部件865安裝至處理腔室800,適用以圍繞入口埠860,且包含水平延長槽867,可通過水平延長槽867插入基板825。大致垂直的入口868接收來自氣體來源的氣體並將該等氣體與槽867及入口埠860連通。出口部件870相似地安裝至處理腔室800,使得排氣開口872與出口埠864對齊且通向排氣管道874。排氣管道874可依序與合適的真空構件(未展示)連通,以用於自處理腔室800排出處理氣體。
處理腔室800也包含位於腔室底部808下方的激發物質來源876。激發物質來源876可為沿氣體線878設置的遠端電漿產生器。先質氣體來源880耦合至氣體線878以用於導入激發物質來源876。載送氣體來源882也耦合至氣體線878。也可提供一個或更多個分支線884以用於額外的反應物。激發物質來源876可用於電漿增強沉積,但當處理腔室800中沒有基板時,也可用於激發蝕刻劑氣體物質以清潔處理腔室800的過量沉積材料。
圖9為根據又一實施例的處理腔室900的示意橫截面側視圖。處理腔室900包含點加熱模組171。可使用處理腔室900以實施本文所述的方法。示範性處理腔室900為VULCAN ®腔室,可自加利福尼亞州,聖克拉拉市的應用材料公司取得。
處理腔室900包含腔室主體902。腔室主體902界定腔室900的內部容積904。處理腔室900包含設置於內部容積904中的基板支撐906。基板支撐906在基板支撐906的周邊上支撐基板901。在一個實施例中,基板支撐906置於磁性地耦合至可旋轉凸緣926的可旋轉圓柱體925上。基板901經定向使得基板901的表面910面向透明石英窗部914。當在將基板901帶入處理腔室900的基板遞交器及通過開口948至基板支撐906上之間遞交基板901時,可升高及降低升降銷916以支撐基板901。
處理腔室900進一步包含位於窗部914下方的輻射加熱設備918。輻射加熱設備918引導輻射能量朝向基板901以加熱基板901。輻射加熱設備918包含置於以緊密堆積的排列方式排列的反射性管922中的複數個燈具920。
點加熱模組171設置於位於基板支撐906上的蓋908上。點加熱模組171包含一個或更多個點加熱器170。點加熱模組171產生一個或更多個電磁輻射光束以執行設置於處理腔室900中的基板901的局部加熱。如在半導體處理設施領域中已知的,可獨立地或在分組分區中控制多種熱來源170、918的功率,以回應於經由溫度感測器所測量的基板溫度,例如一個或更多個熱感測器153。
本文所述的實施例提供了一種處理腔室,包含點加熱模組以用於在處理期間提供基板的局部加熱。在腔室內的基板旋轉期間,能量可聚焦至特定位置以便以特定的時間間隔局部加熱及調節基板的特定位置,例如相鄰於升降銷的位置。在一些情況下,可藉由測量測試基板的沉積厚度分佈而將點加熱元件定位到特定位置,找到將受益於點加熱的測試基板的位置,在測試基板上標記該等位置,將測試基板重新插入腔室中,及使用本文所述的靶向功能(導引光束及定位調整)將點加熱引導至標記位置。然後,可藉由目標點加熱元件來點加熱後續的基板,以解決系統處理的非均勻性。可以在不改變點加熱模組的光學系統的情況下修改由點加熱模組產生的光束點。
雖然前述係本揭示案的實施例,可在不脫離本揭示案的基本範圍的情況下修改本揭示案的其他及進一步的實施例,且本揭示案的範圍由以下請求項來決定。
100:處理腔室 101:光纖 102:基板 103:電磁輻射來源 104:能量模組 105:燈具 106:基座 107:孔洞 108:第一殼體 109:裝載埠 110:第二殼體 111:內部區域 112:間隔器 113:內表面 114:處理氣體入口 115:基板支撐表面 116:氣體出口 117:後表面 118:桿 120:運動組件 122:旋轉致動器 124:線性致動器 132:升降銷 134:支座 136:處理氣體區域 138:清洗氣體區域 145:外殼 147:控制器 148:封閉體 149:通道 150:裝置側 151:處理氣體供應來源 152:CPU 153:感測器 154:反射器 155:記憶體 156:夾環 157:真空泵 158:支援電路 159:印刷電路板 162:清洗氣體來源 164:清洗氣體入口 165:流動路徑 166:流動路徑 170:點加熱器 171:點加熱模組 173:流動路徑 175:流動路徑 180:基底 182:外表面 184:內表面 190:準直器 192:維持器 194:台座 196:楔形體 198:滑動器 200:處理腔室 254:反射器 302:支撐 304:表面 306:平面 308:移動裝置 310:縱軸 402:腔室蓋 408:感測器 502:光束點 504:光束點 506:光束點 602:光束點 604:箭頭 700:處理腔室 702:基板支撐 704:能量模組 706:能量模組 710:基板 712:第一殼體 714:第二殼體 716:蓋 718:反射器 720:開口 722:裝置側 724:支撐環 726:環支撐 728:裝載埠 730:溫度感測器 732:支撐構件 734:電磁輻射光束 736:環形部分 800:處理腔室 802:第一殼體 803:區域 804:第二殼體 806:蓋 808:底部 810:能量模組 812:箭頭 815:能量模組 820:點熱來源 825:基板 830:基板支撐 832:基板維持器 834:腳架 836:軸件 838:管 840:中央熱電耦 845:前熱電耦 850:後熱電耦 852:滑環 854:支撐構件 856:分隔器 858:分隔器 860:入口 864:出口 865:入口部件 867:水平延長槽 868:入口 870:出口部件 872:排氣開口 874:排氣管道 876:激發物質來源 878:氣體線 880:先質氣體 882:載送氣體 884:分支線 900:處理腔室 901:基板 902:腔室主體 904:內部容積 906:基板支撐 908:蓋 910:表面 914:窗部 916:升降銷 918:輻射加熱設備 920:燈具 922:反射性管 925:可旋轉圓柱體 926:可旋轉凸緣 948:開口
於是可以詳細理解本揭示案上述特徵中的方式,可藉由參考實施例而具有本揭示案的更特定描述(簡短總結如上),其中一些圖示於所附圖式中。然而,注意所附圖式僅圖示本揭示案典型的實施例,因此不考慮限制其範圍,因為本揭示案可允許其他等效實施例。
圖1為根據一個實施例的處理腔室的示意橫截面側視圖。
圖2為根據另一實施例的處理腔室的示意橫截面側視圖。
圖3為根據一個實施例的點加熱器的示意側視圖。
圖4A至4B為根據一個實施例的點加熱模組的示意頂部視圖。
圖5A至5C為藉由圖3的一個或更多個點加熱器形成的光束點的示意視圖。
圖6A至6B為根據一個實施例的相對於基板移動具有不同定向的光束點的示意視圖。
圖7為根據另一實施例的處理腔室的示意橫截面側視圖。
圖8為根據另一實施例的處理腔室的示意橫截面側視圖。
圖9為根據進一步實施例的處理腔室的示意橫截面側視圖。
為了便於理解,儘可能使用相同元件符號,以標示圖式中共用的相同元件。思量揭露於一個實施例中的元件可有利地使用於其他實施例,而無須相關於此進行特定敘述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
170:點加熱器
190:準直器
192:維持器
194:台座
196:楔形體
198:滑動器
302:支撐
304:表面
306:平面
308:移動裝置
310:縱軸

Claims (42)

  1. 一種點加熱模組,包括: 一維持器;及一移動裝置,該移動裝置耦合至該維持器,其中該移動裝置經設置以使一準直器繞一軸旋轉。
  2. 一種點加熱模組,包括: 複數個維持器;及複數個移動裝置,其中該複數個移動裝置中的每個移動裝置耦合至該複數個維持器中的一相對應維持器,其中該複數個移動裝置中的每個移動裝置經設置以使複數個準直器中的一相應準直器繞該相應準直器的一縱軸旋轉。
  3. 如請求項1或2所述之點加熱模組,進一步包括一脈衝或連續波電磁輻射來源。
  4. 如請求項2所述之點加熱模組,其中該複數個移動裝置中的至少一個移動裝置為一受控運動裝置。
  5. 如請求項2所述之點加熱模組,進一步包括一控制器,該控制器經設置以獨立地或成群地在分區中控制該複數個移動裝置。
  6. 如請求項2所述之點加熱模組,其中該複數個維持器包括一對楔形體,該對楔形體具有在該對楔形體的相應表面之間的一偏移角度。
  7. 如請求項2所述之點加熱模組,進一步包括複數個台座,其中該複數個台座中的每一個台座是可移動的以移動該複數個維持器的一相對應維持器。
  8. 如請求項7所述之點加熱模組,進一步包括複數個感測器,其中該複數個感測器中的每一個感測器是沿著該複數個台座中的一相對應台座設置。
  9. 如請求項7所述之點加熱模組,其中該複數個台座設置在一支撐件上,該支撐件整合入一腔室蓋中或該腔室蓋上。
  10. 如請求項9所述之點加熱模組,進一步包括一或多個感測器,該一或多個感測器沿著該支撐件設置。
  11. 一種點加熱模組,包括: 一台座;一維持器,該維持器設置於該台座上;及一輻射裝置,該輻射裝置設置在該維持器中,其中該輻射裝置是可移動的以改變來自該輻射裝置的一射束點的一尺寸或一形狀中的一或多者。
  12. 如請求項11所述之點加熱模組,進一步包括一移動裝置,該移動裝置設置在該維持器與該準直器之間,其中: 該移動裝置經配置以移動該輻射裝置;且該輻射裝置包括以下的一或多者:一準直器、一或多個光纖、或一電磁輻射來源。
  13. 如請求項12所述之點加熱模組,其中該移動裝置經配置以由以下一或多者來移動該輻射裝置:旋轉該輻射裝置、震動該輻射裝置、或線性移動該輻射裝置。
  14. 如請求項11所述之點加熱模組,其中該台座經配置以移動該輻射裝置。
  15. 如請求項11所述之點加熱模組,進一步包括一熱感測器,該熱感測器設置在該台座上。
  16. 如請求項11或請求項12所述之點加熱模組,其中該台座包括一滑動器。
  17. 如請求項16所述之點加熱模組,其中該台座進一步包括一楔形體,該楔形體設置在該滑動器上,其中該輻射裝置和該維持器設置在該楔形體上。
  18. 如請求項16所述之點加熱模組,其中該台座設置在一支撐件,且該滑動器可使用一致動器或一或多個設定螺釘線性移動。
  19. 如請求項17所述之點加熱模組,其中該楔形體包括與該維持器接觸的一表面,且該表面相對於與該支撐件的一主要表面實質平行的一表面形成一角度。
  20. 如請求項19所述之點加熱模組,其中該角度可使用位於該楔形體中的一致動器調整。
  21. 如請求項20所述之點加熱模組,進一步包括一控制器,該控制器經配置以實行以下一或多者: 移動該楔形體以調整該角度;使用該移動裝置移動該輻射裝置;或線性移動該滑動器。
  22. 如請求項18所述之點加熱模組,其中該楔形體、該滑動器、和該支撐件是由一透明石英製成。
  23. 如請求項18所述之點加熱模組,其中在該楔形體、該滑動器、和該支撐件中形成開口,以允許一射束穿過其中。
  24. 如請求項23所述之點加熱模組,其中形成在該支撐件中的一第一開口大於形成在該滑動器中的一第二開口和形成在該楔形體中的一第三開口。
  25. 如請求項24所述之點加熱模組,其中該第三開口與一輻射來源的一光軸對齊。
  26. 如請求項18所述之點加熱模組,其中該支撐件整合入一腔室蓋中或該腔室蓋上。
  27. 如請求項18所述之點加熱模組,進一步包括一或多個感測器,該一或多個感測器設置在該支撐件或該台座上。
  28. 如請求項27所述之點加熱模組,進一步包括一控制器,該控制器經配置以從該一或多個感測器接收溫度資料並基於該溫度資料增加或減少一點加熱功率。
  29. 如請求項11所述之點加熱模組,進一步包括一反射器,其中該台座由該反射器支撐。
  30. 如請求項29所述之點加熱模組,進一步包括複數個燈具,該複數個燈具耦接至該反射器的一第一側,其中該台座設置在該反射器的一第二側上。
  31. 如請求項30所述之點加熱模組,進一步包括一控制器,該控制器經設置以獨立地或成群地在分區中控制該複數個燈具。
  32. 如請求項1或請求項12所述之點加熱模組,其中該移動裝置是一受控運動裝置。
  33. 如請求項1、請求項2、或請求項12所述之點加熱模組,進一步包括設置於該移動裝置及該維持器之間的一或多個軸承。
  34. 如請求項11所述之點加熱模組,其中該輻射裝置包括一準直器。
  35. 如請求項1、請求項2、或請求項34所述之點加熱模組,進一步包括一電磁輻射來源。
  36. 如請求項35所述之點加熱模組,其中: 該準直器包括管狀構件,該管狀構件包括:一第一端,該第一端經配置以從該電磁來源接收輻射,及一第二端,該第二端具有一或多個透鏡;且該維持器包括一圓柱形構件,該圓柱形構件包括一中央開口,該中央開口在其中接收至少該準直器的該第二端。
  37. 如請求項35所述之點加熱模組,其中該電磁輻射來源包括一雷射。
  38. 如請求項35所述之點加熱模組,其中該電磁輻射來源包括一或多個LED來源,該一或多個LED來源經配置以發射光且一或多個來源準直器經配置以準直該光成一光束。
  39. 如請求項35所述之點加熱模組,其中該電磁輻射來源直接設置在該維持器上、直接設置在該輻射裝置上、或直接設置在該台座上。
  40. 如請求項35所述之點加熱模組,其中該電磁輻射來源使用一或多個光纖連接到該準直器,且一或多個光學元件是該一或多個光纖的一或多個端的一部分或耦合至該一或多個光纖的該一或多個端,以引導或調節離開該一或多個光纖的輻射。
  41. 如請求項35所述之點加熱模組,其中該電磁輻射來源經配置以產生具有不同波長的輻射射束。
  42. 一種處理腔室,包括: 一封閉體;一基板支撐件,該基板支撐件設置於該封閉體內;一能量模組,該能量模組設置於該封閉體外部,面向該基板支撐件;和一點加熱模組,該點加熱模組設置於該封閉體外部,其中該點加熱模組包含:一台座:一維持器,該維持器設置於該台座上;一準直器,該準直器設置於該維持器中;一移動裝置,該移動裝置設置在該維持器與該準直器之間;一滑動器,該滑動器設置於該台座上;及一楔形體,該楔形體設置在該滑動器上,其中該準直器和該維持器設置在該楔形體上。
TW112132699A 2018-06-04 2019-05-30 利用光束寬度調變的晶圓點加熱 TW202403936A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862680278P 2018-06-04 2018-06-04
US62/680,278 2018-06-04
US16/407,670 US11177144B2 (en) 2018-06-04 2019-05-09 Wafer spot heating with beam width modulation
US16/407,670 2019-05-09

Publications (1)

Publication Number Publication Date
TW202403936A true TW202403936A (zh) 2024-01-16

Family

ID=68695340

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108118665A TWI816805B (zh) 2018-06-04 2019-05-30 利用光束寬度調變的晶圓點加熱
TW112132699A TW202403936A (zh) 2018-06-04 2019-05-30 利用光束寬度調變的晶圓點加熱

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW108118665A TWI816805B (zh) 2018-06-04 2019-05-30 利用光束寬度調變的晶圓點加熱

Country Status (5)

Country Link
US (1) US11177144B2 (zh)
KR (2) KR102659565B1 (zh)
CN (1) CN112204721A (zh)
TW (2) TWI816805B (zh)
WO (1) WO2019236252A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11842907B2 (en) * 2020-07-08 2023-12-12 Applied Materials, Inc. Spot heating by moving a beam with horizontal rotary motion
US11749542B2 (en) * 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
WO2022132485A1 (en) * 2020-12-14 2022-06-23 Mattson Technology, Inc. Workpiece processing apparatus with thermal processing systems
US11781212B2 (en) * 2021-04-07 2023-10-10 Applied Material, Inc. Overlap susceptor and preheat ring
US20230017768A1 (en) * 2021-07-16 2023-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for use with a substrate chamber

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2890630B2 (ja) * 1990-03-19 1999-05-17 日本電気株式会社 レーザcvd装置
JP3196699B2 (ja) * 1997-09-04 2001-08-06 住友電気工業株式会社 光部品の組立方法及び光部品
US7015422B2 (en) 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US7005601B2 (en) * 2002-04-18 2006-02-28 Applied Materials, Inc. Thermal flux processing by scanning
US7112763B2 (en) * 2004-10-26 2006-09-26 Applied Materials, Inc. Method and apparatus for low temperature pyrometry useful for thermally processing silicon wafers
JP4294609B2 (ja) * 2005-03-30 2009-07-15 株式会社アルファ・オイコス 基板加熱装置
JP5183058B2 (ja) * 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド 急速温度勾配コントロールによる基板処理
US7946759B2 (en) * 2007-02-16 2011-05-24 Applied Materials, Inc. Substrate temperature measurement by infrared transmission
US8398777B2 (en) * 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
US8461022B2 (en) * 2009-04-20 2013-06-11 Applied Materials, Inc. Methods and apparatus for aligning a substrate in a process chamber
JP5964626B2 (ja) 2012-03-22 2016-08-03 株式会社Screenホールディングス 熱処理装置
US9263265B2 (en) 2013-08-30 2016-02-16 Applied Materials, Inc. Crystallization of amorphous films and grain growth using combination of laser and rapid thermal annealing
CN103551731A (zh) * 2013-10-21 2014-02-05 上海集成电路研发中心有限公司 可旋转匀化器、激光应用光路和激光退火设备
JP2016001642A (ja) 2014-06-11 2016-01-07 坂口電熱株式会社 レーザ加熱処理装置
TWI692047B (zh) * 2015-10-09 2020-04-21 美商應用材料股份有限公司 用於epi製程之晶圓加熱的二極體雷射

Also Published As

Publication number Publication date
WO2019236252A1 (en) 2019-12-12
KR20210005310A (ko) 2021-01-13
TWI816805B (zh) 2023-10-01
KR102659565B1 (ko) 2024-04-23
US11177144B2 (en) 2021-11-16
TW202013555A (zh) 2020-04-01
KR20240054412A (ko) 2024-04-25
CN112204721A (zh) 2021-01-08
US20190371631A1 (en) 2019-12-05

Similar Documents

Publication Publication Date Title
TWI816805B (zh) 利用光束寬度調變的晶圓點加熱
US11821088B2 (en) Multi zone spot heating in EPI
TWI692047B (zh) 用於epi製程之晶圓加熱的二極體雷射
US9029739B2 (en) Apparatus and methods for rapid thermal processing
CN106104750B (zh) 改良的热处理腔室
US10770319B2 (en) EPI thickness tuning by pulse or profile spot heating
US6859262B2 (en) Redistributing radiation guide
US11680338B2 (en) Linear lamp array for improved thermal uniformity and profile control
TWI724822B (zh) 用於epi製程之晶圓加熱的二極體雷射