CN112041746A - 半导体基板用底涂剂及图案形成方法 - Google Patents

半导体基板用底涂剂及图案形成方法 Download PDF

Info

Publication number
CN112041746A
CN112041746A CN201980025380.5A CN201980025380A CN112041746A CN 112041746 A CN112041746 A CN 112041746A CN 201980025380 A CN201980025380 A CN 201980025380A CN 112041746 A CN112041746 A CN 112041746A
Authority
CN
China
Prior art keywords
group
substrate
surface modifier
acid
methyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980025380.5A
Other languages
English (en)
Inventor
志垣修平
武田谕
柴山亘
中岛诚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nissan Chemical Corp
Original Assignee
Nissan Chemical Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nissan Chemical Corp filed Critical Nissan Chemical Corp
Publication of CN112041746A publication Critical patent/CN112041746A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/22Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • C08G77/28Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen sulfur-containing groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • C08L83/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B27/00Layered products comprising a layer of synthetic resin
    • B32B27/28Layered products comprising a layer of synthetic resin comprising synthetic resins not wholly covered by any one of the sub-groups B32B27/30 - B32B27/42
    • B32B27/283Layered products comprising a layer of synthetic resin comprising synthetic resins not wholly covered by any one of the sub-groups B32B27/30 - B32B27/42 comprising polysiloxanes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam

Abstract

提供与抗蚀剂膜的密合性高,能够形成薄膜且形成良好的抗蚀剂图案的作为新的抗蚀剂图案用表面改性剂的半导体基板用底涂剂、在基板上依次叠层了表面改性剂和抗蚀剂图案的叠层基板、图案形成方法以及半导体装置的制造方法。一种抗蚀剂图案用表面改性剂,是在基板上形成0.10μm以下的抗蚀剂图案前涂布于基板来增强基板与抗蚀剂图案的密合的抗蚀剂图案用表面改性剂,其特征在于,其包含下述平均组成式(1)所示的化合物、其水解物和水解缩合物中的至少1种。R1 aR2 b(OX)cSiO(4‑a‑b‑c)/2 (1)(式中,R1为‑(CH2)nY基,Y为环己烯基等,n为0~4的整数,R2为C1~4的一价烃基,X为氢原子或C1~4的一价烃基,a为1~2的数,b为0~1的数,c为0~2的数,a+b+c≤4。)。

Description

半导体基板用底涂剂及图案形成方法
技术领域
本发明涉及作为抗蚀剂图案用表面改性剂的半导体基板用底涂剂、在基板上依次叠层了表面改性剂和抗蚀剂图案的叠层基板、图案形成方法以及半导体装置的制造方法。
背景技术
一直以来在半导体器件的制造中,进行了使用了抗蚀剂组合物的光刻工艺。近年来,随着半导体器件的高集成化,要求配线等图案的微细化。随着图案的微细化,使用波长更短的远紫外线光、真空紫外线光、电子射线(EB)、X射线等作为光源。特别是最近,进行采用KrF准分子激光(波长248nm)、ArF准分子激光(波长193nm)那样的短波长光,形成抗蚀剂图案的操作。
与此相伴,活性光线从半导体基板的漫反射、驻波的影响成为大问题,因此为了解决该问题,广泛研究了在抗蚀剂与半导体基板之间设置防反射膜(Bottom Anti-Reflective Coating:BARC)的方法。作为这样的防反射膜,从其使用的容易性等考虑,对由包含具有吸光基(生色团)的聚合物的组合物形成的有机防反射膜进行了大量研究(例如,专利文献1)。
另一方面,进一步的微细加工技术所应用的EUV(超紫外线、波长13.5nm)虽然不发生从半导体基板反射的问题,但伴随图案微细化的抗蚀剂图案倒塌成为问题,因此进行了与抗蚀剂具有高密合性的抗蚀剂下层膜的研究。
现有技术文献
专利文献
专利文献1:日本特表2008-501985号公报
发明内容
发明所要解决的课题
在以往的抗蚀剂下层膜中具有蚀刻工序中的侧蚀等蚀刻不良易于发生这样的问题。因此,如果能够通过与以往的下层膜相比膜厚薄的底涂层进行基板表面的改性,则可以期待不发生侧蚀等蚀刻不良、改善光致抗蚀剂的密合性、改善尖端光刻工艺中的光致抗蚀剂分辨率。
本发明是为了改善上述情况而提出的,其目的是提供与抗蚀剂膜的密合性高,能够形成薄膜且形成良好的抗蚀剂图案的作为新的抗蚀剂图案用表面改性剂的半导体基板用底涂剂、在基板上依次叠层了表面改性剂和抗蚀剂图案的叠层基板、图案形成方法以及半导体装置的制造方法。
用于解决课题的方法
本发明包含以下方案。
[1]一种抗蚀剂图案用表面改性剂,是在基板上形成0.10μm、优选为0.05μm以下的抗蚀剂图案前涂布于基板来增强基板与抗蚀剂图案的密合的抗蚀剂图案用表面改性剂,其特征在于,其包含:
下述平均组成式(1)所示的化合物、
下述平均组成式(1)所示的化合物的水解物、和
下述平均组成式(1)所示的化合物的水解缩合物
中的至少1种。
R1 aR2 b(OX)cSiO(4-a-b-c)/2 (1)
(式中,R1为通式:-(CH2)nY所示的一价有机基,
Y表示氢原子、乙酰氧基、γ-丁内酯基、可以被卤原子取代的C1~C6甲醇基、降冰片烯基、甲苯甲酰基、C1~C3烷氧基苯基、可以被卤原子或C1~C3烷氧基甲硅烷基取代的C6~C30芳基、可以被氧原子中断的C1~C4烷基、苯基磺酰胺基、可以被C1~C3烷基或C2~C5烯基取代的来源于环状酰胺的一价基团、可以被C1~C3烷基或C2~C5烯基取代的来源于环状酰亚胺的一价基团、可以被C1~C3烷基或C2~C5烯基取代的C3~C6环状烯基、苯基砜基、对甲苯基磺酰基、对甲苯磺酰基或者下述式(1-1)或(1-2)所示的一价基团,
Figure BDA0002719885430000031
n为0~4的整数,
R2为C1~4的一价烃基,
X表示氢原子或C1~4的一价烃基,
a为1~2的数,
b为0~1的数,
c为0~2的数,
a+b+c≤4。)
[2]根据[1]所述的表面改性剂,其特征在于,R1为乙酰氧基、γ-丁内酯基、二(三氟甲基)羟基甲基、环己烯基、甲苯甲酰基、C1~C3烷氧基苯基、五氟苯基、菲基、C1~C3烷氧基甲硅烷基苯基、苯基磺酰胺基、以及下述式(1-1)、(1-2)或(1-3)所示的一价基团中的任一者。
Figure BDA0002719885430000032
Figure BDA0002719885430000041
[3]根据[1]或[2]所述的表面改性剂,上述基板为金属或无机系防反射膜基板。
[4]根据[1]~[3]中任一项所述的表面改性剂,上述基板包含Si、SiN、SiON、TiSi、TiN或可以蒸镀有Cr的玻璃。
[5]一种叠层基板,在基板上依次叠层了[1]~[4]中任一项所述的表面改性剂、和抗蚀剂图案。
[6]根据[5]所述的叠层基板,在上述基板上进一步具有硅硬掩模层。
[7]一种图案形成方法,其特征在于,将[1]~[4]中任一项所述的表面改性剂涂布在基板上,进行了烘烤后,涂布光致抗蚀剂组合物,进行图案形成。
[8]根据[7]所述的图案形成方法,上述图案形成包含利用ArF、EUV或EB进行曝光的工序。
[9]一种半导体装置的制造方法,其包含下述工序:将[1]~[4]中任一项所述的表面改性剂涂布在基板上,进行了烘烤后,涂布光致抗蚀剂组合物,进行图案形成,然后对基板进行蚀刻。
[10]根据[5]所述的叠层基板,在上述基板上进一步具有旋涂碳或无定形碳、以及硬掩模层。
发明的效果
根据本发明,通过采用硅烷偶联剂的晶片表面的改性来改善光致抗蚀剂的密合性,改善尖端光刻工艺中的光致抗蚀剂分辨率。此外,硅烷偶联剂的膜厚与以往的下层膜相比薄,因此具有不易发生蚀刻工序中的侧蚀等蚀刻不良这样的优点。
即,以往的有机系底涂剂与基板的结合、和底涂剂彼此间的结合弱,易于通过水分而分解,但本发明涉及的平均组成式(1)所示的化合物、其水解物、或其水解缩合物为Si系,因此与基板的结合、和底涂剂彼此间的结合强,不易通过水分而分解。其结果,本发明涉及的表面改性剂通过与基板的强密合性、由底涂剂彼此的交联带来的密合性的改善,而发挥高表面改性能力。
在本发明中,在应用了包含平均组成式(1)所示的化合物的表面改性剂的情况下,可以在形成了涂布膜后供于水解、水解缩合。此外,在应用了包含平均组成式(1)所示的化合物的水解物的表面改性剂的情况下,可以在形成了涂布膜后供于水解缩合。一般而言它们与应用了包含平均组成式(1)所示的化合物的水解缩合物的表面改性剂的情况相比,可以使烘烤后的膜更薄。
进一步,由任一种表面改性剂获得的涂布膜都可以通过烘烤条件的变更、采用溶剂进行的除去等来控制最终的膜厚、表面改性的程度。此外,由任一种表面改性剂获得的涂布膜无论刚涂布后的膜厚的厚薄如何,在溶剂除去后都以相同的方式残留于基板表面,膜厚均匀性良好,发挥优异的光刻特性。
本申请的涂布膜可以为平均组成式(1)所示的化合物的单分子膜。
因此,根据本发明,例如能够以
Figure BDA0002719885430000051
左右的膜厚进行表面处理。
本发明涉及的表面改性剂通过与基板的强密合性、由底涂剂彼此的交联带来的密合性的改善,而发挥防止抗蚀剂倒塌的效果,除此以外,可以通过适当选择平均组成式(1)中的R1来进一步赋予各种效果。例如,通过选择通过光分解而产生酸的基团作为R1,能够使抗蚀剂的形状变化。此外,通过选择通过光分解或热分解而进行亲水化的基团作为R1,也能够使抗蚀剂的形状变化。此外,通过选择通过光分解而产生碱的基团作为R1,能够强化抗蚀剂倒塌防止效果。进一步,通过选择将基板进行疏水化的基团作为R1,可以获得防止图案倒塌的效果。
由本发明涉及的表面改性剂带来的表面改性的程度例如可以通过采用实施例所记载的方法测定水接触角来评价。在涂布前后各个水接触角之差越大,则表面改性程度越大。
本发明涉及的表面改性剂作为半导体基板的蚀刻掩模起作用的膜、作为表面处理剂都能够使用。
本发明涉及的表面改性剂不仅可以在玻璃基板上应用,而且除Bare-Si以外,也可以在SiO2、SiN、SiON、TiN等氧化膜、氮化膜、金属基板上应用,进一步也能够在涂布型或蒸镀型SiHM(硅硬掩模)上、BARC上、涂布型SOC(旋涂碳、碳含量高的膜)上或蒸镀型碳膜(无定形碳膜等)上应用。
本发明涉及的表面改性剂能够应用于采用ArF、电子射线(EB)、超紫外线(EUV)等短波长光的抗蚀剂图案形成。
附图说明
图1为显示在SiON上形成底涂层和光致抗蚀剂,使用EUV曝光机进行曝光,进行了图案形成的结果的SEM照片。
图2为显示在SiON上形成底涂层和光致抗蚀剂,使用EUV曝光机进行曝光,进行了图案形成的结果的SEM照片。
图3为显示在SiON上不形成底涂层,形成光致抗蚀剂,使用EUV曝光机进行曝光,进行了图案形成的结果的SEM照片。
图4为显示在SiON上形成底涂层和光致抗蚀剂,使用EUV曝光机进行曝光,使用EB描绘机进行了描绘的结果的SEM照片。
图5为显示在SiON上形成底涂层和光致抗蚀剂,使用EB描绘机进行描绘的结果的SEM照片。
图6为显示在SiON上不形成底涂层,形成光致抗蚀剂,使用EB描绘机进行描绘的结果的SEM照片。
具体实施方式
[表面改性剂]
本发明涉及在基板上形成0.1μm以下、优选为0.05μm以下的抗蚀剂图案前涂布于基板来增强基板与抗蚀剂图案的密合的抗蚀剂图案用表面改性剂。
本发明涉及的表面改性剂包含下述平均组成式(1)所示的化合物、下述平均组成式(1)所示的化合物的水解物、和下述平均组成式(1)所示的化合物的水解缩合物中的至少1种。
R1 aR2 b(OX)cSiO(4-a-b-c)/2 (1)
(式中,R1为-(CH2)nY基,
Y表示氢原子、乙酰氧基、γ-丁内酯基、可以被卤原子取代的C1~C6甲醇基、降冰片烯基、甲苯甲酰基、C1~C3烷氧基苯基、可以被卤原子或C1~C3烷氧基甲硅烷基取代的C6~C30芳基、可以被氧原子中断的C1~C4烷基、苯基磺酰胺基、可以被C1~C3烷基或C2~C5烯基取代的环状酰胺基、可以被C1~C3烷基或C2~C5烯基取代的环状酰亚胺基、可以被C1~C3烷基或C2~C5烯基取代的C3~C6环状烯基、苯基砜基、甲苯甲酰基砜基或者下述式(1-1)或(1-2)所示的一价基团,
Figure BDA0002719885430000071
Figure BDA0002719885430000081
n为0~4的整数,
R2为C1~4的一价烃基,
X表示氢原子或C1~4的一价烃基,
a为1~2的数,
b为0~1的数,
c为0~2的数,
a+b+c≤4。)
平均组成式(1)所示的化合物的分子量例如为100~999。
作为上述“可以被氧原子中断的C1~C4烷基”、“可以被C1~C3烷基或C2~C5烯基取代的环状酰胺基”、“可以被C1~C3烷基或C2~C5烯基取代的环状酰亚胺基”、“可以被C1~C3烷基或C2~C5烯基取代的环状烯基”中的典型的烷基,为具有直链或支链的碳原子数1~3或1~4的烷基,可举出例如甲基、乙基、正丙基、异丙基等。此外也可以使用环状烷基,可举出例如,环丙基等。
作为被氧原子中断的C1~C4烷基,可举出甲氧基甲基、甲氧基乙基、甲氧基丙基、乙氧基甲基、乙氧基乙基等。
作为C2~C5烯基,可举出烯丙基、乙烯基(乙烯基)、丙烯基和丁烯基,优选为烯丙基。
作为来源于环状酰胺的一价基团,可举出来源于α-内酰胺(三元环)、β-内酰胺(四元环)、γ-内酰胺(五元环)、δ-内酰胺(六元环)的一价基团。
作为来源于环状酰亚胺的一价基团,例如为异氰脲基。作为本申请的来源于环状酰亚胺的一价基团,优选为2,4位的氮原子上的取代基为氢原子、甲基、或C2~C5烯基的异氰脲基。
进一步优选为具有下述式(1-3)的结构的一价基团。
Figure BDA0002719885430000091
作为上述“可以被C1~C3烷基或C2~C5烯基取代的C3~C6环状烯基”中的典型的环状烯基,可举出1-环戊烯基、2-环戊烯基、3-环戊烯基、1-甲基-2-环戊烯基、1-甲基-3-环戊烯基、2-甲基-1-环戊烯基、2-甲基-2-环戊烯基、2-甲基-3-环戊烯基、2-甲基-4-环戊烯基、2-甲基-5-环戊烯基、2-亚甲基-环戊基、3-甲基-1-环戊烯基、3-甲基-2-环戊烯基、3-甲基-3-环戊烯基、3-甲基-4-环戊烯基、3-甲基-5-环戊烯基、3-亚甲基-环戊基、1-环己烯基、2-环己烯基和3-环己烯基等。
作为“可以被C1~C3烷基或C2~C5烯基取代的环状烯基”,可举出例如1个氢原子被上述C1~C3烷基或C2~C5烯基取代了的上述环状烯基等。
作为上述“可以被卤原子或C1~C3烷氧基甲硅烷基取代的C6~C30芳基”中的典型的芳基,可举出碳原子数6~30的芳基,可举出例如苯基、邻甲基苯基、间甲基苯基、对甲基苯基、邻氯苯基、间氯苯基、对氯苯基、邻氟苯基、五氟苯基、对巯基苯基、邻甲氧基苯基、对甲氧基苯基、对氨基苯基、对氰基苯基、α-萘基、β-萘基、邻联苯基、间联苯基、对联苯基、1-蒽基、2-蒽基、9-蒽基、1-菲基、2-菲基、3-菲基、4-菲基、9-菲基和4-三乙氧基甲硅烷基苯基等。
作为上述“C1~C3烷氧基苯基”、“可以被卤原子或C1~C3烷氧基甲硅烷基取代的C6~C30芳基”中的典型的烷氧基,可举出具有碳原子数1~3的直链、支链、环状的烷基部分的烷氧基,可举出例如甲氧基、乙氧基、正丙氧基、异丙氧基等,此外作为环状的烷氧基,可举出环丙氧基等。
作为“C1~C3烷氧基苯基”,可举出例如4-甲氧基苯基、4-乙氧基苯基、4-(甲氧基甲氧基)苯基、4-(1-甲氧基乙氧基)苯基等。
作为上述“可以被卤原子取代的C1~C6甲醇基”、“可以被卤原子或C1~C3烷氧基甲硅烷基取代的C6~C30芳基”中的典型的卤原子,可举出氟、氯、溴、碘等。
作为可以被卤原子取代的C1~C6甲醇基,可举出二(三氟甲基)羟基甲基、1,1-二(三氟甲基)-1-羟基乙基等。
作为优选的R1,可举出乙酰氧基、γ-丁内酯基、二(三氟甲基)羟基甲基、环己烯基、甲苯甲酰基、C1~C3烷氧基苯基、五氟苯基、菲基、C1~C3烷氧基甲硅烷基苯基、苯基磺酰胺基、或者下述式(1-1)、(1-2)或(1-3)所示的一价基团。
Figure BDA0002719885430000101
Figure BDA0002719885430000111
R2为C1~4的一价烃基,具体而言为具有直链或支链的碳原子数1~4的烷基,可举出例如甲基、乙基、正丙基、异丙基等。
平均组成式(1)所示的化合物、其水解物、或其水解缩合物各自可以为一种或二种以上,也可以将化合物、其水解物、或其水解缩合物各一种或二种以上混合而使用。优选为一种或二种。
在将二种组合的情况下,例如为:
(1a)Y具有甲苯甲酰基、C1~C3烷氧基苯基、可以被卤原子或C1~C3烷氧基甲硅烷基取代的C6~C30芳基、苯基磺酰胺基、可以被C1~C3烷基或C2~C5烯基取代的来源于环状酰胺的一价基团、可以被C1~C3烷基或C2~C5烯基取代的来源于环状酰亚胺的一价基团的上述平均组成式(1)所示的化合物,与
(2a)Y具有苯基磺酰胺基、苯基砜基、对甲苯基磺酰基、对甲苯磺酰基或者下述式(1-1)或(1-2)所示的一价基团的上述平均组成式(1)所示的化合物的组合。
Figure BDA0002719885430000112
Figure BDA0002719885430000121
在将二种以上组合的情况下,例如为:
(1a)Y具有可以被C2~C5烯基取代的来源于环状酰胺的一价基团的上述平均组成式(1)所示的化合物,与
(2a)Y具有苯基磺酰胺基、苯基砜基、对甲苯基磺酰基、对甲苯磺酰基或者下述式(1-1)或(1-2)所示的一价基团的上述平均组成式(1)所示的化合物的组合。
Figure BDA0002719885430000122
在将二种以上组合的情况下,例如为:
(1a)Y具有作为C2~C5烯基的异氰脲基的上述平均组成式(1)所示的化合物,与
(2a)Y具有苯基磺酰胺基、苯基砜基、对甲苯基磺酰基、对甲苯磺酰基或者下述式(1-1)或(1-2)所示的一价基团的上述平均组成式(1)所示的化合物的组合。
Figure BDA0002719885430000123
Figure BDA0002719885430000131
在将二种以上组合的情况下,例如为:
(1a)上述R1具有γ-丁内酯基、二(三氟甲基)羟基甲基、环己烯基、甲苯甲酰基、C1~C3烷氧基苯基、五氟苯基、菲基、C1~C3烷氧基甲硅烷基苯基、苯基磺酰胺基或者下述式(1-3)所示的一价基团的上述平均组成式(1)所示的化合物,与
Figure BDA0002719885430000132
(2a)上述R1具有苯基磺酰胺基、或者下述式(1-1)或(1-2)所示的一价基团的上述平均组成式(1)所示的化合物的组合。
Figure BDA0002719885430000133
[水解物]
平均组成式(1)所示的化合物的水解物一般可以通过公知的方法进行水解而获得。作为最广为人知的方法,是在将平均组成式(1)所示的化合物溶解于溶剂而得的溶液中,通过滴加等方法加入纯水或纯水与溶剂的混合溶剂,在温度40℃以上进行数小时以上加热、搅拌的水解法。在该方法中使用的纯水的量根据完全水解和部分水解的目的而任意选择。相对于平均组成式(1)所示的化合物的全部烷氧基,通常使用0.5~100摩尔,优选为1~10摩尔的水。水解可以使用水解催化剂,但也可以不使用水解催化剂而进行。在使用水解催化剂的情况下,相对于水解性基的每1摩尔可以使用0.001~10摩尔,优选为0.001~1摩尔的水解催化剂。进行水解和缩合时的反应温度通常为2~150℃。水解可以进行完全水解,也可以进行部分水解。即,可以在水解缩合物中残存水解物、单体。
关于上述水解物,平均组成式(1)所示的化合物、其水解物、或其水解缩合物各自可以为一种或二种以上,也可以将化合物、其水解物、或其水解缩合物各一种或二种以上混合而使用。优选为一种或二种。
作为上述水解物的二种的组合的具体例,可举出上述平均组成式(1)所示的化合物的组合。
在上述水解法中,为了促进水解反应,一般使用酸催化剂或碱催化剂。作为水解催化剂,可以使用酸或碱。此外,作为水解催化剂,可以举出金属螯合物、有机酸、无机酸、有机碱、无机碱。
作为水解催化剂的金属螯合物可以举出例如三乙氧基·单(乙酰丙酮)钛、三-正丙氧基·单(乙酰丙酮)钛、三-异丙氧基·单(乙酰丙酮)钛、三-正丁氧基·单(乙酰丙酮)钛、三-仲丁氧基·单(乙酰丙酮)钛、三-叔丁氧基·单(乙酰丙酮)钛、二乙氧基·双(乙酰丙酮)钛、二-正丙氧基·双(乙酰丙酮)钛、二-异丙氧基·双(乙酰丙酮)钛、二-正丁氧基·双(乙酰丙酮)钛、二-仲丁氧基·双(乙酰丙酮)钛、二-叔丁氧基·双(乙酰丙酮)钛、单乙氧基·三(乙酰丙酮)钛、单-正丙氧基·三(乙酰丙酮)钛、单-异丙氧基·三(乙酰丙酮)钛、单-正丁氧基·三(乙酰丙酮)钛、单-仲丁氧基·三(乙酰丙酮)钛、单-叔丁氧基·三(乙酰丙酮)钛、四(乙酰丙酮)钛、三乙氧基·单(乙酰乙酸乙酯)钛、三-正丙氧基·单(乙酰乙酸乙酯)钛、三-异丙氧基·单(乙酰乙酸乙酯)钛、三-正丁氧基·单(乙酰乙酸乙酯)钛、三-仲丁氧基·单(乙酰乙酸乙酯)钛、三-叔丁氧基·单(乙酰乙酸乙酯)钛、二乙氧基·双(乙酰乙酸乙酯)钛、二-正丙氧基·双(乙酰乙酸乙酯)钛、二-异丙氧基·双(乙酰乙酸乙酯)钛、二-正丁氧基·双(乙酰乙酸乙酯)钛、二-仲丁氧基·双(乙酰乙酸乙酯)钛、二-叔丁氧基·双(乙酰乙酸乙酯)钛、单乙氧基·三(乙酰乙酸乙酯)钛、单-正丙氧基·三(乙酰乙酸乙酯)钛、单-异丙氧基·三(乙酰乙酸乙酯)钛、单-正丁氧基·三(乙酰乙酸乙酯)钛、单-仲丁氧基·三(乙酰乙酸乙酯)钛、单-叔丁氧基·三(乙酰乙酸乙酯)钛、四(乙酰乙酸乙酯)钛、单(乙酰丙酮)三(乙酰乙酸乙酯)钛、双(乙酰丙酮)双(乙酰乙酸乙酯)钛、三(乙酰丙酮)单(乙酰乙酸乙酯)钛等钛螯合物;三乙氧基·单(乙酰丙酮)锆、三-正丙氧基·单(乙酰丙酮)锆、三-异丙氧基·单(乙酰丙酮)锆、三-正丁氧基·单(乙酰丙酮)锆、三-仲丁氧基·单(乙酰丙酮)锆、三-叔丁氧基·单(乙酰丙酮)锆、二乙氧基·双(乙酰丙酮)锆、二-正丙氧基·双(乙酰丙酮)锆、二-异丙氧基·双(乙酰丙酮)锆、二-正丁氧基·双(乙酰丙酮)锆、二-仲丁氧基·双(乙酰丙酮)锆、二-叔丁氧基·双(乙酰丙酮)锆、单乙氧基·三(乙酰丙酮)锆、单-正丙氧基·三(乙酰丙酮)锆、单-异丙氧基·三(乙酰丙酮)锆、单-正丁氧基·三(乙酰丙酮)锆、单-仲丁氧基·三(乙酰丙酮)锆、单-叔丁氧基·三(乙酰丙酮)锆、四(乙酰丙酮)锆、三乙氧基·单(乙酰乙酸乙酯)锆、三-正丙氧基·单(乙酰乙酸乙酯)锆、三-异丙氧基·单(乙酰乙酸乙酯)锆、三-正丁氧基·单(乙酰乙酸乙酯)锆、三-仲丁氧基·单(乙酰乙酸乙酯)锆、三-叔丁氧基·单(乙酰乙酸乙酯)锆、二乙氧基·双(乙酰乙酸乙酯)锆、二-正丙氧基·双(乙酰乙酸乙酯)锆、二-异丙氧基·双(乙酰乙酸乙酯)锆、二-正丁氧基·双(乙酰乙酸乙酯)锆、二-仲丁氧基·双(乙酰乙酸乙酯)锆、二-叔丁氧基·双(乙酰乙酸乙酯)锆、单乙氧基·三(乙酰乙酸乙酯)锆、单-正丙氧基·三(乙酰乙酸乙酯)锆、单-异丙氧基·三(乙酰乙酸乙酯)锆、单-正丁氧基·三(乙酰乙酸乙酯)锆、单-仲丁氧基·三(乙酰乙酸乙酯)锆、单-叔丁氧基·三(乙酰乙酸乙酯)锆、四(乙酰乙酸乙酯)锆、单(乙酰丙酮)三(乙酰乙酸乙酯)锆、双(乙酰丙酮)双(乙酰乙酸乙酯)锆、三(乙酰丙酮)单(乙酰乙酸乙酯)锆等锆螯合物;三(乙酰丙酮)铝、三(乙酰乙酸乙酯)铝等铝螯合物;等。
作为水解催化剂的有机酸可以举出例如乙酸、丙酸、丁酸、戊酸、己酸、庚酸、辛酸、壬酸、癸酸、草酸、马来酸、甲基丙二酸、己二酸、癸二酸、没食子酸、丁酸、苯六甲酸、花生四烯酸、2-乙基己酸、油酸、硬脂酸、亚油酸、亚麻酸、水杨酸、苯甲酸、对氨基苯甲酸、对甲苯磺酸、苯磺酸、一氯乙酸、二氯乙酸、三氯乙酸、三氟乙酸、甲酸、丙二酸、磺酸、邻苯二甲酸、富马酸、柠檬酸、酒石酸等。
作为水解催化剂的无机酸可以举出例如盐酸、硝酸、硫酸、氢氟酸、磷酸等。
作为水解催化剂的有机碱可以举出例如吡啶、吡咯、哌嗪、吡咯烷、哌啶、甲基吡啶、三甲基胺、三乙胺、单乙醇胺、二乙醇胺、二甲基单乙醇胺、单甲基二乙醇胺、三乙醇胺、二氮杂二环辛烷、二氮杂二环壬烷、二氮杂二环十一碳烯、四甲基氢氧化铵等。作为无机碱,可以举出例如氨、氢氧化钠、氢氧化钾、氢氧化钡、氢氧化钙等。这些催化剂中,优选为金属螯合物、有机酸、无机酸,它们可以同时使用1种或2种以上。
作为水解所使用的有机溶剂,可以举出例如正戊烷、异戊烷、正己烷、异己烷、正庚烷、异庚烷、2,2,4-三甲基戊烷、正辛烷、异辛烷、环己烷、甲基环己烷等脂肪族烃系溶剂;苯、甲苯、二甲苯、乙基苯、三甲基苯、甲基乙基苯、正丙基苯、异丙基苯、二乙基苯、异丁基苯、三乙基苯、二-异丙基苯、正戊基萘、三甲基苯等芳香族烃系溶剂;甲醇、乙醇、正丙醇、异丙醇、正丁醇、异丁醇、仲丁醇、叔丁醇、正戊醇、异戊醇、2-甲基丁醇、仲戊醇、叔戊醇、3-甲氧基丁醇、正己醇、2-甲基戊醇、仲己醇、2-乙基丁醇、仲庚醇、庚醇-3、正辛醇、2-乙基己醇、仲辛醇、正壬醇、2,6-二甲基庚醇-4、正癸醇、仲十一烷基醇、三甲基壬醇、仲十四烷基醇、仲十七烷基醇、苯酚、环己醇、甲基环己醇、3,3,5-三甲基环己醇、苄醇、苯基甲基甲醇、双丙酮醇、甲酚等一元醇系溶剂;乙二醇、丙二醇、1,3-丁二醇、戊二醇-2,4、2-甲基戊二醇-2,4、己二醇-2,5、庚二醇-2,4、2-乙基己二醇-1,3、二甘醇、双丙甘醇、三甘醇、三丙二醇、甘油等多元醇系溶剂;丙酮、甲基乙基酮、甲基-正丙基酮、甲基-正丁基酮、二乙基酮、甲基-异丁基酮、甲基-正戊基酮、乙基-正丁基酮、甲基-正己基酮、二-异丁基酮、三甲基壬酮、环己酮、甲基环己酮、2,4-戊烷二酮、丙酮基丙酮、双丙酮醇、苯乙酮、葑酮等酮系溶剂;乙基醚、异丙基醚、正丁基醚、正己基醚、2-乙基己基醚、氧化乙烯、1,2-氧化丙烯、二氧戊环、4-甲基二氧戊环、二
Figure BDA0002719885430000171
烷、二甲基二
Figure BDA0002719885430000172
烷、乙二醇单甲基醚、乙二醇单乙基醚、乙二醇二乙基醚、乙二醇单-正丁基醚、乙二醇单-正己基醚、乙二醇单苯基醚、乙二醇单-2-乙基丁基醚、乙二醇二丁基醚、二甘醇单甲基醚、二甘醇单乙基醚、二甘醇二乙基醚、二甘醇单-正丁基醚、二甘醇二-正丁基醚、二甘醇单-正己基醚、乙氧基三乙二醇、四甘醇二-正丁基醚、丙二醇单甲基醚、丙二醇单乙基醚、丙二醇单丙基醚、丙二醇单丁基醚、丙二醇单甲基醚乙酸酯、双丙甘醇单甲基醚、双丙甘醇单乙基醚、双丙甘醇单丙基醚、双丙甘醇单丁基醚、三丙二醇单甲基醚、四氢呋喃、2-甲基四氢呋喃等醚系溶剂;碳酸二乙酯、乙酸甲酯、乙酸乙酯、γ-丁内酯、γ-戊内酯、乙酸正丙酯、乙酸异丙酯、乙酸正丁酯、乙酸异丁酯、乙酸仲丁酯、乙酸正戊酯、乙酸仲戊酯、乙酸3-甲氧基丁酯、乙酸甲基戊酯、乙酸2-乙基丁酯、乙酸2-乙基己酯、乙酸苄酯、乙酸环己酯、乙酸甲基环己酯、乙酸正壬酯、乙酰乙酸甲酯、乙酰乙酸乙酯、乙酸乙二醇单甲基醚、乙酸乙二醇单乙基醚、乙酸二甘醇单甲基醚、乙酸二甘醇单乙基醚、乙酸二甘醇单-正丁基醚、乙酸丙二醇单甲基醚、乙酸丙二醇单乙基醚、乙酸丙二醇单丙基醚、乙酸丙二醇单丁基醚、乙酸双丙甘醇单甲基醚、乙酸双丙甘醇单乙基醚、二乙酸乙二醇酯、乙酸甲氧基三乙二醇酯、丙酸乙酯、丙酸正丁酯、丙酸异戊酯、草酸二乙酯、草酸二-正丁酯、乳酸甲酯、乳酸乙酯、乳酸正丁酯、乳酸正戊酯、丙二酸二乙酯、邻苯二甲酸二甲酯、邻苯二甲酸二乙酯等酯系溶剂;N-甲基甲酰胺、N,N-二甲基甲酰胺、N,N-二乙基甲酰胺、乙酰胺、N-甲基乙酰胺、N,N-二甲基乙酰胺、N-甲基丙酰胺、N-甲基吡咯烷酮等含氮系溶剂;二甲硫醚、二乙硫醚、噻吩、四氢噻吩、二甲亚砜、环丁砜、1,3-丙烷磺内酯等含硫系溶剂等。这些溶剂可以使用1种或以2种以上的组合使用。
特别是,从溶液的保存稳定性方面考虑,优选为丙酮、甲基乙基酮、甲基-正丙基酮、甲基-正丁基酮、二乙基酮、甲基-异丁基酮、甲基-正戊基酮、乙基-正丁基酮、甲基-正己基酮、二-异丁基酮、三甲基壬酮、环己酮、甲基环己酮、2,4-戊烷二酮、丙酮基丙酮、双丙酮醇、苯乙酮、葑酮等酮系溶剂。
加热温度和加热时间可以适当根据需要选择。可举出例如,在50℃下进行24小时加热、搅拌的方法、在回流下进行8小时加热、搅拌等方法。另外,只要平均组成式(1)所示的化合物水解,也能够使用不加热而在室温下进行搅拌的方法。
[水解缩合物]
平均组成式(1)所示的化合物的水解缩合物可以使平均组成式(1)所示的化合物溶解于含有水的溶剂,在催化剂的存在下,进行了水解缩合反应后,将含有水的溶剂、催化剂等减压蒸馏除去而获得。作为优选的催化剂,可以举出例如,盐酸、硝酸等无机酸、和甲酸、草酸、富马酸、马来酸、冰醋酸、无水乙酸、丙酸、正丁酸等有机酸。所使用的催化剂的量相对于平均组成式(1)所示的化合物的总质量,例如为0.001质量%~1质量%。上述水解缩合反应例如利用30℃~80℃的温度条件下实施。上述水解缩合反应时的pH没有特别限定,但通常为2以上且小于5。此外,只要不损害本发明的效果,也可以添加除平均组成式(1)所示的化合物以外的化合物,制成水解共缩合物。
关于上述水解缩合物,平均组成式(1)所示的化合物、其水解物、或其水解缩合物各自可以为一种或二种以上,也可以将化合物、其水解物、或其水解缩合物各一种或二种以上混合而使用。优选为一种或二种。
作为上述水解缩合物的二种的组合的具体例,可举出上述平均组成式(1)所示的化合物的组合。
上述水解缩合物的重均分子量(Mw)为1,000~50,000。优选的重均分子量为1,200~20,000。可以获得重均分子量1,000~50,000的缩合物。此外,上述水解缩合物的重均分子量可以为例如300~999、例如300~1,000、例如300~2,000、例如300~3,000的低聚物。重均分子量为由GPC分析得到的以聚苯乙烯换算获得的分子量。GPC的测定条件例如可以使用下述条件进行:GPC装置(商品名HLC-8220GPC,東ソー株式会社制),GPC柱(商品名ShodexKF803L,KF802,KF801,昭和电工制),柱温度为40℃,洗脱液(洗脱溶剂)为四氢呋喃,流量(流速)为1.0ml/分钟,标准试样为聚苯乙烯(昭和电工株式会社制)。
〔涂布液的调制〕
本发明涉及的表面改性剂的涂布液含有平均组成式(1)所示的化合物、平均组成式(1)所示的化合物的水解物、或平均组成式(1)所示的化合物的水解缩合物、和根据需要的其它成分,可以通过将它们溶解于适当的溶剂来调制。在本发明中,只要获得这样的涂布液,其调制方法就没有限定。例如,可以将各成分依次添加在所使用的溶剂中进行混合。在该情况下,各成分的添加顺序没有特别限定。此外,可以将各成分分别溶解在所使用的溶剂中而得的溶液进行混合。
此外,在本发明的涂布液中,可以以其pH的调整作为目的,在上述溶液中预先混合酸。酸的量相对于平均组成式(1)所示的化合物的硅原子的1摩尔优选为0.01~2.5摩尔,更优选为0.1~2摩尔。
作为上述所使用的酸,可举出盐酸、硝酸、硫酸、磷酸的无机酸;甲酸、乙酸、苹果酸等单羧酸类;草酸;柠檬酸、丙酸、琥珀酸等多元羧酸类等有机酸。它们之中,溶液状态的酸可以直接使用,但优选用涂布液所含有的溶剂进行稀释而使用。其以外的酸优选以适当的浓度溶解于涂布液的溶剂而使用。
溶剂可以使用在调制平均组成式(1)所示的化合物、平均组成式(1)所示的化合物的水解物、或平均组成式(1)所示的化合物的水解缩合物时使用的有机溶剂、这些溶液的浓缩、稀释或置换成其它溶剂时使用的溶剂。溶剂可以为一种,也可以为多种,可以任意选择而使用。
在从本发明的涂布液制作固化膜时,本发明的涂布液为包含平均组成式(1)所示的化合物、平均组成式(1)所示的化合物的水解物、或平均组成式(1)所示的化合物的水解缩合物、和上述溶剂的形态,因此可以直接用于向基板的涂布。此外,也可以在浓度调整、涂膜的平坦性的确保、涂布液对基板的润湿性的提高、涂布液的表面张力、极性、沸点的调整等目的下,添加上述溶剂、进一步添加其它各种溶剂,制成涂布液而使用。
[其它成分]
以下对表面改性剂中可以包含的其它成分进行说明。
本发明的表面改性剂可以含有固化催化剂。固化催化剂在将含有水解缩合物的涂布膜进行加热使其固化时起到固化催化剂的作用。作为固化催化剂,可以使用铵盐、膦类、
Figure BDA0002719885430000201
盐、锍盐。具体例如WO2017/145809所记载的那样。
其中作为固化催化剂,优选为含氮硅烷化合物。作为含氮硅烷化合物,可举出N-(3-三乙氧基甲硅烷基丙基)-4,5-二氢咪唑(IMIDTEOS)等含有咪唑环的硅烷化合物。
将平均组成式(1)所示的化合物在溶剂中使用催化剂进行水解,进行缩合而获得的水解缩合物(聚合物)可以通过减压蒸馏等将作为副产物的醇、所使用的水解催化剂、水同时除去。此外,可以将水解所使用的酸、碱催化剂通过中和、离子交换而除去。而且在本发明的表面改性剂中,为了使包含该水解缩合物的表面改性剂稳定化,可以添加有机酸、水、醇、或它们的组合。
作为上述有机酸,可举出例如草酸、乙酸、三氟乙酸、丙二酸、甲基丙二酸、琥珀酸、马来酸、苹果酸、酒石酸、邻苯二甲酸、柠檬酸、戊二酸、柠檬酸、乳酸、水杨酸等。其中,优选为草酸、马来酸等。加入的有机酸相对于平均组成式(1)所示的化合物的水解缩合物100质量份为0.1~5.0质量份。此外加入的水可以使用纯水、超纯水、离子交换水等,其添加量相对于表面改性剂100质量份可以为1~20质量份。此外作为加入的醇,优选为易于通过涂布后的加热而飞散的醇,可举出例如甲醇、乙醇、丙醇、异丙醇、丁醇等。加入的醇相对于表面改性剂100质量份可以为1~20质量份。
因此,表面改性剂可以包含选自水、酸、和固化催化剂中的一种以上。本发明的表面改性剂除了上述成分以外,可以根据需要包含有机聚合物化合物、光产酸剂和表面活性剂等。
通过使用有机聚合物化合物,可以调整由本发明的表面改性剂形成的膜的干蚀刻速度(每单位时间的膜厚的减少量)、衰减系数和折射率等。
作为本发明的表面改性剂所包含的光产酸剂,可举出
Figure BDA0002719885430000211
盐化合物、磺酰亚胺化合物、和二磺酰基重氮甲烷化合物等。作为
Figure BDA0002719885430000213
盐化合物,可举出二苯基碘
Figure BDA0002719885430000214
六氟磷酸盐、二苯基碘
Figure BDA0002719885430000215
三氟甲烷磺酸盐、二苯基碘
Figure BDA0002719885430000216
九氟正丁烷磺酸盐、二苯基碘
Figure BDA0002719885430000217
全氟正辛烷磺酸盐、二苯基碘
Figure BDA0002719885430000218
樟脑磺酸盐、双(4-叔丁基苯基)碘
Figure BDA00027198854300002110
樟脑磺酸盐和双(4-叔丁基苯基)碘
Figure BDA0002719885430000219
三氟甲烷磺酸盐等碘
Figure BDA0002719885430000212
盐化合物、和三苯基锍六氟锑酸盐、三苯基锍九氟正丁烷磺酸盐、三苯基锍樟脑磺酸盐和三苯基锍三氟甲烷磺酸盐等锍盐化合物等。
作为磺酰亚胺化合物,可举出例如N-(三氟甲磺酰氧基)琥珀酰亚胺、N-(九氟正丁烷磺酰氧基)琥珀酰亚胺、N-(樟脑磺酰氧基)琥珀酰亚胺和N-(三氟甲磺酰氧基)萘二甲酰亚胺等。
作为二磺酰基重氮甲烷化合物,可举出例如,双(三氟甲基磺酰基)重氮甲烷、双(环己基磺酰基)重氮甲烷、双(苯基磺酰基)重氮甲烷、双(对甲苯磺酰基)重氮甲烷、双(2,4-二甲基苯磺酰基)重氮甲烷、和甲基磺酰基-对甲苯磺酰基重氮甲烷等。
光产酸剂可以使用一种或组合使用二种以上。在使用光产酸剂的情况下,作为其比例,相对于平均组成式(1)所示的化合物的水解缩合物100质量份为0.01~15质量份、或0.1~10质量份、或0.5~1质量份。
表面活性剂在将本发明的表面改性剂涂布于基板时,对于抑制针孔和条纹等的发生而言是有效的。作为本发明的表面改性剂所包含的表面活性剂,可以举出例如,聚氧乙烯月桂基醚、聚氧乙烯硬脂基醚、聚氧乙烯鲸蜡基醚、聚氧乙烯油基醚等聚氧乙烯烷基醚类、聚氧乙烯辛基苯酚醚、聚氧乙烯壬基苯酚醚等聚氧乙烯烷基芳基醚类、聚氧乙烯/聚氧丙烯嵌段共聚物类、失水山梨糖醇单月桂酸酯、失水山梨糖醇单棕榈酸酯、失水山梨糖醇单硬脂酸酯、失水山梨糖醇单油酸酯、失水山梨糖醇三油酸酯、失水山梨糖醇三硬脂酸酯等失水山梨糖醇脂肪酸酯类、聚氧乙烯失水山梨糖醇单月桂酸酯、聚氧乙烯失水山梨糖醇单棕榈酸酯、聚氧乙烯失水山梨糖醇单硬脂酸酯、聚氧乙烯失水山梨糖醇三油酸酯、聚氧乙烯失水山梨糖醇三硬脂酸酯等聚氧乙烯失水山梨糖醇脂肪酸酯类等非离子系表面活性剂、エフトップ(注册商标)EF301、EF303、EF352((株)トーケムプロダクツ制)、メガファック(注册商标)F171、F173、R-08、R-30、R-30N、R-40LM(DIC(株)制)、フロラード(注册商标)FC430、FC431(住友スリーエム(株)制)、アサヒガード(注册商标)AG710、サーフロン(注册商标)S-382、SC101、SC102、SC103、SC104、SC105、SC106(旭硝子(株)制)等氟系表面活性剂、和有机硅氧烷聚合物KP341(信越化学工业(株)制)等。这些表面活性剂可以单独使用,此外也可以以二种以上的组合使用。在使用表面活性剂的情况下,作为其比例,相对于平均组成式(1)所示的化合物的水解缩合物100质量份为0.0001~5质量份、或0.001~1质量份、或0.01~1质量份。
此外,在本发明的表面改性剂中,可以添加流变调节剂和粘接助剂等。流变调节剂对于使表面改性剂的流动性提高而言是有效的。粘接助剂对于使半导体基板或抗蚀剂与下层膜的密合性提高而言是有效的。
作为使用于本发明的表面改性剂的溶剂,只要是可以溶解上述固体成分的溶剂,就可以没有特别限制地使用。作为那样的溶剂,可以举出例如,水(离子交换水、超纯水)、甲基溶纤剂乙酸酯、乙基溶纤剂乙酸酯、丙二醇、丙二醇单甲基醚、丙二醇单乙基醚、甲基异丁基甲醇、丙二醇单丁基醚、丙二醇单甲基醚乙酸酯、丙二醇单乙基醚乙酸酯、丙二醇单丙基醚乙酸酯、丙二醇单丁基醚乙酸酯、甲苯、二甲苯、甲基乙基酮、环戊酮、环己酮、2-羟基丙酸乙酯、2-羟基-2-甲基丙酸乙酯、乙氧基乙酸乙酯、羟基乙酸乙酯、2-羟基-3-甲基丁酸甲酯、3-甲氧基丙酸甲酯、3-甲氧基丙酸乙酯、3-乙氧基丙酸乙酯、3-乙氧基丙酸甲酯、丙酮酸甲酯、丙酮酸乙酯、乙二醇单甲基醚、乙二醇单乙基醚、乙二醇单丙基醚、乙二醇单丁基醚、乙二醇单甲基醚乙酸酯、乙二醇单乙基醚乙酸酯、乙二醇单丙基醚乙酸酯、乙二醇单丁基醚乙酸酯、二甘醇二甲基醚、二甘醇二乙基醚、二甘醇二丙基醚、二甘醇二丁基醚丙二醇单甲基醚、丙二醇二甲基醚、丙二醇二乙基醚、丙二醇二丙基醚、丙二醇二丁基醚、乳酸乙酯、乳酸丙酯、乳酸异丙酯、乳酸丁酯、乳酸异丁酯、甲酸甲酯、甲酸乙酯、甲酸丙酯、甲酸异丙酯、甲酸丁酯、甲酸异丁酯、甲酸戊酯、甲酸异戊酯、乙酸甲酯、乙酸乙酯、乙酸戊酯、乙酸异戊酯、乙酸己酯、丙酸甲酯、丙酸乙酯、丙酸丙酯、丙酸异丙酯、丙酸丁酯、丙酸异丁酯、丁酸甲酯、丁酸乙酯、丁酸丙酯、丁酸异丙酯、丁酸丁酯、丁酸异丁酯、羟基乙酸乙酯、2-羟基-2-甲基丙酸乙酯、3-甲氧基-2-甲基丙酸甲酯、2-羟基-3-甲基丁酸甲酯、甲氧基乙酸乙酯、乙氧基乙酸乙酯、3-甲氧基丙酸甲酯、3-乙氧基丙酸乙酯、3-甲氧基丙酸乙酯、3-甲氧基丁基乙酸酯、3-甲氧基丙基乙酸酯、3-甲基-3-甲氧基丁基乙酸酯、3-甲基-3-甲氧基丁基丙酸酯、3-甲基-3-甲氧基丁基丁酸酯、乙酰乙酸甲酯、甲苯、二甲苯、甲基乙基酮、甲基丙基酮、甲基丁基酮、2-庚酮、3-庚酮、4-庚酮、环己酮、N,N-二甲基甲酰胺、N-甲基乙酰胺、N,N-二甲基乙酰胺、N-甲基吡咯烷酮、4-甲基-2-戊醇、和γ-丁内酯等。这些溶剂可以单独使用,或以二种以上的组合使用。
优选为丙二醇单甲基醚乙酸酯、丙二醇单乙基醚、丙二醇单甲基醚、超纯水。
本发明涉及的表面改性剂除了Bare-Si以外,可以在SiO2、SiN、SiON、TiN等氧化膜、氮化膜、金属基板上应用。优选上述基板为金属或无机系防反射膜基板。优选上述基板为Si、SiN、SiON、TiSi、TiN或可以蒸镀有Cr的玻璃。
进一步,本发明涉及的表面改性剂在涂布型或蒸镀型SiHM上、BARC上、涂布型SOC(旋涂碳、碳含量高的膜)、蒸镀型的无定形碳上也能够应用。
[叠层基板]
可以制成在基板上依次叠层了本发明涉及的表面改性剂、和抗蚀剂图案的叠层基板。优选该叠层基板在基板上进一步具有硅硬掩模层。也有时在上述硅硬掩模层下进一步形成上述旋涂碳层、无定形碳层。
硅硬掩模层、旋涂碳层和无定形碳层的膜厚例如为5nm~2000nm。
[抗蚀剂图案形成方法、半导体装置的制造方法]
将本发明涉及的表面改性剂涂布在基板上,进行了烘烤后,涂布光致抗蚀剂组合物,进行图案形成从而可以形成图案。优选进一步包含在上述烘烤后,在涂布光致抗蚀剂组合物前用溶剂进行改性的工序。优选上述图案形成包含利用ArF、EUV或EB进行曝光的工序。更优选为EUV(波长13.5nm)或EB(电子射线),最优选为EUV(波长13.5nm)。
作为上述图案,优选的是抗蚀剂图案。
本发明涉及的半导体装置的制造方法包含下述工序:将本发明涉及的表面改性剂涂布在基板上,进行了烘烤后,涂布光致抗蚀剂组合物,进行图案形成,然后对基板进行蚀刻的工序。
通过将本发明涉及的表面改性剂涂布在基板上来制作涂布膜。涂布方法通过旋转涂布法等常规方法进行。可以进行在将该膜进行了烘烤后,在其上涂布光致抗蚀剂组合物而形成抗蚀剂的工序。烘烤温度、时间通常为80~300℃、0.5~5分钟。
可以进一步包含在本申请的表面改性剂的涂布膜形成后,在涂布光致抗蚀剂组合物前用溶剂进行处理的工序。以本目的使用的溶剂使用了光致抗蚀剂组合物所使用的溶剂,例如可以使用甲基溶纤剂乙酸酯、乙基溶纤剂乙酸酯、丙二醇、丙二醇单甲基醚、丙二醇单乙基醚、甲基异丁基甲醇、丙二醇单丁基醚、丙二醇单甲基醚乙酸酯、丙二醇单乙基醚乙酸酯、丙二醇单丙基醚乙酸酯、丙二醇单丁基醚乙酸酯、甲苯、二甲苯、甲基乙基酮、环戊酮、环己酮、2-羟基丙酸乙酯、2-羟基-2-甲基丙酸乙酯、乙氧基乙酸乙酯、羟基乙酸乙酯、2-羟基-3-甲基丁酸甲酯、3-甲氧基丙酸甲酯、3-甲氧基丙酸乙酯、3-乙氧基丙酸乙酯、3-乙氧基丙酸甲酯、丙酮酸甲酯、丙酮酸乙酯、乙二醇单甲基醚、乙二醇单乙基醚、乙二醇单丙基醚、乙二醇单丁基醚、乙二醇单甲基醚乙酸酯、乙二醇单乙基醚乙酸酯、乙二醇单丙基醚乙酸酯、乙二醇单丁基醚乙酸酯、二甘醇二甲基醚、二甘醇二乙基醚、二甘醇二丙基醚、二甘醇二丁基醚丙二醇单甲基醚、丙二醇二甲基醚、丙二醇二乙基醚、丙二醇二丙基醚、丙二醇二丁基醚、乳酸乙酯、乳酸丙酯、乳酸异丙酯、乳酸丁酯、乳酸异丁酯、甲酸甲酯、甲酸乙酯、甲酸丙酯、甲酸异丙酯、甲酸丁酯、甲酸异丁酯、甲酸戊酯、甲酸异戊酯、乙酸甲酯、乙酸乙酯、乙酸戊酯、乙酸异戊酯、乙酸己酯、丙酸甲酯、丙酸乙酯、丙酸丙酯、丙酸异丙酯、丙酸丁酯、丙酸异丁酯、丁酸甲酯、丁酸乙酯、丁酸丙酯、丁酸异丙酯、丁酸丁酯、丁酸异丁酯、羟基乙酸乙酯、2-羟基-2-甲基丙酸乙酯、3-甲氧基-2-甲基丙酸甲酯、2-羟基-3-甲基丁酸甲酯、甲氧基乙酸乙酯、乙氧基乙酸乙酯、3-甲氧基丙酸甲酯、3-乙氧基丙酸乙酯、3-甲氧基丙酸乙酯、3-甲氧基丁基乙酸酯、3-甲氧基丙基乙酸酯、3-甲基-3-甲氧基丁基乙酸酯、3-甲基-3-甲氧基丁基丙酸酯、3-甲基-3-甲氧基丁基丁酸酯、乙酰乙酸甲酯、甲苯、二甲苯、甲基乙基酮、甲基丙基酮、甲基丁基酮、2-庚酮、3-庚酮、4-庚酮、环己酮、N,N-二甲基甲酰胺、N-甲基乙酰胺、N,N-二甲基乙酰胺、N-甲基吡咯烷酮、4-甲基-2-戊醇、和γ-丁内酯,但优选为丙二醇单甲基醚、丙二醇单甲基醚乙酸酯和环己酮。也可以将溶剂通过旋转涂布法等常规方法涂布后,加热到80℃~200℃而使溶剂干燥。
此外,也可以通过将本发明涉及的表面改性剂涂布在基板上从而制作涂布膜,将该膜进行了烘烤后,在其上形成硅的硬掩模,使其上形成抗蚀剂。
本发明涉及的表面改性剂可以在半导体基板上形成膜厚1nm~1,000nm的被膜。膜厚为例如1nm~500nm、0.1nm~500nm、0.1nm~300nm、0.1nm~200nm、0.1nm~100nm、0.1nm~50nm、0.1nm~30nm、0.1nm~20nm、0.1nm~10nm,最优选为0.1nm~8nm。
作为上述硅的硬掩模,可以使用将水解性硅烷进行水解而获得的聚硅氧烷。可以例示例如,将四乙氧基硅烷、甲基三甲氧基硅烷、和苯基三乙氧基硅烷进行水解而获得的聚硅氧烷。它们可以在本发明涉及的表面改性剂的涂布膜上以膜厚5~200nm形成被膜。
作为上述光致抗蚀剂组合物,只要对曝光所使用的光感光,就没有特别限定。负型光致抗蚀剂和正型光致抗蚀剂都可以使用。有:由酚醛清漆树脂和1,2-萘醌重氮基磺酸酯构成的正型光致抗蚀剂、由具有通过酸进行分解而使碱溶解速度上升的基团的粘合剂和光产酸剂构成的化学放大型光致抗蚀剂、由通过酸进行分解而使光致抗蚀剂的碱溶解速度上升的低分子化合物和碱溶性粘合剂和光产酸剂构成的化学放大型光致抗蚀剂、和由具有通过酸进行分解而使碱溶解速度上升的基团的粘合剂和通过酸进行分解而使光致抗蚀剂的碱溶解速度上升的低分子化合物和光产酸剂构成的化学放大型光致抗蚀剂等。可举出例如,シプレー社制商品名APEX-E、住友化学工业(株)制商品名PAR710、和信越化学工业(株)制商品名SEPR430等。此外,可以举出例如,Proc.SPIE,Vol.3999,330-334(2000)、Proc.SPIE、Vol.3999,357-364(2000)、Proc.SPIE,Vol.3999,365-374(2000)所记载那样的含氟原子聚合物系光致抗蚀剂。
此外,作为电子射线抗蚀剂,负型、正型都可以使用。有:由产酸剂和具有通过酸进行分解而使碱溶解速度变化的基团的粘合剂构成的化学放大型抗蚀剂、由碱溶性粘合剂和产酸剂和通过酸进行分解而使抗蚀剂的碱溶解速度变化的低分子化合物构成的化学放大型抗蚀剂、由产酸剂和具有通过酸进行分解而使碱溶解速度变化的基团的粘合剂和通过酸进行分解而使抗蚀剂的碱溶解速度变化的低分子化合物构成的化学放大型抗蚀剂、由具有通过电子射线进行分解而使碱溶解速度变化的基团的粘合剂构成的非化学放大型抗蚀剂、由具有通过电子射线被切断而使碱溶解速度变化的部位的粘合剂构成的非化学放大型抗蚀剂等。在使用了这些电子射线抗蚀剂的情况下也可以使照射源为电子射线而与使用了光致抗蚀剂的情况同样地形成抗蚀剂图案。
抗蚀剂溶液在涂布后在烧成温度70~150℃、烧成时间0.5~5分钟下进行,抗蚀剂膜厚在10~1,000nm的范围获得。例如对于EUV光(波长13.5nm)或电子射线用,可以为10~50nm,对于ArF准分子激光(波长193nm)用,可以为50~200nm,优选为100~150nm。本发明涉及的表面改性剂、抗蚀剂溶液、显影液等可以通过旋转涂布、浸渍法、喷射法等进行被覆,但特别优选为旋转涂布法。抗蚀剂的曝光通过规定掩模而进行曝光。曝光可以使用KrF准分子激光(波长248nm)、ArF准分子激光(波长193nm)和EUV光(波长13.5nm)、电子射线等。在曝光后,也可以根据需要进行曝光后加热(PEB:Post Exposure Bake)。曝光后加热从加热温度70℃~150℃、加热时间0.3~10分钟中适当选择。
接着,可以通过显影液进行显影。由此,例如在使用了正型光致抗蚀剂的情况下,被曝光了的部分的光致抗蚀剂被除去,形成光致抗蚀剂的图案。
作为显影液,可以举出氢氧化钾、氢氧化钠等碱金属氢氧化物的水溶液、四甲基氢氧化铵、四乙基氢氧化铵、胆碱等氢氧化季铵的水溶液、乙醇胺、丙基胺、乙二胺等胺水溶液等碱性水溶液作为例子。进一步,也可以在这些显影液中加入表面活性剂等。作为显影的条件,从温度5~50℃、时间10~600秒中适当选择。此外,在本发明中可以使用有机溶剂作为显影液。
作为有机溶剂,可以举出例如,乙酸甲酯、乙酸丁酯、乙酸乙酯、乙酸异丙酯、乙酸戊酯、乙酸异戊酯、甲氧基乙酸乙酯、乙氧基乙酸乙酯、丙二醇单甲基醚乙酸酯、乙二醇单乙基醚乙酸酯、乙二醇单丙基醚乙酸酯、乙二醇单丁基醚乙酸酯、乙二醇单苯基醚乙酸酯、二甘醇单甲基醚乙酸酯、二甘醇单丙基醚乙酸酯、二甘醇单乙基醚乙酸酯、二甘醇单苯基醚乙酸酯、二甘醇单丁基醚乙酸酯、二甘醇单乙基醚乙酸酯、2-甲氧基丁基乙酸酯、3-甲氧基丁基乙酸酯、4-甲氧基丁基乙酸酯、3-甲基-3-甲氧基丁基乙酸酯、3-乙基-3-甲氧基丁基乙酸酯、丙二醇单甲基醚乙酸酯、丙二醇单乙基醚乙酸酯、丙二醇单丙基醚乙酸酯、2-乙氧基丁基乙酸酯、4-乙氧基丁基乙酸酯、4-丙氧基丁基乙酸酯、2-甲氧基戊基乙酸酯、3-甲氧基戊基乙酸酯、4-甲氧基戊基乙酸酯、2-甲基-3-甲氧基戊基乙酸酯、3-甲基-3-甲氧基戊基乙酸酯、3-甲基-4-甲氧基戊基乙酸酯、4-甲基-4-甲氧基戊基乙酸酯、丙二醇二乙酸酯、甲酸甲酯、甲酸乙酯、甲酸丁酯、甲酸丙酯、乳酸乙酯、乳酸丁酯、乳酸丙酯、碳酸乙酯、碳酸丙酯、碳酸丁酯、丙酮酸甲酯、丙酮酸乙酯、丙酮酸丙酯、丙酮酸丁酯、乙酰乙酸甲酯、乙酰乙酸乙酯、丙酸甲酯、丙酸乙酯、丙酸丙酯、丙酸异丙酯、2-羟基丙酸甲酯、2-羟基丙酸乙酯、甲基-3-甲氧基丙酸酯、乙基-3-甲氧基丙酸酯、乙基-3-乙氧基丙酸酯、丙基-3-甲氧基丙酸酯等作为例子。
抗蚀剂图案可以蚀刻除去而使图案反转。干蚀刻可以使用四氟甲烷、全氟环丁烷(C4F8)、全氟丙烷(C3F8)、三氟甲烷、一氧化碳、氩气、氧气、氮气、六氟化硫、二氟甲烷、三氟化氮和三氟化氯等气体进行。特别优选通过氧系的气体进行干蚀刻。
以如上述那样形成了图案的光致抗蚀剂膜(上层)作为保护膜,将在本发明的表面改性剂的下层所形成的硅硬掩模(中间层)通过蚀刻等除去而进行图案化,接着以由被图案化了的光致抗蚀剂膜(上层)和硅硬掩模(中间层)构成的膜作为保护膜,将旋涂碳或无定形碳等有机膜(下层)除去而进行图案化。最后,以被图案化了的硅硬掩模(中间层)和上述有机膜(下层)作为保护膜,进行半导体基板的加工。
此外,在基板上未形成上述有机膜的情况下,以由被图案化了的光致抗蚀剂和上述有机膜(中间层)构成的膜作为保护膜,进行半导体基板的加工。
在光致抗蚀剂膜被图案化后,首先,将光致抗蚀剂膜被除去了的部分的硅硬掩模(中间层)通过干蚀刻除去,使上述有机膜(下层)露出。硅硬掩模的干蚀刻可以使用四氟甲烷(CF4)、全氟环丁烷(C4F8)、全氟丙烷(C3F8)、三氟甲烷、一氧化碳、氩气、氧气、氮气、六氟化硫、二氟甲烷、三氟化氮和三氟化氯、氯气、三氯硼烷和二氯硼烷等气体。硅硬掩模的干蚀刻优选使用卤素系气体。对于采用卤素系气体的干蚀刻,基本上由有机物质构成的光致抗蚀剂膜、上述有机膜不易被除去。与此相对,包含大量硅原子的硅硬掩模通过卤素系气体被迅速除去。因此,可以抑制伴随硅硬掩模的干蚀刻的光致抗蚀剂的膜厚的减少。进而,其结果,能够以薄膜使用光致抗蚀剂。
硅硬掩模的干蚀刻优选采用氟系气体,作为氟系气体,可举出例如,四氟甲烷(CF4)、全氟环丁烷(C4F8)、全氟丙烷(C3F8)、三氟甲烷、和二氟甲烷(CH2F2)等。
然后,以由被图案化了的光致抗蚀剂膜和硅硬掩模构成的膜作为保护膜进行有机下层膜的除去。上述有机膜(下层)优选通过采用氧系气体的干蚀刻进行。原因是包含大量硅原子的硅硬掩模在采用氧系气体的干蚀刻时不易被除去。
此外,也可以将抗蚀剂图案除去,通过本发明涉及的表面改性剂所包含的平均组成式(1)所示的化合物、其水解物、或其水解缩合物而形成反向图案(反转图案)。
实施例
以下参照实施例等的同时进一步详细地说明本发明,但本发明不限定于下述方案。
〔涂布液的调制〕
将式-1~式-22所记载的含Si的单体或式23所记载的含有Si的聚合物(Mw=2300)以表1所记载的比例溶解于溶剂,获得了调制例1-23的调制液。
Figure BDA0002719885430000291
Figure BDA0002719885430000301
Figure BDA0002719885430000311
Figure BDA0002719885430000321
——Si(OEt)3(式-21)
Figure BDA0002719885430000331
在表1中,丙二醇单甲基醚乙酸酯简写为PGMEA,丙二醇单乙基醚简写为PGEE,丙二醇单甲基醚简写为PGME,超纯水简写为DIW。此外,各成分的含有比例以质量份表示。
[表1]
表1
Figure BDA0002719885430000341
接下来,如表2那样对各调制例添加pH调节剂和固化催化剂而获得了涂布液1-23。pH调节剂使用了马来酸,固化催化剂使用了下述式-24所示的物质。各成分的含有比例以质量份表示。
Figure BDA0002719885430000342
[表2]
表2
Figure BDA0002719885430000351
以下显示使用了本申请发明的涂布液的评价结果。
〔基板表面附着〕
对Bare-Si晶片涂布涂布液1-23。具体而言,使用CLEANTRACK(注册商标)ACT8(東京エレクトロン),将涂布液1-23各自1ml涂布于晶片,以1500rpm旋转涂布60秒后,在110℃下烧成。测定形成了涂布液1-23的各个涂布膜的Bare-Si基板的膜厚,从而评价了材料对基板表面的附着。材料膜厚使用Ellipso式膜厚测定装置RE-3100(SCREEN)进行了测定。此外,作为比较例1,以Bare-Si晶片上的自然氧化膜的膜厚作为比较进行了测定。将测定结果记载于下述表3。
[表3]
表3
Figure BDA0002719885430000361
〔基板表面改性〕
对Bare-Si和SiON(50nm)分别涂布涂布液1-23。具体而言,使用CLEANTRACK(注册商标)ACT8(東京エレクトロン),将涂布液1-23各自1ml涂布于晶片,以1500rpm旋转涂布60秒后,在110℃下烧成。对形成了涂布液1-23的各个涂布膜的Bare-Si基板,测定了水的接触角。水接触角的测定在恒温恒湿环境(23℃±2℃,45%RH±5%)中,使用全自动接触角计DM-701(协和界面科学(株)制),液量3μl,着液后5秒静止后测定。将测定结果记载于下述表4。
[表4]
表4
基板 涂布膜 水接触角(°)
实施例24 Bare-Si 涂布液1 19
实施例25 Bare-Si 涂布液2 12
实施例26 Bare-Si 涂布液3 22
实施例27 Bare-Si 涂布液4 38
实施例28 Bare-Si 涂布液5 11
实施例29 Bare-Si 涂布液6 17
实施例30 Bare-Si 涂布液7 18
实施例31 Bare-Si 涂布液8 15
实施例32 Bare-Si 涂布液9 21
实施例33 Bare-Si 涂布液10 22
实施例34 Bare-Si 涂布液11 42
实施例35 Bare-Si 涂布液12 21
实施例36 Bare-Si 涂布液13 28
实施例37 Bare-Si 涂布液14 39
实施例38 Bare-Si 涂布液15 25
实施例39 Bare-Si 涂布液16 62
实施例40 Bare-Si 涂布液17 22
实施例41 Bare-Si 涂布液18 14
实施例42 Bare-Si 涂布液19 50
实施例43 Bare-Si 涂布液20 56
实施例44 Bare-Si 涂布液21 28
实施例45 Bare-Si 涂布液22 30
实施例46 Bare-Si 涂布液23 64
实施例47 SiON 涂布液16 50
比较例2 Bare-Si 16
比较例3 SiON 22
〔EUV图案形成〕
对SiON(50nm)涂布涂布液16,使形成了涂布液16的膜的晶片上形成了光致抗蚀剂。光致抗蚀剂使用了JSR制的EUV-PR(EUV-光致抗蚀剂)。实施了使用了EUV曝光机的图案形成评价。使用NXE3300(ASML制)进行曝光,通过SEM(CG4100,HITACHI制)进行了观察。将评价结果示于表5中。在表5中,在SEM观察中光致抗蚀剂发生图案倒塌的情况记载为图案倒塌,将光致抗蚀剂不发生图案倒塌,而形成了目标图案的情况记载为良好。此外,表中的比较例4为对SiON晶片在100℃、60秒的条件下实施了HMDS处理后实施了使用了EUV曝光机的图案形成的结果。
[表5]
表5
图案尺寸(nm) 基板处理 烧成温度(°) 图案形成结果
实施例48 16 涂布液16 110 良好 图1
实施例49 16 涂布液16 240 良好 图2
比较例4 16 HMDS - 图案倒塌 图3
〔EB图案形成〕
对SiON(50nm)涂布涂布液19和20,使形成了涂布液19和20的膜的晶片上形成光致抗蚀剂层。光致抗蚀剂使用了TOK制的EUV-PR。使用EB描绘机ELS-G130(ェリオニクス制)进行描绘,通过SEM(CG4100,HITACHI制)进行观察。将评价结果示于表6中。在表6中,在SEM观察中光致抗蚀剂发生图案倒塌的情况记载为图案倒塌,将形成了目标图案的情况设为良好。此外表中的比较例5为对SiON晶片在100℃、60秒的条件下实施了HMDS处理后实施了使用EUV曝光机的图案形成的结果。
[表6]
表6
图案尺寸(nm) 基板处理 烧成温度(°) 图案形成结果
实施例50 19 涂布液19 110 良好 图4
实施例51 19 涂布液20 110 良好 图5
比较例5 25 HMDS - 图案倒塌 图6
产业可利用性
通过采用硅烷偶联剂的晶片表面的改性来改善光致抗蚀剂的密合性,改善尖端光刻工艺中的光致抗蚀剂分辨率。此外,硅烷偶联剂的膜厚与以往的下层膜相比薄,因此具有不易发生蚀刻工序中的侧蚀等蚀刻不良这样的优点。

Claims (9)

1.一种抗蚀剂图案用表面改性剂,是在基板上形成0.10μm以下的抗蚀剂图案前涂布于基板来增强基板与抗蚀剂图案的密合的抗蚀剂图案用表面改性剂,其特征在于,其包含:
下述平均组成式(1)所示的化合物、
下述平均组成式(1)所示的化合物的水解物、和
下述平均组成式(1)所示的化合物的水解缩合物
中的至少1种,
R1 aR2 b(OX)cSiO(4-a-b-c)/2 (1)
式中,R1为通式:-(CH2)nY所示的一价有机基,
Y表示氢原子、乙酰氧基、γ-丁内酯基、可以被卤原子取代的C1~C6甲醇基、降冰片烯基、甲苯甲酰基、C1~C3烷氧基苯基、可以被卤原子或C1~C3烷氧基甲硅烷基取代的C6~C30芳基、可以被氧原子中断的C1~C4烷基、苯基磺酰胺基、可以被C1~C3烷基或C2~C5烯基取代的来源于环状酰胺的一价基团、可以被C1~C3烷基或C2~C5烯基取代的来源于环状酰亚胺的一价基团、可以被C1~C3烷基或C2~C5烯基取代的C3~C6环状烯基、苯基砜基、对甲苯基磺酰基、对甲苯磺酰基或者下述式(1-1)或(1-2)所示的一价基团,
Figure FDA0002719885420000011
n为0~4的整数,
R2为C1~4的一价烃基,
X表示氢原子或C1~4的一价烃基,
a为1~2的数,
b为0~1的数,
c为0~2的数,
a+b+c≤4。
2.根据权利要求1所述的表面改性剂,其特征在于,R1为乙酰氧基、γ-丁内酯基、二(三氟甲基)羟基甲基、环己烯基、甲苯甲酰基、C1~C3烷氧基苯基、五氟苯基、菲基、C1~C3烷氧基甲硅烷基苯基、苯基磺酰胺基、以及下述式(1-1)、(1-2)或(1-3)所示的一价基团中的任一者,
Figure FDA0002719885420000021
3.根据权利要求1或2所述的表面改性剂,所述基板为金属或无机系防反射膜基板。
4.根据权利要求1~3中任一项所述的表面改性剂,所述基板包含Si、SiN、SiON、TiSi、TiN或可以蒸镀有Cr的玻璃。
5.一种叠层基板,在基板上依次叠层了权利要求1~4中任一项所述的表面改性剂、和抗蚀剂图案。
6.根据权利要求5所述的叠层基板,在所述基板上进一步具有硅硬掩模层。
7.一种图案形成方法,其特征在于,将权利要求1~4中任一项所述的表面改性剂涂布在基板上,进行了烘烤后,涂布光致抗蚀剂组合物,进行图案形成。
8.根据权利要求7所述的图案形成方法,所述图案形成包含利用ArF、EUV或EB进行曝光的工序。
9.一种半导体装置的制造方法,其包含下述工序:将权利要求1~4中任一项所述的表面改性剂涂布在基板上,进行了烘烤后,涂布光致抗蚀剂组合物,进行图案形成,然后对基板进行蚀刻。
CN201980025380.5A 2018-04-13 2019-04-09 半导体基板用底涂剂及图案形成方法 Pending CN112041746A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2018-077668 2018-04-13
JP2018077668 2018-04-13
PCT/JP2019/015411 WO2019198700A1 (ja) 2018-04-13 2019-04-09 半導体基板用プライマーおよびパターン形成方法

Publications (1)

Publication Number Publication Date
CN112041746A true CN112041746A (zh) 2020-12-04

Family

ID=68164170

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980025380.5A Pending CN112041746A (zh) 2018-04-13 2019-04-09 半导体基板用底涂剂及图案形成方法

Country Status (6)

Country Link
US (1) US20210124266A1 (zh)
JP (2) JPWO2019198700A1 (zh)
KR (1) KR20200143675A (zh)
CN (1) CN112041746A (zh)
TW (1) TW202004348A (zh)
WO (1) WO2019198700A1 (zh)

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080032508A1 (en) * 2006-08-07 2008-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method and Material For Forming A Double Exposure Lithography Pattern
US20140288260A1 (en) * 2011-06-14 2014-09-25 Silecs Oy Organometallic Monomers and high Refractive index Polymers derived therefrom
CN104246614A (zh) * 2012-04-23 2014-12-24 日产化学工业株式会社 含有添加剂的含硅极紫外抗蚀剂下层膜形成用组合物
US20160008844A1 (en) * 2014-07-08 2016-01-14 Shin-Etsu Chemical Co., Ltd. Process for forming multi-layer film and patterning process
US20160096978A1 (en) * 2014-10-03 2016-04-07 Shin-Etsu Chemical Co., Ltd. Composition for forming a coating type bpsg film, substrate, and patterning process
JP2017068049A (ja) * 2015-09-30 2017-04-06 Jsr株式会社 多層レジストプロセス用シリコン含有膜形成組成物及びパターン形成方法
CN107209460A (zh) * 2015-01-30 2017-09-26 日产化学工业株式会社 包含具有碳酸酯骨架的水解性硅烷的光刻用抗蚀剂下层膜形成用组合物

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050214674A1 (en) 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
JP6163770B2 (ja) * 2012-03-07 2017-07-19 Jsr株式会社 レジスト下層膜形成用組成物及びパターン形成方法
TWI613206B (zh) * 2012-10-11 2018-02-01 國立大學法人富山大學 光分解性材料、基板及其圖型形成方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080032508A1 (en) * 2006-08-07 2008-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method and Material For Forming A Double Exposure Lithography Pattern
US20140288260A1 (en) * 2011-06-14 2014-09-25 Silecs Oy Organometallic Monomers and high Refractive index Polymers derived therefrom
CN104246614A (zh) * 2012-04-23 2014-12-24 日产化学工业株式会社 含有添加剂的含硅极紫外抗蚀剂下层膜形成用组合物
US20160008844A1 (en) * 2014-07-08 2016-01-14 Shin-Etsu Chemical Co., Ltd. Process for forming multi-layer film and patterning process
US20160096978A1 (en) * 2014-10-03 2016-04-07 Shin-Etsu Chemical Co., Ltd. Composition for forming a coating type bpsg film, substrate, and patterning process
CN107209460A (zh) * 2015-01-30 2017-09-26 日产化学工业株式会社 包含具有碳酸酯骨架的水解性硅烷的光刻用抗蚀剂下层膜形成用组合物
JP2017068049A (ja) * 2015-09-30 2017-04-06 Jsr株式会社 多層レジストプロセス用シリコン含有膜形成組成物及びパターン形成方法

Also Published As

Publication number Publication date
JPWO2019198700A1 (ja) 2021-04-30
JP2023052183A (ja) 2023-04-11
WO2019198700A1 (ja) 2019-10-17
US20210124266A1 (en) 2021-04-29
TW202004348A (zh) 2020-01-16
KR20200143675A (ko) 2020-12-24

Similar Documents

Publication Publication Date Title
KR101655251B1 (ko) 환상 아미노기를 갖는 실리콘 함유 레지스트 하층막 형성 조성물
TWI590002B (zh) 含有磺酸鎓鹽的含矽euv阻劑下層膜形成組成物
TWI723956B (zh) 具有含有脂肪族多環結構之有機基之含矽阻劑下層膜形成組成物
KR102426414B1 (ko) Soc 패턴 상에서의 패턴반전을 위한 피복용 조성물
TWI608302B (zh) 使用溶劑顯影用含矽阻劑底層膜形成組成物之半導體裝置之製造方法
TWI713461B (zh) 具有鹵化磺醯基烷基之含有矽之光阻下層膜形成組成物
TWI681019B (zh) 包含具有含鹵素的羧酸醯胺基之水解性矽烷之微影蝕刻用光阻底層膜形成組成物
JP6065230B2 (ja) ケイ素含有euvレジスト下層膜形成組成物
KR20170086467A (ko) 습식제거가 가능한 실리콘함유 레지스트 하층막 형성 조성물
TWI818900B (zh) 圖型反轉用之被覆組成物
KR20180118636A (ko) 실리콘 함유 조성물을 이용한 반도체 기판의 평탄화방법
WO2020138092A1 (ja) 水素ガスを用いた前処理によるレジスト下層膜のエッチング耐性を向上する方法
TW202026340A (zh) 矽烷
KR20180116287A (ko) 실리콘 함유 패턴반전용 피복제
KR20200026872A (ko) 알칼리성 현상액 가용성 실리콘함유 레지스트 하층막 형성 조성물
CN115362216A (zh) 膜形成用组合物
CN112041746A (zh) 半导体基板用底涂剂及图案形成方法
WO2020203852A1 (ja) レジストパターンメタル化プロセス用組成物

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination