CN111834187A - 半导体处理装置和利用静电放电(esd)防止层的方法 - Google Patents

半导体处理装置和利用静电放电(esd)防止层的方法 Download PDF

Info

Publication number
CN111834187A
CN111834187A CN202010051533.8A CN202010051533A CN111834187A CN 111834187 A CN111834187 A CN 111834187A CN 202010051533 A CN202010051533 A CN 202010051533A CN 111834187 A CN111834187 A CN 111834187A
Authority
CN
China
Prior art keywords
wafer
semiconductor
processing apparatus
esd
semiconductor processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202010051533.8A
Other languages
English (en)
Other versions
CN111834187B (zh
Inventor
洪蔡豪
柯柄成
林子扬
刘芳瑜
吴承翰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN111834187A publication Critical patent/CN111834187A/zh
Application granted granted Critical
Publication of CN111834187B publication Critical patent/CN111834187B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/026Means for avoiding or neutralising unwanted electrical charges on tube components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67396Closed carriers characterised by the presence of antistatic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05FSTATIC ELECTRICITY; NATURALLY-OCCURRING ELECTRICITY
    • H05F1/00Preventing the formation of electrostatic charges
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K9/00Screening of apparatus or components against electric or magnetic fields
    • H05K9/0067Devices for protecting against damage from electrostatic discharge

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Robotics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

提供了半导体处理装置和方法,其中,静电放电(ESD)防止层用于防止或减少在半导体晶圆和装置的一个或多个组件之间发生的ESD事件。在一些实施例中,一种半导体处理装置包括晶圆处理结构,晶圆处理结构配置为在半导体晶圆的处理期间支撑半导体晶圆。装置还包括位于晶圆处理结构上的ESD防止层。ESD防止层包括第一材料和第二材料,并且第二材料的电导率大于第一材料的电导率。本发明的实施例还涉及利用静电放电(ESD)防止层的方法。

Description

半导体处理装置和利用静电放电(ESD)防止层的方法
技术领域
本发明的实施例涉及半导体处理装置和利用静电放电(ESD)防止层的方法。
背景技术
在半导体器件的制造中执行各种工艺。在半导体器件的制造期间,在各种不同的处理工具或装置中处理半导体晶圆。在许多这样的半导体处理装置中,在晶圆的处理期间会产生静电荷。静电或静电荷通常是指材料内或表面上的电荷不平衡。电子的这种不平衡会产生可影响其他物体的电场。静电放电(ESD)是由高静电场引起的快速、自发的静电荷转移,并且可以表现为两个物体在不同静电势下的火花。
静电放电会改变半导体器件的电特性,这可能会使器件退化或破坏。静电放电还会破坏电子系统(诸如可以包括在半导体制造或处理装置中的电子系统)的正常运行,从而导致设备失灵或故障。
随着电子器件变得越来越快并且电路变得越来越小,这种电子器件中对ESD的敏感性增加。因此,ESD可能会对产量、制造成本、产品质量、产品可靠性和盈利能力产生负面影响。
发明内容
本发明的实施例提供了一种半导体处理装置,包括:晶圆处理结构,所述晶圆处理结构配置为在所述半导体处理装置中的半导体晶圆的处理期间支撑所述半导体晶圆;以及静电放电(ESD)防止层,位于所述晶圆处理结构上,所述静电放电防止层包括第一材料和第二材料,并且所述第二材料的电导率大于所述第一材料的电导率。
本发明的另一实施例提供了一种半导体处理装置,包括:装载/卸载端口,配置为接收承载半导体晶圆的前开式统集盒(FOUP);第一机器人晶圆处理结构,配置为在所述半导体处理装置内传送所述半导体晶圆;工艺室,包括配置为支撑所述半导体晶圆的第一晶圆台;以及至少一个静电放电(ESD)防止层,包括第一材料和第二材料,所述第二材料的电导率大于所述第一材料的电导率,其中,所述至少一个静电放电防止层提供在所述前开式统集盒、所述装载/卸载端口、所述第一机器人晶圆处理结构或所述第一晶圆台中的至少一个上。
在又一个实施例中,提供了一种利用静电放电(ESD)防止层的方法,包括:通过半导体处理装置的装载端口接收前开式统集盒(FOUP)中的半导体晶圆;通过第一机器人晶圆处理结构将所述半导体晶圆转移到所述半导体处理装置的第一工艺室;在所述第一工艺室中处理所述半导体晶圆,所述第一工艺室包括配置为支撑所述半导体晶圆的第一晶圆台;以及在将所述半导体晶圆返回到所述前开式统集盒之前,由所述半导体晶圆接触包括第一材料和第二材料的至少一个静电放电(ESD)防止层,并且所述第二材料的电导率大于所述第一材料的电导率,所述至少一个静电放电防止层设置在所述前开式统集盒、所述第一机器人晶圆处理结构或所述第一晶圆台中的至少一个上。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该强调,根据工业中的标准实践,各个部件未按比例绘制并且仅用于说明的目的。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1是示出根据一些实施例的半导体处理装置的示意图。
图2是示出根据一些实施例的静电放电(ESD)防止层的进一步细节的截面图。
图3A是示出根据一些实施例的半导体晶圆传送盒的正视图。
图3B是示出图3A所示的半导体晶圆传送盒的侧视图。
图4是示意性地示出根据一些实施例的半导体处理装置的顶视图。
图5是示意性地示出根据一些实施例的半导体处理装置的顶视图。
图6是示意性地示出根据一些实施例的半导体处理装置的顶视图。
图7是示意性地示出根据一些实施例的半导体处理装置的顶视图。
图8是示意性示出根据一些实施例的半导体处理装置的框图。
图9是示出根据一些实施例的半导体处理方法的流程图。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征不同的实施例或实例。下面描述了组件和布置的具体实施例或实例以简化本发明。当然这些仅是实例而不旨在限制。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可以在各个实例中重复参考数字和/或字母。该重复是为了简单和清楚的目的,并且其本身不指示讨论的各个实施例和/或配置之间的关系。
此外,为了便于描述,本文中可以使用诸如“在…下方”、“在…下面”、“下部”、“在…上面”、“上部”等的间隔关系术语,以描述如图中所示的一个元件或部件与另一元件或部件的关系。除了图中所示的方位外,间隔关系术语旨在包括器件在使用或操作工艺中的不同方位。装置可以以其它方式定位(旋转90度或在其它方位),并且在本文中使用的间隔关系描述符可以同样地作相应地解释。
由半导体制造中的静电荷引起的问题可导致所得半导体器件的质量和成品率降低。半导体制造或处理环境中的ESD会损坏制造或处理环境中的半导体晶圆、光掩模和其他组件。ESD还可能产生不想要的电信号(例如,电磁干扰),该电信号会干扰半导体处理装置或设备的操作。
本文提供的实施例包括静电放电(ESD)防止层和有助于例如在任何半导体处理装置中的半导体晶圆的处理期间防止或减少ESD的方法。在一些实施例中,ESD防止层可以是复合膜,该复合膜包括电绝缘的第一材料和导电的第二材料。ESD防止层可以施加到在处理期间接触半导体晶圆的半导体处理装置的任何组件或部分。例如,ESD防止层可以施加到晶圆台、机械臂、装载/卸载端口等。
图1是示出根据本发明的一个或多个实施例的半导体处理装置100的示意图。图1所示的半导体处理装置100可以是例如与等离子体有关的半导体处理工具,诸如等离子体蚀刻工具或等离子体沉积工具;然而,本发明的实施例不限于此。在各个实施例中,半导体处理装置100可以是在半导体器件的处理中使用的任何装置或处理工具。
半导体处理装置100包括晶圆台102,在诸如蚀刻或沉积工艺的半导体制造工艺期间,半导体晶圆104被定位在晶圆台102上。晶圆104可以是半导体晶圆,在一些实施例中,晶圆104可以包括位于晶圆104的前侧(例如,如图1所示的上侧)上的电部件。在一些实施例中,晶圆104可以是单晶硅(Si)晶圆、非晶Si晶圆、砷化镓(GaAs)晶圆或任何其他半导体晶圆。
在半导体处理装置100是等离子体蚀刻工具的示例中,半导体处理装置100可以配置为生成期望气体混合物的高速等离子体流(例如,带电离子)并引导等离子体流至晶圆104。等离子体在室温下从被蚀刻的材料(例如,晶圆104的表面)的元素与由等离子体生成的反应性物质之间的化学反应生成挥发性蚀刻产物。基于等离子体的类型和晶圆104上的材料的类型,等离子体的带电粒子与晶圆104的材料的碰撞将使该材料离开原位,从而有效地将该材料从晶圆104的表面去除。可以根据本文描述的原理使用其他类型的等离子体蚀刻。
在半导体处理装置100是等离子体沉积工具的示例中,半导体处理装置100可以配置为执行等离子体沉积工艺。这种工艺的一个示例是等离子体增强化学气相沉积(PECVD)工艺。传统的化学气相沉积(CVD)工艺包括将衬底(例如晶圆104)暴露于挥发性前驱气体,该挥发性前驱气体与衬底反应以将材料沉积到衬底上。PECVD工艺使用等离子体以通过提高反应速率来增强CVD工艺。
另一个与等离子体相关的沉积工艺包括物理气相沉积(PVD)工艺,也可以称为溅射。PVD工艺涉及用等离子体轰击目标材料,这会导致一些目标材料以蒸气的形式溅射掉,并沉积在诸如晶圆104的衬底上。
晶圆台102位于半导体处理装置100的工艺室120内。工艺室120可以至少部分地由壳体122围绕。例如,工艺室120可以被封闭在壳体122内。
在各个实施例中,取决于由半导体处理装置100实施的半导体工艺的类型,附加组件可以位于工艺室120内。例如,在一些实施例中,一个或多个电极位于工艺室120内并配置为产生电磁场,该电磁场由注入到工艺室120中的前驱气体产生等离子体。在这样的实施例中,下部电极可以位于半导体晶圆104下方,而上部电极可以位于半导体晶圆104之上。
在一些实施例中,例如,在半导体处理装置100实施PVD工艺的实施例中,目标材料可以位于工艺室120内并且位于半导体晶圆104上方,从而可以将目标材料的溅射沉积到晶圆104上。
工艺室120可以包括用于接收或排出流体的一个或多个流体入口或出口。例如,工艺室120可以与气体源流体连通,气体源将各种前驱气体提供给工艺室120,以用于由半导体处理装置100执行的各种制造工艺。在一些实施例中,工艺室120可以是真空室,并且工艺室120可以与负压或真空流体连通,以从室中清除气体。
如本文先前所述,半导体处理装置100可以是在半导体器件的处理中使用的任何装置或处理工具。类似地,工艺室120是可以在半导体处理装置100中使用的工艺室的示例;然而,本文提供的实施例不限于图1所示的工艺室120。在各个实施例中,可以在各种半导体处理工具中的任何一种中使用的任何组件或部件可以位于工艺室120内或与工艺室120相关联。
在一些实施例中,半导体处理装置100包括控制系统130,该控制系统130控制半导体处理装置100的一个或多个操作。例如,在各个实施例中,控制系统130可以控制等离子体的生成、工艺室120的流体的流入或流出、施加到工艺室120内的一个或多个电极的电能、工艺室120的加热或其他环境参数等。在一些实施例中,控制系统130可以控制一个或多个机器人机构的操作,诸如机械臂或可移动机器人结构,可以对其进行操纵以将半导体晶圆104移至晶圆台102上或移出晶圆台102。
控制系统130可以包括处理器132和计算机可读存储器134。存储器134可以包括机器可读指令,该机器可读指令在由处理器132执行时使控制系统130向半导体处理装置100的一个或多个组件(诸如等离子体生成组件、气体入口或出口机构、一个或多个电极等)发送命令信号。
晶圆台102配置为在半导体处理装置100中的晶圆104的处理期间支撑半导体晶圆104。晶圆台102可以是在处理期间支撑、保持或固定晶圆104的任何结构。提供晶圆台102作为晶圆处理结构的示例。本文所指的晶圆处理结构可以是半导体制造装置或工具的任何结构,其在晶圆的处理期间支撑、固定、移动或以其他方式处理半导体晶圆。因此,本发明的实施例不限于将晶圆台102用作晶圆处理结构。而是,如将在本文中进一步详细描述的,可以结合本发明的各个实施例来利用任何晶圆处理结构。
在一些实施例中,半导体晶圆104可以通过可移动的晶圆处理结构放置在晶圆台102上,诸如机械臂或能够将半导体晶圆104固定和运输到晶圆台102的任何可移动机器人结构。在工艺室120中处理半导体晶圆104之后,可移动晶圆处理结构(诸如机械臂)可以类似地移出半导体晶圆104,并将晶圆104运输到另一个位置,诸如缓冲室、另一个工艺室、转移室等。
静电放电(ESD)防止层106设置在晶圆处理结构的表面上。例如,如图1所示,ESD防止层106可以位于晶圆台102的表面上,诸如晶圆台102的上表面上。在半导体处理装置100的使用中,ESD防止层层106防止在半导体晶圆104和晶圆处理结构(例如,晶圆台102)之间发生ESD。例如,ESD防止层106可以是静电耗散的并且可以耗散可能积聚在半导体晶圆104和晶圆台102之间的静电荷,例如,由于将晶圆104与晶圆台102分隔开,工艺室120内带电粒子的存在或静电荷的任何其他原因。通过防止半导体晶圆104和晶圆台102之间的静电放电,可以保护形成在半导体晶圆104上的各种电气部件或组件,从而减少缺陷并提高由晶圆104制造的半导体器件的产量。
ESD防止层106可以覆盖晶圆台102的整个表面。例如,如图1所示,ESD防止层106可以覆盖晶圆台102的整个上表面,使得晶圆台102的上表面的任何部分都没有被暴露。这防止了半导体晶圆104和晶圆台102的表面之间的直接接触。在一些实施例中,ESD防止层106可以仅覆盖晶圆台102的表面的一部分。例如,ESD防止层106可以仅提供在晶圆台102的支撑晶圆104的部分上,诸如晶圆台102的上表面的中央部分。在一些实施例中,ESD防止层106可以完全覆盖晶圆台102的表面(例如,上表面),并且可以进一步在晶圆台102的相邻表面(例如,侧表面)上方延伸。
图2是示出根据本发明的一个或多个实施例的ESD防止层106的进一步细节的截面图。
如图2所示,ESD防止层106可以是包括第一材料110和第二材料112的复合层。第一材料110可以是基础材料,而第二材料112可以被混合、注入或嵌入在第一材料110内。在一些实施例中,第二材料112的电导率大于第一材料110的电导率。这增加了复合ESD防止层106的整体电导率,从而提高了其耗散静电荷的能力。
在一些实施例中,第一材料110是聚合物(例如,塑料)或树脂材料中的至少一种。塑料和树脂材料可以特别适合在半导体应用期间接触或支撑半导体晶圆,因为它们具有或可以使其具有适合承受半导体处理装置内可能遇到的各种条件的性能。例如,塑料和树脂材料通常可以承受反复的温度波动(例如,高和低的工艺温度)而不会发生破坏。而且,塑料和树脂材料通常具有当半导体晶圆位于这种塑料和树脂材料上时防止或抵抗半导体晶圆滑动的特性。例如,塑料和树脂材料可以具有摩擦系数,该摩擦系数适合于防止半导体晶圆在半导体处理装置100中可能经历的正常工艺条件下的滑动。塑料和树脂材料通常是电绝缘体,因此,第一材料110可以是电绝缘材料,诸如塑料或树脂材料。第二材料112是导电材料,导电材料增加了ESD防止层106的总体电导率,使得ESD防止层106具有适合于耗散静电荷的电导率。
在一些实施例中,第一材料110可以包括聚醚醚酮(PEEK)、聚四氟乙烯(PTFE)或聚酰亚胺(PI)中的一种或多种。
在一些实施例中,第二材料112包括导电颗粒,该导电颗粒可以是任何导电的颗粒,或者导电颗粒的电导率大于第一材料110(例如,塑料或树脂材料)的电导率。例如,第二材料112可以是混合到第一材料110中的金属颗粒。在各个实施例中,第二材料112可以是钛(Ti)颗粒、铝(Al)颗粒、铜(Cu)颗粒、金(Au)颗粒、银(Ag)颗粒或任何其他金属颗粒或导电合金的任何颗粒。在一些实施例中,第二材料112可以是任何金属化合物颗粒,包括例如氮化钛(TiN)、硅铜(SiCu)、铝硅(AlSi)或电导率大于第一材料110的电导率的任何其他金属化合物颗粒。
在一些实施例中,第二材料112可以是具有小于约10μm的尺寸的导电颗粒。在一些实施例中,第二材料112的导电颗粒可以具有小于约1μm的尺寸。在一些实施例中,第二材料112的导电颗粒可具有小于约500nm的尺寸。导电颗粒的尺寸可以指导电颗粒的任何尺寸,包括例如厚度、高度、宽度、直径等。在一些实施例中,第二材料112可以是直径小于约1μm的微球或纳米球。
第二材料112可以具有小于第一材料110的厚度111的尺寸。例如,在一些实施例中,第一材料110具有等于或大于1μm的厚度,而第二材料112具有小于1μm的尺寸(例如,厚度、高度、宽度、直径等)。
ESD防止层106可以是第一材料110和第二材料112的均匀混合物。例如,第二材料112(例如,导电颗粒)可以均匀地分布在整个第一材料110中。ESD防止层106可以是通过ESD防止层106的任何给定样本的具有相同的比例的第一材料110和第二材料112。
在各个实施例中,第一材料110和第二材料112的相对量可以不同。在一些实施例中,ESD防止层106内的第一材料110的体积与第二材料112的体积的比率可以小于1:1。也就是说,在一些实施例中,第二材料112可以比第一材料110在ESD防止层106内占据更大的总体积。在一些实施例中,第二材料112可以占据ESD防止层106的总体积的大于50%,并且在一些实施例中,第二材料112可以占据ESD防止层106的总体积的约60%到约80%。在一些实施例中,第二材料112可以占据ESD防止层的总体积的约75%。ESD防止层106内的第二材料112的相对量(例如,体积)是确定ESD防止层106的整体电导率以及ESD防止层106适当地耗散静电荷而在半导体晶圆104和晶圆处理结构(诸如晶圆台102)之间不发生ESD事件的能力的重要因素。
在一些实施例中,第二材料112在ESD防止层106内具有约75%的分布(例如,第二材料112占据ESD防止层106的总体积的约75%)并且具有小于约500nm的尺寸(例如,导电颗粒的厚度(例如,导电颗粒的厚度、高度、宽度、直径等),并且具有比第一材料110更大的电导率。
在一些实施例中,第一材料在20℃处的电导率小于约1×10-20S/m,并且在一些实施例中,第一材料在20℃处的电导率小于约1×10-23S/m。在一些实施例中,第二材料在20℃处的电导率大于约1×103S/m,并且在一些实施例中,第二材料的电导率在20℃处大于约1×105S/m。
在一些实施例中,ESD防止层106具有在约1×104Ω-cm与约1×1011Ω-cm之间的总体积电阻率,这适合于耗散ESD防止层106中的静电荷。
除了第一材料110和第二材料112之外,ESD防止层106可以包括一种或多种材料。例如,如图2所示,ESD防止层106可以包括一种或多种添加剂114,例如,添加剂114可以是添加到ESD防止层106中并改变ESD防止层106的一个或多个性质或特性的任何材料。在一些实施例中,添加剂114可以改变ESD防止层106的耐久性、刚度、熔点、密度、粘度、热膨胀系数(CTE)、热导率、耐化学性、耐紫外线(UV)或耐极紫外线(EUV)、耐高温、耐激光脉冲、耐等离子体、耐蚀刻剂、耐酸、耐碱的任何性质或任何其他性质或特性。添加剂114包括与ESD防止层106的第一材料110和第二材料112不同的材料。
在各个实施例中,ESD防止层106中包括的添加剂114的类型、浓度、材料或任何其他性质可以不同,例如取决于在诸如晶圆台102的晶圆处理结构上提供ESD防止层106的环境中实施的半导体工艺的类型。
例如,在一些实施例中,添加剂114可以是抗酸添加剂,抗酸添加剂增加了ESD防止层106对酸的抵抗力。
在一些实施例中,添加剂114可以是抗碱添加剂,抗碱添加剂增加了ESD防止层106对碱的抵抗力。在一些实施例中,第一材料110本身可以具有抗酸或抗碱性质。例如,在一些实施例中,第一材料110可以包括聚醚醚酮(PEEK)、聚四氟乙烯(PTFE)或聚酰亚胺(PI)中的一种或多种,它们具有耐化学性,诸如抗酸和抗碱性,它们可以保持在高温下。
在一些实施例中,添加剂114可以是抗EUV添加剂,抗EUV添加剂增加了ESD防止层106对极端紫外线的抵抗力。
在各个实施例中,添加剂114可以是或包括任何金属或金属氧化物粉末、聚合物粉末或诸如微球的微粒。
在一些实施例中,添加剂114包括微球,微球可以是任何通常为球形的微粒,并且可以具有小于约1mm的尺寸(例如直径)。在一些实施例中,添加剂114包括尺寸小于约1μm的微粒。
在一些实施例中,添加剂114包括粉末,诸如包括可以添加到ESD防止层106中以增加热导率的氮化铝(AlN)、氧化镁(MgO)、氮化硼(BN)、金刚石或铜中的一种或多种的粉末。
添加剂114可以均匀地分布在整个ESD防止层106中(例如,添加剂114可以与第一材料110和第二材料112均匀地混合),并且ESD防止层106可以是包括第一材料110、第二材料112和添加剂114的均匀混合物。在其他实施例中,添加剂114不均匀地分布在ESD防止层106中。例如,在一些实施例中,添加剂114可以以浓度梯度分散在第一材料110中,例如具有沿着ESD防止层106的厚度111增大或减小的浓度。在一些实施例中,添加剂114可以在ESD防止层106的暴露的表面(例如,上表面)附近具有最高的浓度,使得ESD防止层106在暴露于提供ESD防止层106的环境(例如,工艺室120)内遇到的各种工艺条件(例如,蚀刻剂、酸、碱、EUV光等)的ESD防止层106的区域中具有最大的添加剂性能(例如,抗酸、抗碱、抗EUV等)。
图3A是示出根据本发明的一个或多个实施例的半导体晶圆传送盒200的前视图,并且图3B是图3A的晶圆传送盒200的侧视图。
晶圆传送盒200可以是承载一个或多个半导体晶圆的任何结构,包括例如任何晶圆载体等。在一些实施例中,晶圆传送盒200可以是前开式统集盒(FOUP),在本文中可以称为FOUP 200。
FOUP 200可以是例如在半导体器件制造环境中的处理工具之间的晶圆204的传送期间可以载有半导体晶圆204的气密容器。FOUP 200保持晶圆204,并且在FOUP 200中存储或运输晶圆204期间保护晶圆204免受污染物(例如化学污染物、微粒、气体等)的污染。
FOUP 200包括由上面板210、下面板212、侧面板214、后面板216和前面板218形成的壳体。前面板218可以相对于FOUP的其余部分可移动,例如,前面板218可以是可以打开和关闭的门,从而允许将多个晶圆204放置在FOUP 200内或从FOUP 200中取出。前面板218可以沿任何旋转或平移轴打开和关闭。例如,在一些实施例中,前面板218可以向外打开(例如,通过相对于下面板212向前旋转),或者前面板218可以通过向上或向下滑动而打开。在一些实施例中,可以通过从FOUP 200完全移除前面板218来打开前面板218。
FOUP 200包括多个晶圆支撑结构230,每个晶圆支撑结构可以连接至侧面板214中的一个。晶圆支撑结构230可以以轨道或槽的形式提供,该轨道或槽在前面板218和后面板216之间沿着侧面板214延伸。相应的成对的晶圆支撑结构230配置为支撑半导体晶圆204。例如,侧面板214中的一个上的晶圆支撑结构230可以支撑晶圆204的一个边缘部分,而相对的侧面板214上的相对的晶圆支撑结构230可以支撑晶圆204的相对边缘部分,并且晶圆204的中心部分可以暴露在相应的一对晶圆支撑结构230之间的开口中。
FOUP 200的晶圆支撑结构230是晶圆处理结构的另一个示例,可以在晶圆处理结构上提供ESD保护层以防止半导体晶圆和另一个对象之间发生ESD事件。如本文先前所述,晶圆处理结构可以是半导体制造装置或工具的任何结构,其在晶圆的处理期间支撑、固定、移动或以其他方式处理半导体晶圆。如图3A和图3B所示,可以在FOUP 200的晶圆支撑结构230上提供ESD防止层206。
图3A和图3B所示的ESD防止层206可以与本文先前描述的ESD防止层106基本相同或相同。例如,ESD防止层206可以包括第一材料110、第二材料112,并且在一些实施例中,ESD防止层206可以包括一种或多种添加剂114。
可以例如通过半导体处理装置的装载/卸载端口将半导体晶圆204装载到FOUP200中。ESD防止层206可以耗散可能由于例如使晶圆204与晶圆支撑结构230分隔开而在半导体晶圆204和FOUP 200之间累积的静电荷。
尽管在图3A和图3B中将ESD防止层206示出为提供在晶圆支撑结构230上,但是在各个实施例中,可以在FOUP 200的任何表面上(例如,包括前面板218的表面或后面板216的内表面上)提供ESD防止层206。
FOUP 200可以包括在各个实施例中或在各种半导体制造环境中期望的任何各种附加部件。例如,FOUP 200可以包括多个分隔件220,分隔件220可以为每个半导体晶圆204形成气密密封的分隔件。例如,每个晶圆204可以位于相应的下部分隔件220和上部分隔件220之间,使得每个单独的晶圆204被一对分隔件220围绕,该分隔件将晶圆204气密密封在FOUP 200内。因此,分隔件220可以保护半导体晶圆204免受污染物的污染,该污染物可以在随后的工艺步骤中当晶圆204被移除并且返回到FOUP200时转移回到晶圆204的表面。FOUP200可以另外包括一个或多个喷嘴,用于向或从FOUP 200内部传输气体。
图4是示意性地示出根据本发明的一个或多个实施例的半导体处理装置400的顶视图。提供图4中所示的半导体处理装置400作为示例,以示出根据本发明的各个实施例的可以包括在半导体处理装置内并且可以涂覆有ESD防止层的另外的晶圆支撑结构。
半导体处理装置400包括多个装载/卸载端口412(在本文中可以称为装载端口)。装载端口412可以配置为接收多个半导体晶圆。例如,在一些实施例中,装载端口412配置为接收承载多个晶圆的FOUP,诸如图3A中所示的FOUP 200。
装载端口412可以包括一个或多个晶圆处理结构。例如,每个装载端口412可以包括一个或多个表面、组件或部件,它们在由半导体处理装置400处理晶圆期间支撑、固定、移动或以其他方式处理半导体晶圆。更具体第,装载端口412可以包括配置为接收和支撑或以其他方式处理来自FOUP的半导体晶圆的结构。
如图4所示,可以在一个或多个装载端口412的晶圆处理结构上提供ESD防止层406。在图4所示的示例中,可以在每个装载端口412中提供ESD防止层406;然而,本发明的实施例不限于此。ESD防止层406可以提供在装载端口412内的任何晶圆处理结构上。如图4所示,可以沿着装载端口412的侧面提供ESD防止层406。例如,装载端口412可以包括类似于FOUP 200的侧轨或槽,它们从装载端口412的前部纵向延伸到后部。每个这样的侧轨或槽可以涂覆有ESD防止层406。在使用期间,由装载端口412接收的半导体晶圆将仅接触ESD防止层406,该ESD防止层406覆盖装载端口412中的晶圆处理结构。
图4所示的ESD防止层406可以与本文先前描述的ESD防止层106或ESD防止层206基本相同或相同。例如,ESD防止层406可以包括第一材料110、第二材料112,并且在一些实施例中,ESD防止层406可以包括一种或多种添加剂114。
装载端口412可以邻近一个或多个装载锁414定位。如本领域中所理解的,装载锁414配置为在制造期间保持多个晶圆。装载锁414定位为邻近工艺室416,该工艺室可以是用于在半导体晶圆的处理期间执行任何已知工艺的任何工艺室。在图4所示的示例中,工艺室416包括晶圆定向器418和晶圆台420。
半导体处理装置400可以包括邻近装载端口412定位的机械臂428,用于在装载端口412和装载锁414之间传送半导体晶圆。机械臂428可以是半导体处理领域已知的任何机械晶圆处理结构。机械臂428可以是配置为将半导体晶圆从装载端口412转移到装载锁414的任何机器人结构。机械臂428可以具有一个或多个关节或枢轴点,臂可以围绕该关节或枢轴点旋转。在一些实施例中,机械臂428可沿平移轴移动,例如,机械臂428可沿×轴、y轴或z轴中的任何一个移动。如图4所示,机械臂428的一个或多个晶圆处理表面可以涂覆有ESD防止层406,该ESD防止层406可以包括本文先前描述的ESD防止层的任何特征。
类似地,装载锁414可以包括一个或多个晶圆处理结构,晶圆处理结构可以是配置为接收半导体晶圆的一个或多个表面。装载锁414的一个或多个晶圆处理结构可以涂覆有ESD防止层406,该ESD防止层406可以包括本文先前描述的ESD防止层的任何特征。因此,当由机械臂428转移到装载锁414时,半导体晶圆可以接触ESD防止层406。
工艺室416可以配置为执行多种已知的半导体处理中的任何一种,包括例如物理气相沉积、干蚀刻、湿蚀刻、清洁、抛光、热处理、离子注入、光刻、化学气相沉积、计量或者可以在半导体处理装置中执行的任何其他工艺。
在图4所示的示例中,工艺室416包括晶圆定向器418和晶圆台420,它们中的每一个都可以涂覆有ESD防止层406。也就是说,晶圆定向器418和晶圆台420中的每一个都可以是包括在半导体处理装置中的晶圆处理结构的示例,该晶圆处理结构可以涂覆有ESD防止层,该ESD防止层防止或减少了在半导体晶圆与晶圆定向器418或晶圆台420之间发生的ESD事件。
晶圆定向器418可以包括半导体处理领域内的已知晶圆定向器418的任何特征。晶圆定向器418可以配置为接收半导体晶圆并且以期望的定向来定向晶圆,例如,以用于在工艺室416内的晶圆的处理。
晶圆台420可以包括半导体处理领域中已知的晶圆台的任何特征。在一些实施例中,晶圆台420可以与图1中所示和本文先前描述的晶圆台102基本相同或相同。
半导体处理装置400可以进一步包括位于工艺室416内部的机械臂430、432,机械臂430、432可以配置为在装载锁414和工艺室416之间或者向可以包括在装置400中的任何其他室转移晶圆。机械臂430、423可以与本文先前描述的机械臂428基本相同,并且每个机械臂430、432可以涂覆有ESD防止层406。
图5是示意性地示出根据本发明的一个或多个实施例的半导体处理装置500的顶视图。半导体处理装置500可以是例如配置为执行物理气相沉积(PVD)的处理工具。
装置500包括多个装载/卸载端口512,它们可以与本文先前关于图4描述的装载端口412基本相同或相同。在一些实施例中,装载端口512可以包括一个或多个晶圆处理结构,晶圆处理结构支撑、固定、移动或以其他方式处理半导体晶圆,并且可以涂覆有ESD防止层。
半导体处理装置500可以包括机器人晶圆处理结构528,该机器人晶圆处理结构528邻近装载端口512定位,用于在装载端口512和装载锁514之间传送半导体晶圆。机器人晶圆处理结构528可以是半导体处理领域任何已知的机器人晶圆处理结构。如图5所示,机器人晶圆处理结构528可沿平移轴移动,例如,机器人晶圆处理结构528可沿×轴中的任何一个移动,如图所示。在一些实施例中,机器人晶圆处理结构528可以能够沿着y轴或z轴移动。在一些实施例中,机器人晶圆处理结构528可以是机械臂,并且可以具有臂可以围绕其旋转的一个或多个关节或枢轴点。机器人晶圆处理结构528的一个或多个晶圆处理表面可以涂覆有ESD防止层,该ESD防止层可以包括本文先前描述的ESD防止层的任何特征。
装载锁514可以基本上类似于本文先前相对于图4描述的装载锁414。装载锁514可以包括一个或多个晶圆处理结构,晶圆处理结构可以是配置为接收半导体晶圆(例如,来自FOUP)的一个或多个表面。装载锁514的晶圆处理结构(例如,晶圆台520)可以由ESD防止层506涂覆,该ESD防止层506可以包括本文先前描述的ESD防止层的任何特征。因此,当被机器人晶圆处理结构528转移到装载锁514时,半导体晶圆可以接触ESD防止层506。
半导体处理装置500可以包括一个或多个缓冲室540a、540b,每个缓冲室容纳至少一个晶圆处理机械手530。如图5所示,装置500可以包括两个缓冲室540a、540b,并且每个缓冲室540a、540b可以包括两个晶圆处理机械手530。每个缓冲室540a、540b定位成邻近相应的多个工艺室516a-516h。例如,第一缓冲室540a可邻近第一、第二、第三和第四工艺室516a-516d定位,而第二缓冲室540b可邻近第五、第六、第七和第八工艺室516e-516d定位。一个或多个转移室522可以位于第一缓冲室540a和第二缓冲室540b之间,如图5所示。
晶圆处理机械手530可以是任何机器人晶圆处理结构,诸如机械臂或者是配置为处理半导体晶圆的任何其他机器人结构。如图5所示,每个晶圆处理机械手530的至少一部分可以由ESD防止层506涂覆,该ESD防止层506可以包括本文先前描述的ESD防止层的任何特征。
每个工艺室516a-516h可以配置为执行多种已知半导体工艺中的任何工艺,包括例如物理气相沉积、干蚀刻、湿蚀刻、清洁、抛光、热处理、离子注入、光刻、化学气相沉积、计量或可以在半导体处理装置中执行的任何其他工艺。为此,每个工艺室516a-516h可以包括至少一个晶圆处理结构。例如,每个工艺室516a-516h可以包括晶圆台520,如图5所示。每个工艺室516a-516h中的晶圆台520可以包括半导体处理领域中已知的晶圆台的任何特征。在一些实施例中,晶圆台520可以与图1所示和本文先前描述的晶圆台102基本相同或相同。晶圆台520中的一个或多个可以由ESD防止层506涂覆。工艺室516a-516h可以包括半导体处理领域内可以包括在已知工艺室中的任何附加组件。例如,在一些实施例中,一个或多个工艺室516a-516h可以包括机械臂或其他机器人晶圆处理结构、压板、气体入口和出口、电极、加热器或已知的任何其他结构或特征。
转移室522可类似地包括晶圆台520,晶圆台520可由ESD防止层506涂覆。
取决于期望实施的半导体工艺,可以以各种不同的配置来利用半导体处理装置500。在示例实施例中,半导体处理装置500是用于物理气相沉积(PVD)的装置。在半导体晶圆的处理期间,晶圆可沿着各种路径前进至装置500的不同组件。
在示例中,半导体晶圆可以在FOUP中被装载到装置500中,并且该晶圆可以接触例如涂覆在FOUP的晶圆处理结构上的ESD防止层,例如,如本文先前关于图3A和图3B所描述的。晶圆可从FOUP被装载到装载端口512中的一个中,并且晶圆可接触涂覆在装载端口512的晶圆处理结构上的ESD防止层。然后晶圆可被机器人晶圆处理结构528从装载端口512中的一个接收,并且机器人晶圆处理结构528可以将半导体晶圆转移到装载锁514中的一个。晶圆可以接触涂覆在机器人晶圆处理结构528上的ESD防止层,并且可以转移到涂覆在装载锁514的晶圆台520上的ESD防止层。
第一缓冲室540a中的晶圆处理机械手530可以从装载锁514接收晶圆,并将晶圆传送到第一工艺室516a或第二工艺室516b。再次,这里晶圆处理机械手530可以使晶圆与涂覆在晶圆处理机械手530的一个或多个表面上的ESD防止层接触,并且可以将晶圆转移到第一或第二工艺室516a、516b中的晶圆台520,使得晶圆被放置为与晶圆台520上的ESD防止层接触。
在第一工艺室516a或第二工艺室516b中对晶圆进行处理之后,晶圆可以通过晶圆处理机械手530返回到第一缓冲室540a,并且可以由第一缓冲室540a的晶圆处理机械手530转移到第三或第四工艺室516c、516d中的一个。晶圆处理机械手530可以使晶圆与晶圆处理机械手530的一个或多个表面上的ESD防止层接触,并且可以将晶圆转移到第三或第四工艺室516c、516d中的晶圆台520,使得将晶圆放置成与晶圆台520上的ESD防止层接触。
一旦在第三或第四工艺室516c、516d中处理了晶圆,晶圆就可以通过晶圆处理机器人530返回到第一缓冲室540a。从这里,晶圆可以被转移到第一至第四工艺室516a-516d中的任何一个,例如,用于晶圆的进一步处理。在一些实施例中,可以通过第一缓冲室540a的晶圆处理机械手530将晶圆转移到转移室522中的一个,使得晶圆可以在第五至第八工艺室516e-516h中的一个中进行处理。
在示例中,晶圆处理机械手530可以使晶圆与晶圆处理机械手530的一个或多个表面上的ESD防止层接触,并且可以将晶圆传送到转移室522中的一个中的晶圆台520,使得晶圆被放置成与转移室522中的晶圆台520上的ESD防止层506接触。
第二缓冲室540b中的晶圆处理机械手530可以从转移室522接收晶圆,并将晶圆转移到第五工艺室516e或第六工艺室516f。如同第一缓冲室540a一样,第二缓冲室540b的晶圆处理机械手530可以使晶圆与涂覆在晶圆处理机械手530的一个或多个表面上的ESD防止层接触,并且可以将晶圆转移到第五或第六工艺室516e、516f中的晶圆台520,使得晶圆被放置成与晶圆台520上的ESD防止层接触。
在第五工艺室516e或第六工艺室516f中处理晶圆之后,晶圆可以通过晶圆处理机器人530返回到第二缓冲室540b,并且可以由第二缓冲室540b的晶圆处理机器人530转移到第七或第八工艺室516g、516h中的一个。晶圆处理机械手530可以使晶圆与晶圆处理机械手530的一个或多个表面上的ESD防止层接触,并且可以将晶圆转移到第七或第八第二工艺室516g、516h中的晶圆台520,使得晶圆被放置成与晶圆台520上的ESD防止层接触。
一旦在第七或第八工艺室516g、516h中完成晶圆的处理,晶圆就可以返回到第二缓冲室540b、转移室522中的一个、第一缓冲室540a、装载锁514中的一个、机器人晶圆处理结构528,并且最后晶圆可以通过机器人晶圆处理结构528转移回到装载端口512中的一个(例如,回到装载端口512中的FOUP)。在半导体处理装置500中对晶圆进行处理期间,晶圆至少接触ESD防止层506一次。例如,晶圆可以接触任何装载端口512、机器人晶圆处理结构528、装载锁514、第一或第二缓冲室540a、540b、转移室522或任何工艺室516a-516h上的ESD防止层506。在一些实施例中,半导体晶圆与装置500的这些组件中的每一个中的ESD防止层506接触,使得半导体晶圆在由装置500实施的各种工艺中与ESD防止层506接触。
图6是示意性示出根据本发明的一个或多个实施例的半导体处理装置600的顶视图。半导体处理装置600可以是例如配置为执行蚀刻工艺的处理工具。在各个实施例中,半导体处理装置600可以配置为执行干蚀刻、多晶硅蚀刻、氧化物蚀刻、氮化硅(SiN)蚀刻、金属蚀刻或半导体处理领域的技术人员已知的任何其他蚀刻工艺。
半导体处理装置600包括多个装载/卸载端口612,装载/卸载端口612可以与本文先前描述的装载端口412或512基本相同或相同。在一些实施例中,装载端口612可以包括一个或多个晶圆处理结构,晶圆处理结构支撑、固定、移动或以其他方式处理半导体晶圆,并且可以由ESD防止层涂覆。
半导体处理装置600可以包括机器人晶圆处理结构628,该机器人晶圆处理结构628邻近装载端口612定位,用于在装载端口612和多个缓冲室640中的任何缓冲室之间转移半导体晶圆。机器人晶圆处理结构628可以是半导体处理领域中已知的任何机器人晶圆处理结构。如图6所示,机器人晶圆处理结构628可沿平移轴移动,例如,机器人晶圆处理结构628可沿×轴中的任何一个移动,如图所示。在一些实施例中,机器人晶圆处理结构628可以能够沿y轴或z轴移动。在一些实施例中,机器人晶圆处理结构628可以是机械臂,并且可以具有臂可以围绕其旋转的一个或多个关节或枢轴点。机器人晶圆处理结构628的一个或多个晶圆处理表面可以被ESD防止层606涂覆,该ESD防止层606可以包括本文先前描述的ESD防止层的任何特征。
半导体处理装置600可以包括晶圆定向器618,晶圆定向器618可以从机器人晶圆处理结构628接收晶圆,并且可以将晶圆定向成期望的定向,例如,用于在多个工艺室616中的任何一个内处理晶圆。晶圆定向器618可以涂覆有ESD防止层606,以使晶圆在定位在晶圆定向器618上时与ESD防止层606接触。
缓冲室640可以类似于本文先前关于图5描述的缓冲室540a、540b。在一些实施例中,每个缓冲室640包括晶圆台620和晶圆转移结构630。缓冲室640的晶圆台620可以基本上与本文先前描述的任何晶圆台相似或相同。
每个缓冲室640中的晶圆台620可以包括半导体处理领域中已知的晶圆台的任何特征。在一些实施例中,晶圆台620可以与图1所示和本文先前描述的晶圆台102基本相同或相同。晶圆台620中的一个或多个可以由ESD防止层606涂覆。
每个缓冲室640邻近多个工艺室616中的一个定位。每个缓冲室640中的晶圆转移结构630可以是任何机械晶圆处理结构,诸如机械臂或配置为处理半导体晶圆的任何其他机器人结构。如图6所示,每个晶圆转移结构630的至少一部分可以由ESD防止层606涂覆,该ESD防止层606可以包括本文先前描述的ESD防止层的任何特征。
每个工艺室616可以配置为执行各种已知的半导体工艺中的任何一个。每个工艺室616可以包括至少一个晶圆处理结构。例如,如图6所示,每个工艺室616可以包括晶圆台620。每个工艺室616中的晶圆台620可以包括半导体处理领域中已知的晶圆台的任何特征。在一些实施例中,晶圆台620可以与图1所示和本文先前描述的晶圆台102基本相同或相同。晶圆台620中的一个或多个可以由ESD防止层606涂覆。工艺室616可以包括半导体处理领域内的已知工艺室中可以包括的任何附加组件。例如,在一些实施例中,一个或多个工艺室616可以包括机械臂或其他机器人晶圆处理结构、压板、气体入口和出口、电极、加热器或任何其他已知的结构或部件。
取决于期望实施的半导体工艺,可以以各种不同的配置来利用半导体处理装置600。在示例实施例中,半导体处理装置600是用于执行蚀刻工艺的装置,蚀刻工艺可以包括例如干蚀刻、多晶硅蚀刻、氧化物蚀刻、氮化硅(SiN)蚀刻、金属蚀刻或如半导体处理领域的技术人员所公知的任何其他蚀刻工艺。
在半导体晶圆的处理期间,晶圆可沿着各种路径行进至半导体处理装置600的不同组件。在示例中,半导体晶圆可通过FOUP装载到半导体处理装置600中,并且晶圆可接触ESD防止层,该ESD防止层例如如本文先前关于图3A和图3B所描述的涂覆在FOUP的晶圆处理结构上。晶圆可以从FOUP被装载到装载端口612中的一个中,并且晶圆可以接触涂覆在装载端口612的晶圆处理结构上的ESD防止层。然后,晶圆可以通过机器人晶圆处理结构628从装载端口612中的一个接收,并且机器人晶圆处理结构628可以将半导体晶圆转移到晶圆定向器618。晶圆可以接触涂覆在机器人晶圆处理晶圆628上的ESD防止层606,并且可以被转移到涂覆在晶圆定向器618上的ESD防止层606。
晶圆定向器618可以例如通过将晶圆旋转到期望的定向来将晶圆定向到期望的定向以进行处理。一旦晶圆被正确地定向,机器人晶圆处理结构628就可以从晶圆定向器618接收晶圆并且将晶圆转移到多个缓冲室640中的一个。例如,机器人晶圆处理结构628可以将晶圆传输转移到缓冲室640中的一个中的晶圆台620,并且可以将晶圆定位在涂覆在晶圆台620上的ESD防止层606上,使得晶圆接触晶圆台620的ESD防止层606。
缓冲室640中的晶圆转移结构630可以从晶圆台620接收晶圆,并且可以将晶圆转移到工艺室616中的一个。晶圆转移结构630(例如可以是机械臂等)可以使晶圆与涂覆在晶圆转移结构630的一个或多个表面上的ESD防止层606接触,并且可以将晶圆转移到工艺室616中的晶圆台620,使得将晶圆放置为与工艺室616中的晶圆台620上的ESD防止层606接触。
在工艺室616中对晶圆进行处理之后,晶圆可以通过晶圆转移结构630返回到邻近的缓冲室640,并且可以通过缓冲室640的晶圆转移结构630转移到缓冲室640内的晶圆台620。然后,机器人晶圆处理结构628可以从缓冲室640内的晶圆台620接收晶圆,并且可以将晶圆转移到其他缓冲室640中的一个以在多个工艺室616中的任何一个中进行进一步处理,或机器人晶圆处理结构628可以将晶圆返回到装载端口612中的一个(例如,返回到装载端口612中的一个中的FOUP)。
在半导体处理装置600中对晶圆进行处理期间,晶圆至少接触ESD防止层606一次。例如,晶圆可以接触任何装载端口612、机器人晶圆处理结构628、晶圆定向器618、任何缓冲室640中的晶圆台620、任何缓冲室640中的晶圆转移结构630或任何工艺室616中的晶圆台620上的ESD防止层606。在一些实施例中,半导体处理装置600的这些组件的每个中的ESD防止层606,使得半导体晶圆在由半导体处理装置600实施的各种工艺中接触ESD防止层606。
图7是示意性示出根据本发明的一个或多个实施例的半导体处理装置700的顶视图。半导体处理装置700可以是例如配置为执行化学气相沉积(CVD)工艺的处理工具。在各个实施例中,半导体处理装置700可以配置为执行任何CVD工艺,包括例如用于沉积氮化硅(SixNy)、氧化硅(SixOy)、无氮抗反射涂(NFARC)层、硬黑金刚石(HBD)、碳化硅(SiC)、未掺杂硅酸盐玻璃(USG)、磷硅酸盐玻璃(PSG)的工艺或半导体处理领域的技术人员已知的任何其他沉积工艺。
半导体处理装置700包括多个装载/卸载端口712,装载/卸载端口712可以与本文先前描述的任何装载端口基本相同或相同。在一些实施例中,装载端口712可以包括一个或多个晶圆处理结构,晶圆处理结构支撑、固定、移动或以其他方式处理半导体晶圆,并且可以由ESD防止层涂覆。
半导体处理装置700可以包括邻近装载端口712定位的一个或多个机械臂728,用于在装载端口712和装载锁714之间转移半导体晶圆。机械臂728可以是在半导体处理领域中已知的任何机器人晶圆处理结构。如图7所示,半导体处理装置700可以包括两个机械臂728,每个机械臂728可以是配置为将半导体晶圆从装载端口712转移到装载锁714的任何机器人结构。机械臂728可以具有臂可以绕其旋转的一个或多个关节或枢轴点。在一些实施例中,机械臂728可沿平移轴移动,例如,机械臂728可沿×轴、y轴或z轴中的任何一个移动。如图7所示,机械臂728的一个或多个晶圆处理表面可以被ESD防止层706涂覆,该ESD防止层706可以包括本文先前描述的ESD防止层的任何特征。
晶圆台720可以被定位成邻近机械臂728,并且可以定位在装载端口712和装载锁714之间。计量器件725定位在晶圆台720上方或附近。计量器件725可以是能够测量晶圆的一种或多种特性的任何器件,包括例如任何光学成像设备、椭圆仪、干涉仪、轮廓仪、磁力仪、反射仪、扫描电子显微镜(SEM)、透射电子显微镜(TEM)、缺陷扫描仪等。在各个实施例中,计量器件725可以配置为测量晶圆的任何性质,包括例如厚度、均匀性、折射率、覆盖度、缺陷或沉积或形成在晶圆上的一层或多层的任何其他性质。
晶圆台720或计量器件725的一个或多个晶圆处理表面可以由ESD防止层706涂覆,该ESD防止层706可以包括本文先前描述的ESD防止层的任何特征。
类似地,装载锁714可以包括一个或多个晶圆处理结构,晶圆处理结构可以是配置为接收半导体晶圆的晶圆台720。装载锁714的一个或多个晶圆台720可以由ESD防止层706涂覆,该ESD防止层706可以包括本文先前描述的ESD防止层的任何特征。因此,当由机械臂728转移到装载锁定装置714时,半导体晶圆可以接触装载锁714中的晶圆台720的ESD防止层706。
半导体处理装置700还可以包括缓冲室740,缓冲室740可以与本文先前描述的任何缓冲室相似或相同。在一些实施例中,缓冲室740包括晶圆台720和一个或多个机械臂730。例如,如图7所示,缓冲室740可以包括两个机械臂730。缓冲室740的晶圆台720可以基本上与本文先前描述的任何晶圆台相似或相同。类似地,缓冲室740的机械臂730可以与本文先前描述的任何机械臂或机器人晶圆处理结构基本相同。晶圆台720和缓冲室740的机械臂730可以由ESD防止层706涂覆,该ESD防止层706可以包括本文先前描述的ESD防止层的任何特征。
缓冲室740邻近多个工艺室716定位。每个工艺室716可以配置为执行多种已知半导体工艺中的任何一种。每个工艺室716可以包括至少一个晶圆处理结构。例如,如图7所示,每个工艺室716可以包括晶圆台720。每个工艺室716中的晶圆台720可以包括半导体处理领域中已知的晶圆台的任何特征。在一些实施例中,晶圆台720可以与图1所示和本文先前描述的晶圆台102基本相同或相同。晶圆台720中的一个或多个可以由ESD防止层706涂覆。工艺室716可以包括半导体处理领域内的已知工艺室中可以包括的任何附加组件。例如,在一些实施例中,一个或多个工艺室716可以包括机械臂或其他机器人晶圆处理结构、压板、气体入口和出口、电极、加热器或任何其他已知的结构或部件。
取决于期望实施的半导体工艺,可以以各种不同的配置来利用半导体处理装置700。在示例实施例中,半导体处理装置700是用于执行化学气相沉积(CVD)工艺的装置。
在半导体晶圆的处理期间,晶圆可以沿着各种路径前进至半导体处理装置700的不同组件。在示例中,可以以FOUP将半导体晶圆装载到半导体处理装置700中,并且晶圆可以接触ESD防止层,该ESD防止层例如如本文先前关于图3A和图3B所描述的涂覆在FOUP的晶圆处理结构上。晶圆可从FOUP被装载到装载端口712中的一个中,并且晶圆可接触涂覆在装载端口712的晶圆处理结构上的ESD防止层。然后,晶圆可以由机械臂728中的一个从装载端口712中的一个接收,并且机械臂728可以将半导体晶圆转移到装载锁714。晶圆可以接触涂覆在机械臂728上的ESD防止层706,并可以转移到涂覆在装载锁714中的晶圆台720上的ESD保护层706。
缓冲室740中的机械臂730中的一个可以从装载锁714中的晶圆台720接收晶圆,并且可以将晶圆转移到工艺室716中的一个。机械臂730可以使晶圆与涂覆在机械臂730的一个或多个表面上的ESD防止层接触,并且可以将晶圆转移到工艺室716中的晶圆台720,使得使晶圆放置为与工艺室716中的晶圆台720上的ESD防止层706接触。在一些实施例中,缓冲室740中的机械臂730可以首先将晶圆转移到缓冲室740内的晶圆台720,然后可以将晶圆从缓冲室740中的晶圆室720转移到工艺室716中的一个中的晶圆台720。
在工艺室716中对晶圆进行处理之后,晶圆可以通过机械臂730中的一个返回到缓冲室740,并且可以通过机械臂730中的一个转移到缓冲室740内的晶圆台720。机械臂730可以将晶圆从缓冲室740内的晶圆台720转移到一个或多个其他工艺室716,例如,用于晶圆的进一步处理。一旦在工艺室716中完成了晶圆的处理,就可以将晶圆返回至缓冲室740,并且机械臂730可以将晶圆返回至装载锁714。例如,缓冲室740中的机械臂730中的一个可以将处理后的晶圆转移到装载锁714内的晶圆台720中的一个。然后,机械臂728中的一个可以接收处理后的晶圆并将处理后的晶圆转移到与计量器件725相邻或位于计量器件725下方的晶圆台720,并且计量器件725可以测量处理后的晶圆的一个或多个参数,包括,例如,沉积或形成在晶圆上的一层或多层的厚度、均匀性、折射率、覆盖度、缺陷或任何其他属性。机械臂728中的一个然后可以将晶圆转移到装载端口712中的一个(例如,返回到装载端口712中的一个中的FOUP)。
在半导体处理装置700中对晶圆进行处理期间,晶圆至少与ESD防止层706接触一次。例如,晶圆可接触任何装载端口712、机械臂728、计量器件725、邻近计量器件725的晶圆台720、装载锁714中的晶圆台720、缓冲室740中的晶圆台720、缓冲室740中的机械臂730或任何工艺室716中的晶圆台720上的ESD防止层706。在一些实施例中,半导体晶圆与半导体处理装置700的这些组件的每个中的ESD防止层706接触,使得半导体晶圆在由半导体处理装置700实施的各种工艺中都与ESD防止层706接触。
图8是示意性示出根据本发明的一个或多个实施例的半导体处理装置800的框图。半导体处理装置800可以是例如配置为执行极紫外(EUV)光刻工艺的处理工具。在各个实施例中,半导体处理装置800可以配置为执行任何光刻工艺,包括例如半导体处理领域的技术人员已知的任何光刻工艺。半导体处理装置800可以包括各种处理工具和计量工具,它们耦合在一起并且配置为执行各种光刻工艺,包括涂覆、对准、曝光、烘烤、显影或任何光刻图案化工艺。
半导体处理装置800包括晶圆装载端口812,晶圆装载端口812可以与本文先前描述的任何装载端口基本相同或相同。在一些实施例中,装载端口812可以包括一个或多个晶圆处理结构814,晶圆处理结构814支撑、固定、移动或以其他方式处理半导体晶圆,并且可以由ESD防止层806涂覆。在一些实施例中,晶圆装载端口812配置为接收在FOUP中承载的半导体晶圆。
半导体处理装置800可以包括用于光刻胶涂覆的轨道810。轨道810可以是将光刻胶处理(例如,光刻胶涂覆)集成到一个工具中的任何处理工具。根据一些实施例,光刻胶处理可以包括例如涂覆、烘烤和显影。轨道810可以包括半导体处理领域的技术人员已知的任何组件,包括例如光刻胶涂覆组件、显影组件和烘烤组件。在一些实施例中,各种晶圆处理结构830中的任何一个都可以包括在轨道810中并且可以涂覆有ESD防止层806。轨道810中包括的晶圆处理结构830可以是支撑、固定、移动或以其他方式处理半导体晶圆的任何结构,并且可以是例如本文先前描述的任何机器人晶圆处理结构、晶圆台或其他晶圆处理结构。
在各个实施例中,一个或多个晶圆处理结构(诸如机器人晶圆处理结构)可以位于装载端口812和轨道810之间,并且可以配置为将半导体晶圆从装载端口812转移到轨道810。这样的晶圆处理结构可以由如本文所述的ESD防止层涂覆。
轨道810可以定位成邻近或以其他方式耦合到转移单元840,该转移单元840将半导体晶圆转移到曝光装置860。转移单元840可以包括半导体处理领域的技术人员已知的任何特征或功能。在一些实施例中,转移单元840可以包括一个或多个晶圆处理结构850,它可以涂覆有ESD防止层806。转移单元840中包括的晶圆处理结构850可以是支撑、固定、移动,或以其他方式处理半导体晶圆的任何结构,并且可以是例如本文先前描述的任何机器人晶圆处理结构、晶圆台或其他晶圆处理结构。
曝光装置860可以包括半导体处理领域的技术人员已知的任何特征或功能。在一些实施例中,曝光装置860可以包括一个或多个晶圆处理结构870,它可以涂覆有ESD防止层806。曝光装置860中包括的晶圆处理结构870可以是支撑、固定、移动或以其他方式处理半导体晶圆的任何结构,并且可以是例如本文先前描述的任何机器人晶圆处理结构、晶圆台或其他晶圆处理结构。
在一些实施例中,半导体处理装置800包括掩模盒装载/卸载端口880(在本文中可以称为掩模盒装载端口880)。掩模盒装载端口880在某些方面可以与本文先前描述的装载端口相似;然而,代替接收一个或多个半导体晶圆,掩模盒装载端口880配置为接收一个或多个掩模(例如,光掩模或中间掩模),该掩模可以例如提供在配置为插入掩模盒装载端口880的掩模盒内。掩模盒装载端口880可以包括一个或多个晶圆处理结构890,该晶圆处理结构890可涂覆有ESD防止层806。掩模盒装载端口880中包括的晶圆处理结构890可以是支撑、固定、移动或以其他方式处理半导体晶圆的任何结构,并且可以是例如本文之前描述的任何机器人晶圆处理结构、晶圆台或其他晶圆处理结构。在一些实施例中,掩模盒装载端口880中包括的晶圆处理结构890是掩模盒装载端口880内的配置为接收掩模的表面,并且涂覆有ESD防止层806。掩模盒装载端口880可以定位在转移单元840和曝光装置860之间并且耦合到转移单元840和曝光装置860。
在使用期间,可以将掩模从掩模盒装载端口880转移到曝光装置860。可以在曝光装置860中利用掩模来图案化例如通过转移单元840接收的半导体晶圆上的一层或多层。
在通过半导体处理装置800对半导体晶圆进行处理期间,晶圆可以沿着各种路径前进至半导体处理装置800的不同组件。在示例中,可以以FOUP将半导体晶圆装载到半导体处理装置800中,并且例如如本文先前关于图3A和图3B所描述的,晶圆可以接触涂覆在FOUP的晶圆处理结构上的ESD防止层。可以将晶圆从FOUP装载到装载端口812中,并且晶圆可以接触涂覆在装载端口812的晶圆处理结构814上的ESD防止层806。然后可以将晶圆从装载端口812转移到轨道810(例如,通过一个或多个机器人晶圆处理结构),以用于光刻胶涂覆。晶圆可以接触涂覆在轨道810中的一个或多个晶圆处理结构830上的ESD防止层806。
在轨道810中处理晶圆之后,晶圆可以转移到转移单元840(例如,通过一个或多个机器人晶圆处理结构),并且晶圆可以接触转移单元840内的一个或多个晶圆处理结构上的ESD防止层806。转移单元840可以将晶圆转移到曝光装置860。例如,转移单元840可以将晶圆转移到一个或多个晶圆处理结构870,诸如曝光装置860内的涂覆有防静电层806的晶圆台或机器人晶圆处理结构。
掩模可以由掩模盒装载端口880接收,并且可以接触涂覆在掩模盒装载端口880的一个或多个晶圆处理结构890上的ESD防止层806。掩模可从掩模盒装载端口880转移至曝光装置860。可以在曝光装置860中使用掩模,以例如图案化通过转移单元840接收的曝光装置860中的半导体晶圆上的一层或多层。
在曝光装置860中对晶圆进行处理之后,可以将晶圆返回到转移单元840、轨道810和装载端口812。
在半导体处理装置800中对晶圆进行处理期间,晶圆至少接触一次ESD防止层806。例如,晶圆可以接触装载端口812中的晶圆处理结构814、轨道810中的晶圆处理结构830、转移单元840中的晶圆处理结构850或曝光装置860中的晶圆处理结构870中的任一个上的ESD防止层806。在一些实施例中,半导体晶圆接触装置800的这些组件中的每个组件中的ESD防止层806,使得在由半导体处理装置800实施的各个工艺中,半导体晶圆与ESD保护层806接触。
此外,在半导体处理装置800中的掩模的处理期间,掩模可以接触至少一个ESD防止层806。例如,掩模可以接触掩模装载端口880中的晶圆处理结构890上的ESD防止层806或曝光装置860内的一个或多个掩模处理结构上的ESD防止层806。
尽管未示出,但是在本文提供的半导体处理装置的各个实施例中的任何实施例中,可以包括附加组件,包括例如至少一个处理器、非暂时性计算机可读存储器、通信模块、I/O器件、可选的显示器等,所有这些都可以通过系统总线互连。可由处理器执行以实现本文描述的方法的软件指令可以存储在系统存储器或某些其他计算机可读介质中,或者可以经由有线/无线方法从另一存储介质上载到这种存储器中。
图9是示出根据一个或多个实施例的半导体处理方法的流程图900。该方法可以由任何半导体处理装置实施,包括例如由本文关于图4至图8描述的半导体处理装置400、500、600、700或800中的一个或多个。
在902处,半导体处理装置的装载端口在前开式统集盒(FOUP)中接收半导体晶圆。装载端口可以是例如本文描述的任何半导体处理装置的任何装载端口。
在904处,第一机器人晶圆处理结构将半导体晶圆转移到半导体处理装置的第一工艺室。第一机器人晶圆处理结构可以是例如本文所述的任何机器人晶圆处理结构,包括例如机械臂等。机器人晶圆处理结构可以沿平移轴(例如x轴、y轴或z轴)移动,并且在一些实施例中,机器人晶圆处理结构可以是机械臂,并且可以具有臂可绕其旋转的一个或多个关节或枢轴点。
在906处,在第一工艺室中处理半导体晶圆。第一工艺室包括配置为支撑半导体晶圆的第一晶圆台。例如,第一晶圆台可以在第一工艺室中的处理期间支撑晶圆。第一晶圆台可以是例如本文描述的任何晶圆台。
在908处,半导体晶圆与设置在FOUP、第一机器人晶圆处理结构或第一晶圆台中的至少一个上的至少一个静电放电(ESD)防止层接触。至少一个ESD防止层包括第一材料110和第二材料112,并且第二材料112的电导率大于第一材料110的电导率。ESD防止层可以包括如本文所述的ESD防止层的任何特征。
在910处,将半导体晶圆返回到FOUP。
本发明的实施例提供了几个优点。例如,在一些实施例中,由于在晶圆的处理期间存在至少一次接触晶圆的ESD保护层,因此防止或减少了半导体晶圆与半导体处理装置内的一个或多个组件之间的ESD。在半导体器件的制造期间减少或消除ESD可以提高产量、质量、可靠性和盈利能力,因为可以减少由于ESD损坏引起的缺陷。
根据一个实施例,一种半导体处理装置包括晶圆处理结构和静电放电(ESD)防止层。晶圆处理结构配置为在半导体处理装置中的半导体晶圆的处理期间支撑半导体晶圆。ESD防止层包括第一材料和第二材料,并且第二材料的电导率大于第一材料的电导率。
在上述半导体处理装置中,其中,所述第一材料是聚合物或树脂材料中的至少一种。
在上述半导体处理装置中,其中,所述第二材料包括尺寸小于10μm的导电颗粒。
在上述半导体处理装置中,其中,所述第二材料包括尺寸小于1μm的导电颗粒。
在上述半导体处理装置中,其中,所述第一材料的厚度为至少1μm。
在上述半导体处理装置中,其中,所述第二材料包括颗粒尺寸小于所述第一材料的厚度的导电颗粒。
在上述半导体处理装置中,其中,所述静电放电防止层包括所述第一材料和所述第二材料的均匀混合物。
在上述半导体处理装置中,其中,所述第一材料占据所述静电放电防止层的总体积的60%至80%之间的体积。
在上述半导体处理装置中,其中,所述静电放电防止层还包括至少一种添加剂材料,所述至少一种添加剂材料包括抗酸材料、抗碱材料或抗极紫外线(EUV)材料中的至少一种。
根据另一个实施例,一种半导体处理装置包括装载/卸载端口,装载/卸载端口配置为接收承载半导体晶圆的前开式统集盒(FOUP)。第一机器人晶圆处理结构配置为在半导体处理装置内传送半导体晶圆。包括具有第一晶圆台的工艺室,该第一晶圆台配置为支撑晶圆。该半导体处理装置还包括至少一个静电放电(ESD)防止层,该静电放电防止层包括第一材料和第二材料。第二材料的电导率大于第一材料的电导率。至少一个静电放电防止层提供在前开式统集盒、装载/卸载端口、第一机器人晶圆处理结构或第一晶圆台中的至少一个上。
在上述半导体处理装置中,其中,所述第一材料包括聚合物或树脂材料中的至少一种,并且所述第二材料包括尺寸小于所述第一材料的厚度的导电颗粒。
在上述半导体处理装置中,其中,所述第一材料包括聚合物或树脂材料中的至少一种,并且所述第二材料包括尺寸小于所述第一材料的厚度的导电颗粒,其中,所述第一材料的厚度为至少1μm,并且所述第二材料的所述导电颗粒的尺寸小于500nm。
在上述半导体处理装置中,其中,所述至少一个静电放电防止层提供在所述前开式统集盒、所述装载/卸载端口、所述第一机器人晶圆处理结构和所述第一晶圆台的每个上。
在上述半导体处理装置中,还包括:缓冲室,邻近所述工艺室定位,所述缓冲室包括第二机器人晶圆处理结构,所述第二机器人晶圆处理结构配置为将所述半导体晶圆传送到所述工艺室;以及装载锁,定位在所述第一机器人晶圆处理结构和所述缓冲室之间,所述装载锁包括第二晶圆台,其中,所述至少一个静电放电防止层提供在所述第二机器人晶圆处理结构或所述第二晶圆台中的至少一个上。
在上述半导体处理装置中,还包括:装载锁,定位在所述装载/卸载端口和所述第一机器人晶圆处理结构之间,所述装载锁包括第二晶圆台和位于所述第二晶圆台上的第二静电放电防止层;第三晶圆台,定位在装载端口和所述装载锁之间,所述第三晶圆台至少部分地由第三静电放电防止层覆盖;以及计量器件,定位在所述第三晶圆台上方,所述计量器件配置为测量所述半导体晶圆的至少一个参数。
根据又一实施例,提供了一种方法,该方法包括通过半导体处理装置的装载端口接收前开式统集盒(FOUP)中的半导体晶圆。半导体晶圆通过第一机器人晶圆处理结构转移到半导体处理装置的第一工艺室。在第一工艺室中处理半导体晶圆,该第一工艺室包括配置为支撑半导体晶圆的第一晶圆台。半导体晶圆接触包括第一材料和第二材料的至少一个静电放电(ESD)防止层,并且第二材料的电导率大于第一材料的电导率。至少一个静电放电防止层设置在FOUP、第一机器人晶圆处理结构或第一晶圆台中的至少一个上。该方法还包括将半导体晶圆返回到FOUP。
在上述方法中,还包括:通过第二机器人晶圆处理结构将所述半导体晶圆从所述装载端口转移到所述半导体处理装置的装载锁,所述装载锁包括第二晶圆台和位于所述第二晶圆台上的第二静电放电防止层;以及在将所述半导体晶圆返回到所述前开式统集盒之前,由所述半导体晶圆接触所述第二静电放电防止层。
在上述方法中,还包括:通过第二机器人晶圆处理结构将所述半导体晶圆从所述装载端口转移到晶圆定向器,所述晶圆定向器的表面至少部分地由所述第二静电放电防止层覆盖;以及在将所述半导体晶圆返回到所述前开式统集盒之前,由所述半导体晶圆接触所述第二静电放电防止层。
在上述方法中,还包括:在所述第一工艺室中处理所述半导体晶圆之后,通过所述第一机器人晶圆处理结构将所述半导体晶圆从所述第一工艺室转移到装载锁,所述装载锁包括第二晶圆台和位于所述第二晶圆台上的第二静电放电防止层;通过第二机器人晶圆处理结构将所述半导体晶圆从所述装载锁转移到定位在所述装载端口和所述装载锁之间的第三晶圆台,所述第三晶圆台至少部分地由第三静电放电防止层覆盖;以及通过定位在所述第三晶圆台上方的计量器件测量所述半导体晶圆的至少一个参数。
在上述方法中,其中,所述半导体处理装置包括物理气相沉积(PVD)装置、蚀刻装置、化学气相沉积(CVD)装置或光刻装置中的至少一种。
上面概述了若干实施例的特征,使得本领域人员可以更好地理解本发明的方面。本领域人员应该理解,它们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其它工艺和结构。本领域技术人员也应该意识到,这种等同配置并且不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中它们可以做出多种变化、替换以及改变。
可以将上述各个实施例组合以提供其他实施例。可以根据以上详细描述对实施例进行这些和其他改变。通常,在以下权利要求书中,所使用的术语不应解释为将权利要求限制为说明书和权利要求书中公开的特定实施例,而应解释为包括所有可能的实施例以及要求这种保护的等同物的全部范围。因此,权利要求不受公开内容的限制。

Claims (10)

1.一种半导体处理装置,包括:
晶圆处理结构,所述晶圆处理结构配置为在所述半导体处理装置中的半导体晶圆的处理期间支撑所述半导体晶圆;以及
静电放电(ESD)防止层,位于所述晶圆处理结构上,所述静电放电防止层包括第一材料和第二材料,并且所述第二材料的电导率大于所述第一材料的电导率。
2.根据权利要求1所述的半导体处理装置,其中,所述第一材料是聚合物或树脂材料中的至少一种。
3.根据权利要求1所述的半导体处理装置,其中,所述第二材料包括尺寸小于10μm的导电颗粒。
4.根据权利要求1所述的半导体处理装置,其中,所述第二材料包括尺寸小于1μm的导电颗粒。
5.根据权利要求1所述的半导体处理装置,其中,所述第一材料的厚度为至少1μm。
6.根据权利要求1所述的半导体处理装置,其中,所述第二材料包括颗粒尺寸小于所述第一材料的厚度的导电颗粒。
7.根据权利要求1所述的半导体处理装置,其中,所述静电放电防止层包括所述第一材料和所述第二材料的均匀混合物。
8.根据权利要求1所述的半导体处理装置,其中,所述第一材料占据所述静电放电防止层的总体积的60%至80%之间的体积。
9.一种半导体处理装置,包括:
装载/卸载端口,配置为接收承载半导体晶圆的前开式统集盒(FOUP);
第一机器人晶圆处理结构,配置为在所述半导体处理装置内传送所述半导体晶圆;
工艺室,包括配置为支撑所述半导体晶圆的第一晶圆台;以及
至少一个静电放电(ESD)防止层,包括第一材料和第二材料,所述第二材料的电导率大于所述第一材料的电导率,
其中,所述至少一个静电放电防止层提供在所述前开式统集盒、所述装载/卸载端口、所述第一机器人晶圆处理结构或所述第一晶圆台中的至少一个上。
10.一种利用静电放电(ESD)防止层的方法,包括:
通过半导体处理装置的装载端口接收前开式统集盒(FOUP)中的半导体晶圆;
通过第一机器人晶圆处理结构将所述半导体晶圆转移到所述半导体处理装置的第一工艺室;
在所述第一工艺室中处理所述半导体晶圆,所述第一工艺室包括配置为支撑所述半导体晶圆的第一晶圆台;以及
在将所述半导体晶圆返回到所述前开式统集盒之前,由所述半导体晶圆接触包括第一材料和第二材料的至少一个静电放电(ESD)防止层,并且所述第二材料的电导率大于所述第一材料的电导率,所述至少一个静电放电防止层设置在所述前开式统集盒、所述第一机器人晶圆处理结构或所述第一晶圆台中的至少一个上。
CN202010051533.8A 2019-04-17 2020-01-17 半导体处理装置和利用静电放电(esd)防止层的方法 Active CN111834187B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962835365P 2019-04-17 2019-04-17
US62/835,365 2019-04-17
US16/559,089 2019-09-03
US16/559,089 US10950485B2 (en) 2019-04-17 2019-09-03 Semiconductor processing apparatus and method utilizing electrostatic discharge (ESD) prevention layer

Publications (2)

Publication Number Publication Date
CN111834187A true CN111834187A (zh) 2020-10-27
CN111834187B CN111834187B (zh) 2023-07-11

Family

ID=72830901

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010051533.8A Active CN111834187B (zh) 2019-04-17 2020-01-17 半导体处理装置和利用静电放电(esd)防止层的方法

Country Status (4)

Country Link
US (2) US10950485B2 (zh)
KR (1) KR20200122979A (zh)
CN (1) CN111834187B (zh)
TW (1) TWI730617B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102019125819A1 (de) 2019-04-17 2020-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterverarbeitungsvorrichtung und verfahren unter einsatz einer elektrostatischen entladungs-(esd)- verhinderungsschicht
US10950485B2 (en) * 2019-04-17 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing apparatus and method utilizing electrostatic discharge (ESD) prevention layer

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010010036A (ko) * 1999-07-15 2001-02-05 김영환 웨이퍼 표면의 잔류 전하 제거 방법
KR20010052267A (ko) * 1999-02-26 2001-06-25 야스이 쇼사꾸 수지 조성물 및 반송용 야구
US6560105B1 (en) * 2001-10-23 2003-05-06 Di/Dt, Inc. Composite low flow impedance voltage guard for electronic assemblies
WO2003046952A2 (en) * 2001-11-27 2003-06-05 Entegris, Inc Semiconductor component handling device having an electrostatic dissipating film
US20120211029A1 (en) * 2011-02-22 2012-08-23 Pandit Viraj S Load lock assembly and method for particle reduction
KR20130138882A (ko) * 2012-06-11 2013-12-20 (주)탑나노시스 탄소나노튜브 코팅막 및 상기 탄소나노튜브 코팅막을 형성하는 탄소나노튜브 용액 조성물
US20140057451A1 (en) * 2012-08-21 2014-02-27 Samsung Electronics Co., Ltd. Method of preventing charge accumulation in manufacture of semiconductor device
CN203631544U (zh) * 2013-12-09 2014-06-04 中芯国际集成电路制造(北京)有限公司 静电去除装置
US20150181683A1 (en) * 2013-12-20 2015-06-25 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN105047661A (zh) * 2014-04-24 2015-11-11 英飞凌科技德累斯顿有限责任公司 包括静电放电保护结构的半导体器件
TW201615406A (zh) * 2014-10-06 2016-05-01 應用材料股份有限公司 奈米碳管夾具的氟聚合物接觸層
CN107039308A (zh) * 2015-10-22 2017-08-11 朗姆研究公司 前开式环形盒
US20170358476A1 (en) * 2016-06-09 2017-12-14 Shinko Electric Industries Co., Ltd. Sintered body and electrostatic chuck
CN107546091A (zh) * 2016-06-28 2018-01-05 瑞萨电子株式会社 半导体器件的制造方法
CN107680955A (zh) * 2016-08-02 2018-02-09 中芯国际集成电路制造(北京)有限公司 静电放电保护器件、半导体装置及制造方法
TW201838027A (zh) * 2016-12-26 2018-10-16 日商東京威力科創股份有限公司 計測方法、除電方法及電漿處理裝置

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6270306B1 (en) 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
US6684123B2 (en) 2001-06-26 2004-01-27 Applied Materials, Inc. Method and apparatus for accessing a multiple chamber semiconductor wafer processing system
US8863956B2 (en) 2011-01-19 2014-10-21 Ray G. Brooks Packaging system for protection of IC wafers during fabrication, transport and storage
JP2012160491A (ja) 2011-01-28 2012-08-23 Sharp Corp 基板搬送装置及び基板処理装置
KR101387387B1 (ko) 2011-12-21 2014-04-30 (주)탑나노시스 대전방지용 시트 및 이를 포함하여 대전방지된 작업 스테이지
KR20140128667A (ko) * 2013-04-29 2014-11-06 삼성전기주식회사 정전 방전 보호 소자 및 그 제조 방법, 그리고 상기 정전 방전 보호 소자를 구비하는 칩 부품
US10950485B2 (en) * 2019-04-17 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing apparatus and method utilizing electrostatic discharge (ESD) prevention layer

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010052267A (ko) * 1999-02-26 2001-06-25 야스이 쇼사꾸 수지 조성물 및 반송용 야구
KR20010010036A (ko) * 1999-07-15 2001-02-05 김영환 웨이퍼 표면의 잔류 전하 제거 방법
US6560105B1 (en) * 2001-10-23 2003-05-06 Di/Dt, Inc. Composite low flow impedance voltage guard for electronic assemblies
WO2003046952A2 (en) * 2001-11-27 2003-06-05 Entegris, Inc Semiconductor component handling device having an electrostatic dissipating film
US20050056601A1 (en) * 2001-11-27 2005-03-17 Bhatt Sanjiv M. Semiconductor component handling device having an electrostatic dissipating film
CN1741885A (zh) * 2001-11-27 2006-03-01 诚实公司 具有消静电膜的半导体元件贮运装置
US20120211029A1 (en) * 2011-02-22 2012-08-23 Pandit Viraj S Load lock assembly and method for particle reduction
KR20130138882A (ko) * 2012-06-11 2013-12-20 (주)탑나노시스 탄소나노튜브 코팅막 및 상기 탄소나노튜브 코팅막을 형성하는 탄소나노튜브 용액 조성물
US20140057451A1 (en) * 2012-08-21 2014-02-27 Samsung Electronics Co., Ltd. Method of preventing charge accumulation in manufacture of semiconductor device
CN203631544U (zh) * 2013-12-09 2014-06-04 中芯国际集成电路制造(北京)有限公司 静电去除装置
US20150181683A1 (en) * 2013-12-20 2015-06-25 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN105047661A (zh) * 2014-04-24 2015-11-11 英飞凌科技德累斯顿有限责任公司 包括静电放电保护结构的半导体器件
TW201615406A (zh) * 2014-10-06 2016-05-01 應用材料股份有限公司 奈米碳管夾具的氟聚合物接觸層
CN107039308A (zh) * 2015-10-22 2017-08-11 朗姆研究公司 前开式环形盒
US20170358476A1 (en) * 2016-06-09 2017-12-14 Shinko Electric Industries Co., Ltd. Sintered body and electrostatic chuck
CN107546091A (zh) * 2016-06-28 2018-01-05 瑞萨电子株式会社 半导体器件的制造方法
CN107680955A (zh) * 2016-08-02 2018-02-09 中芯国际集成电路制造(北京)有限公司 静电放电保护器件、半导体装置及制造方法
TW201838027A (zh) * 2016-12-26 2018-10-16 日商東京威力科創股份有限公司 計測方法、除電方法及電漿處理裝置

Also Published As

Publication number Publication date
TWI730617B (zh) 2021-06-11
US10950485B2 (en) 2021-03-16
TW202040738A (zh) 2020-11-01
US20200335386A1 (en) 2020-10-22
US11532499B2 (en) 2022-12-20
US20210202297A1 (en) 2021-07-01
CN111834187B (zh) 2023-07-11
KR20200122979A (ko) 2020-10-28

Similar Documents

Publication Publication Date Title
US7604424B2 (en) Substrate processing apparatus
US7390758B2 (en) Method of manufacturing a semiconductor integrated circuit device with elimination of static charge
US11532499B2 (en) Semiconductor processing apparatus and method utilizing electrostatic discharge (ESD) prevention layer
US8616821B2 (en) Integrated apparatus to assure wafer quality and manufacturability
US20160035563A1 (en) Apparatus and method for processing semiconductor wafers
US20130330928A1 (en) Film forming device, substrate processing system and semiconductor device manufacturing method
KR102636375B1 (ko) 반도체 처리 장치 및 정전기 방전(esd) 방지층을 이용하는 방법
TW202025383A (zh) 半導體製造系統
Folta et al. Advances in low-defect multilayers for EUVL mask blanks
KR102321119B1 (ko) 포토 이오나이저를 이용한 정전기 발생 방지 로드락 챔버
US20230375945A1 (en) Workpiece support
Yoshitake et al. New mask blank handling system for the advanced electron-beam writer EX-11
WO2023175689A1 (ja) 真空処理装置及び異物排出方法
US20230386870A1 (en) Wet processing system and system and method for manufacturing semiconductor structure
US20220355346A1 (en) Semiconductor cleaning apparatus and method
US20220344190A1 (en) Air curtain for defect reduction
Abuzeid Total contamination control: The minienvironment era
US9704714B2 (en) Method for controlling surface charge on wafer surface in semiconductor fabrication
KR20070044310A (ko) 반도체 소자 제조 설비
Folta et al. Low-defect EUVL multilayers on standard-format mask blanks
CN115763326A (zh) 转移晶片衬底的系统、降低相对湿度方法及减少气流方法
Pandit et al. Using ionizers to reduce electrostatically adhered particles on wafer backside
Levit Implementing control program to increase the efficiency of wet cleaning tools
KR20070077380A (ko) 반도체 소자 제조 설비
TW201339764A (zh) 微影設備配置及提升半導體工件製程生產率的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant