KR102636375B1 - 반도체 처리 장치 및 정전기 방전(esd) 방지층을 이용하는 방법 - Google Patents

반도체 처리 장치 및 정전기 방전(esd) 방지층을 이용하는 방법 Download PDF

Info

Publication number
KR102636375B1
KR102636375B1 KR1020220058433A KR20220058433A KR102636375B1 KR 102636375 B1 KR102636375 B1 KR 102636375B1 KR 1020220058433 A KR1020220058433 A KR 1020220058433A KR 20220058433 A KR20220058433 A KR 20220058433A KR 102636375 B1 KR102636375 B1 KR 102636375B1
Authority
KR
South Korea
Prior art keywords
wafer
semiconductor
esd
protection layer
esd protection
Prior art date
Application number
KR1020220058433A
Other languages
English (en)
Other versions
KR20220066874A (ko
Inventor
차이하오 홍
핑청 코
쯔양 린
팡위 리우
청한 우
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/559,089 external-priority patent/US10950485B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20220066874A publication Critical patent/KR20220066874A/ko
Application granted granted Critical
Publication of KR102636375B1 publication Critical patent/KR102636375B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67396Closed carriers characterised by the presence of antistatic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6732Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
    • H01L21/67323Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls characterized by a material, a roughness, a coating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/60Protection against electrostatic charges or discharges, e.g. Faraday shields
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05FSTATIC ELECTRICITY; NATURALLY-OCCURRING ELECTRICITY
    • H05F1/00Preventing the formation of electrostatic charges

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Robotics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

정전기 방전(ESD) 이벤트들이 반도체 웨이퍼와 반도체 처리 장치들의 하나 이상의 컴포넌트 간에 발생하는 것을 방지하거나 감소시키기 위해 ESD 방지층이 이용되는 반도체 처리 장치들 및 방법들이 제공된다. 일부 실시예들에서, 반도체 처리 장치는 반도체 웨이퍼의 처리 동안 반도체 웨이퍼를 지지하도록 구성된 웨이퍼 핸들링 구조물을 포함한다. 반도체 처리 장치는 웨이퍼 핸들링 구조물 상에 있는 ESD 방지층을 더 포함한다. ESD 방지층은 제1 물질과 제2 물질을 포함하고, 제2 물질은 제1 물질의 전기 전도도보다 더 큰 전기 전도도를 갖는다.

Description

반도체 처리 장치 및 정전기 방전(ESD) 방지층을 이용하는 방법{SEMICONDUCTOR PROCESSING APPARATUS AND METHOD UTILIZING ELECTROSTATIC DISCHARGE (ESD) PREVENTION LAYER}
본 발명은 반도체 처리 장치 및 정전기 방전(electrostatic discharge; ESD) 방지층을 이용하는 방법에 관한 것이다.
반도체 디바이스들의 제조에서는 다양한 공정들이 수행된다. 반도체 디바이스들의 제조 동안, 반도체 웨이퍼들은 다양한 상이한 처리 툴들 또는 장치들에서 처리된다. 그러한 많은 반도체 처리 장치들에서, 웨이퍼의 처리 동안에 정전하(static charge)가 발생할 수 있다. 정전기 또는 정전하는 일반적으로 물질 내부 또는 그 표면 상에서의 전하들의 불균형을 가리킨다. 이러한 전자들의 불균형은 다른 물체들에 영향을 미칠 수 있는 전기장을 생성할 수 있다. 정전기 방전(ESD)은 높은 정전기장에 의해 유도된 정전기 전하의 빠르고 자발적인 이송이며, 상이한 정전기 전위들에 있는 두 개의 몸체들 간에 스파크로서 나타날 수 있다.
정전기 방전은 반도체 디바이스의 전기적 특성을 변화시켜서, 디바이스를 손상시키거나 파괴할 수 있다. 정전기 방전은 또한, 반도체 제조 또는 처리 장치들 내에 포함될 수 있는 전자 시스템의 정상적인 동작을 방해하여, 장비 오작동 또는 고장을 유발할 수 있다.
전자 디바이스들이 고속화되고 회로부가 더 작아짐에 따라, 이러한 전자 디바이스들에서는 ESD에 대한 감도가 증가한다. 따라서, ESD는 생산 수율, 제조 비용, 제품 품질, 제품 신뢰성, 및 수익성에 부정적인 영향을 미칠 수 있다.
일 실시예에 따르면, 반도체 처리 장치는 웨이퍼 핸들링 구조물 및 정전기 방전(ESD) 방지층을 포함한다. 웨이퍼 핸들링 구조물은 반도체 처리 장치에서의 반도체 웨이퍼의 처리 동안 반도체 웨이퍼를 지지하도록 구성된다. ESD 방지층은 제1 물질과 제2 물질을 포함하고, 제2 물질은 제1 물질의 전기 전도도보다 더 큰 전기 전도도를 갖는다.
다른 실시예에 따르면, 반도체 처리 장치는 반도체 웨이퍼를 운반하는 FOUP(Front Opening Unified Pod)를 수용하도록 구성된 로딩/언로딩 포트를 포함한다. 제1 로봇식 웨이퍼 핸들링 구조물은 반도체 처리 장치 내에서 반도체 웨이퍼를 이송하도록 구성된다. 웨이퍼를 지지하도록 구성된 제1 웨이퍼 스테이지를 갖는 공정 챔버가 포함된다. 반도체 처리 장치는 제1 물질 및 제2 물질을 포함하는 적어도 하나의 정전기 방전(ESD) 방지층을 더 포함한다. 제2 물질은 제1 물질의 전기 전도도보다 더 큰 전기 전도도를 갖는다. 적어도 하나의 ESD 방지층이 FOUP, 로딩/언로딩 포트, 제1 로봇식 웨이퍼 핸들링 구조물, 또는 제1 웨이퍼 스테이지 중 적어도 하나 상에 제공된다.
또다른 실시예에 따르면, 반도체 처리 장치의 로딩 포트에 의해, FOUP(Front Opening Unified Pod)에서 반도체 웨이퍼를 수용하는 단계를 포함하는 방법이 제공된다. 반도체 웨이퍼는 제1 로봇식 웨이퍼 핸들링 구조물에 의해 반도체 처리 장치의 제1 공정 챔버로 이송된다. 반도체 웨이퍼는, 반도체 웨이퍼를 지지하도록 구성된 제1 웨이퍼 스테이지를 포함하는 제1 공정 챔버에서 처리된다. 반도체 웨이퍼는 제1 물질과 제2 물질을 포함하는 적어도 하나의 정전기 방전(ESD) 방지층과 접촉하고, 제2 물질은 제1 물질의 전기 전도도보다 더 큰 전기 전도도를 갖는다. 적어도 하나의 ESD 방지층이 FOUP, 제1 로봇식 웨이퍼 핸들링 구조물, 또는 제1 웨이퍼 스테이지 중 적어도 하나 상에 배치된다. 본 방법은 반도체 웨이퍼를 FOUP으로 복귀시키는 단계를 더 포함한다.
본 발명개시의 실시예들은 몇가지 장점들을 제공한다. 예를 들어, 일부 실시예들에서, 웨이퍼의 처리 동안 적어도 한 번 웨이퍼와 접촉하는 ESD 보호층의 존재로 인해 반도체 웨이퍼와 반도체 처리 장치 내의 하나 이상의 컴포넌트 간의 ESD가 방지되거나 감소된다. 반도체 디바이스들의 제조 동안 ESD를 감소시키거나 또는 제거시키면, ESD 손상으로 인한 결함들이 감소될 수 있기 때문에, 생산 수율, 품질, 신뢰성, 및 수익성을 증가시킬 수 있다.
본 발명개시의 양태들은 첨부 도면들과 함께 읽혀질 때 아래의 상세한 설명으로부터 최상으로 이해된다. 본 산업계에서의 표준적인 관행에 따라, 다양한 피처들은 실척도로 작도되지 않았음을 유념한다. 실제로, 다양한 피처들의 치수는 설명의 명료함을 위해 임의적으로 증가되거나 또는 감소될 수 있다.
도 1은 일부 실시예들에 따른, 반도체 처리 장치를 나타내는 개략도이다.
도 2는 일부 실시예들에 따른, 정전기 방전(ESD) 방지층의 추가적인 세부사항들을 나타내는 단면도이다.
도 3a는 일부 실시예들에 따른, 반도체 웨이퍼 이송 포드(transport pod)를 나타내는 정면도이다.
도 3b는 도 3a에서 도시된 반도체 웨이퍼 이송 포드를 나타내는 측면도이다.
도 4는 일부 실시예들에 따른, 반도체 처리 장치를 개략적으로 나타내는 평면도이다.
도 5는 일부 실시예들에 따른, 반도체 처리 장치를 개략적으로 나타내는 평면도이다.
도 6은 일부 실시예들에 따른, 반도체 처리 장치를 개략적으로 나타내는 평면도이다.
도 7은 일부 실시예들에 따른, 반도체 처리 장치를 개략적으로 나타내는 평면도이다.
도 8은 일부 실시예들에 따른, 반도체 처리 장치를 개략적으로 나타내는 블록도이다.
도 9는 일부 실시예들에 따른, 반도체 처리 방법을 나타내는 흐름도이다.
아래의 발명개시는 제공되는 본 발명내용의 여러 특징들을 구현하기 위한 많은 여러 실시예들 또는 예시들을 제공한다. 본 발명개시를 단순화하기 위해 컴포넌트 및 장치의 특정예들이 아래에서 설명된다. 물론, 이것들은 단지 예시들에 불과하며, 이것들로 한정시키고자 의도한 것은 아니다. 예를 들어, 이후의 상세설명에서 제2 피처 상에서의 또는 그 위에서의 제1 피처의 형성은 제1 및 제2 피처들이 직접적으로 접촉하여 형성되는 실시예들을 포함할 수 있으며, 또한 제1 및 제2 피처들이 직접적으로 접촉하지 않을 수 있도록 추가적인 피처들이 제1 및 제2 피처들 사이에서 형성될 수 있는 실시예들을 포함할 수 있다. 또한, 본 발명개시는 다양한 예시들에서 참조 숫자들 및/또는 문자들을 반복할 수 있다. 이러한 반복은 간략화 및 명료화를 목적으로 한 것이며, 그러한 반복 자체는 개시된 다양한 실시예들 및/또는 구성들 간의 관계에 영향을 주는 것은 아니다.
또한, 도면들에서 도시된 하나의 엘리먼트 또는 피처에 대한 다른 엘리먼트(들) 또는 피처(들)의 관계를 설명하기 위해 "아래", "밑", "보다 낮은", "위", "보다 위" 등과 같은 공간 상대적 용어들이 설명의 용이성을 위해 여기서 이용될 수 있다. 공간 상대적 용어들은 도면들에서 도시된 배향에 더하여 사용중에 있거나 또는 동작중에 있는 디바이스의 상이한 배향들을 망라하도록 의도된 것이다. 장치는 이와달리 배향될 수 있고(90° 회전되거나 또는 다른 배향으로 회전됨), 이에 따라 여기서 사용되는 공간 상대적 기술어들이 이와 똑같이 해석될 수 있다.
반도체 제조에서 정전기 전하에 의해 야기되는 문제들은 결과적인 반도체 디바이스들의 품질과 수율의 감소들을 야기시킬 수 있다. 반도체 제조 또는 처리 환경에서의 ESD는 반도체 웨이퍼, 포토마스크, 및 제조 또는 처리 환경 내의 기타 컴포넌트들을 손상시킬 수 있다. ESD는 또한 반도체 처리 장치들 또는 장비의 동작을 방해할 수 있는 원치 않는 전기 신호들(예를 들어, 전자기 간섭)을 생성할 수 있다.
본 명세서에서 제공되는 실시예들은, 예를 들어, 임의의 반도체 처리 장치에서의 반도체 웨이퍼의 처리 동안, ESD의 방지 또는 감소를 용이하게 하는 정전기 방전(ESD) 방지층 및 방법을 포함한다. 일부 실시예들에서, ESD 방지층은 전기 절연성인 제1 물질, 및 전기 전도성인 제2 물질을 포함하는 복합 막일 수 있다. ESD 방지층은 처리 동안 반도체 웨이퍼와 접촉하는 반도체 처리 장치의 임의의 컴포넌트 또는 부분에 적용될 수 있다. 예를 들어, ESD 방지층은 웨이퍼 스테이지, 로봇 아암(robot arm), 로딩/언로딩(load/unload) 포트 등에 적용될 수 있다.
도 1은 본 발명개시의 하나 이상의 실시예에 따른, 반도체 처리 장치(100)를 나타내는 개략도이다. 도 1에서 도시된 반도체 처리 장치(100)는, 예를 들어, 플라즈마 에칭 툴 또는 플라즈마 증착 툴과 같은 플라즈마 관련 반도체 처리 툴일 수 있지만, 본 발명개시의 실시예들은 이에 한정되는 것은 아니다. 다양한 실시예들에서, 반도체 처리 장치(100)는 반도체 디바이스들의 처리에서 이용되는 임의의 장치 또는 처리 툴일 수 있다.
반도체 처리 장치(100)는 에칭 또는 증착 공정과 같은 반도체 제조 공정 동안 반도체 웨이퍼(104)가 위치되는 웨이퍼 스테이지(102)를 포함한다. 웨이퍼(104)는 반도체 웨이퍼일 수 있으며, 일부 실시예들에서 웨이퍼(104)의 전면부(예를 들어, 도 1에서 도시된 윗면) 상에 전기적 피처들을 포함할 수 있다. 일부 실시예들에서, 웨이퍼(104)는 단결정 실리콘(Si) 웨이퍼, 비정질 Si 웨이퍼, 갈륨 비소(GaAs) 웨이퍼, 또는 임의의 다른 반도체 웨이퍼일 수 있다.
반도체 처리 장치(100)가 플라즈마 에칭 툴인 예시에서, 반도체 처리 장치(100)는 원하는 가스 혼합물의 고속 플라즈마(예를 들어, 하전된 이온) 스트림을 생성하고 플라즈마 스트림을 웨이퍼(104)에 지향시키도록 구성될 수 있다. 플라즈마는 에칭된 물질의 엘리먼트들(예를 들어, 웨이퍼(104)의 표면)과 플라즈마에 의해 생성된 반응성 종들(reactive species) 간의 화학적 반응으로부터 실온에서 휘발성 에칭 생성물을 생성한다. 플라즈마의 유형 및 웨이퍼(104) 상의 물질의 유형에 기초하여, 플라즈마의 하전된 입자들과 웨이퍼(104)의 물질의 충돌은 그 물질을 축출하여, 웨이퍼(104)의 표면으로부터 이를 효과적으로 제거한다. 다른 유형의 플라즈마 에칭이 여기에 설명된 원리들에 따라 사용될 수 있다.
반도체 처리 장치(100)가 플라즈마 증착 툴인 예시에서, 반도체 처리 장치(100)는 플라즈마 증착 공정들을 수행하도록 구성될 수 있다. 이러한 공정의 한 예시는 플라즈마 강화 화학적 기상 증착(Plasma Enhanced Chemical Vapor Deposition; PECVD) 공정이다. 종래의 화학적 기상 증착(Chemical Vapor Deposition; CVD) 공정은 기판(예를 들어, 웨이퍼(104))을 휘발성 전구체 가스에 노출시키는 것을 포함하며, 이 휘발성 전구체 가스는 기판과 반응하여 기판 상에 물질을 퇴적시킨다. PECVD 공정은 플라즈마를 사용하여 반응 속도를 증가시켜서 CVD 공정을 향상시킨다.
다른 플라즈마 관련 증착 공정은 물리적 기상 증착(Physical Vapor Deposition; PVD) 공정을 포함하며, 이 공정은 스퍼터링이라고도 칭해질 수 있다. PVD 공정은 플라즈마로 타겟 물질에 충격을 가하여, 타겟 물질의 일부를 스퍼터링하여 증기로서 축출시키고, 이 증기는 웨이퍼(104)와 같은 기판 상에 퇴적된다.
웨이퍼 스테이지(102)는 반도체 처리 장치(100)의 공정 챔버(120) 내에 위치된다. 공정 챔버(120)는 하우징(122)에 의해 적어도 부분적으로 둘러싸일 수 있다. 예를 들어, 공정 챔버(120)는 하우징(122) 내에 동봉될 수 있다.
다양한 실시예들에서, 반도체 처리 장치(100)에 의해 구현되는 반도체 공정의 유형에 따라, 추가적인 컴포넌트들이 공정 챔버(120) 내에 위치될 수 있다. 예를 들어, 일부 실시예들에서, 하나 이상의 전극이 공정 챔버(120) 내에 위치되고, 공정 챔버(120) 내로 주입된 전구체 가스로부터 플라즈마를 생성하는 전자기장을 생성하도록 구성된다. 그러한 실시예들에서, 하부 전극은 반도체 웨이퍼(104) 아래에 위치될 수 있고, 상부 전극은 반도체 웨이퍼(104) 위에 위치될 수 있다.
일부 실시예들에서, 예를 들어, 반도체 처리 장치(100)가 PVD 공정을 구현하는 실시예들에서, 타겟 물질은 공정 챔버(120) 내에 위치될 수 있고, 타겟 물질의 스퍼터링이 반도체 웨이퍼(104) 상에서 퇴적될 수 있도록 타겟 물질은 반도체 웨이퍼(104) 위에 위치된다.
공정 챔버(120)는 유체를 수용하거나 배출하기 위한 하나 이상의 유체 유입구 또는 배출구를 포함할 수 있다. 예를 들어, 공정 챔버(120)는 반도체 처리 장치(100)에 의해 수행되는 다양한 제조 공정들을 위해 공정 챔버(120)에 다양한 전구체 가스들을 제공하는 가스 소스들과 유체 연통될 수 있다. 일부 실시예들에서, 공정 챔버(120)는 진공 챔버일 수 있고, 공정 챔버(120)는 챔버로부터 가스를 퍼지(purge)하기 위해 부압(negative pressure) 또는 진공과 유체 연통될 수 있다.
본 명세서에서 전술된 바와 같이, 반도체 처리 장치(100)는 반도체 디바이스들의 처리에서 이용되는 임의의 장치 또는 처리 툴일 수 있다. 마찬가지로, 공정 챔버(120)는 반도체 처리 장치(100)에서 이용될 수 있는 공정 챔버의 예시이지만, 여기서 제공되는 실시예들은 도 1에서 도시된 공정 챔버(120)로 한정되지 않는다. 다양한 실시예들에서, 임의의 다양한 반도체 처리 툴들에서 이용될 수 있는 임의의 컴포넌트들 또는 피처들이 공정 챔버(120) 내에 위치될 수 있거나 또는 이와 연관될 수 있다.
일부 실시예들에서, 반도체 처리 장치(100)는 반도체 처리 장치(100)의 하나 이상의 동작을 제어하는 제어 시스템(130)을 포함한다. 예를 들어, 다양한 실시예들에서, 제어 시스템(130)은 플라즈마의 생성, 공정 챔버(120) 내로의 또는 밖으로의 유체의 흐름, 공정 챔버(120) 내의 하나 이상의 전극에 인가된 전기 에너지, 공정 챔버(120)의 가열 또는 다른 환경 파라미터들 등을 제어할 수 있다. 일부 실시예들에서, 제어 시스템(130)은 반도체 웨이퍼(104)를 웨이퍼 스테이지(102) 상으로 또는 밖으로 이동시키도록 조작될 수 있는 로봇 아암 또는 이동가능형 로봇 구조물과 같은 하나 이상의 로봇 메커니즘의 동작을 제어할 수 있다.
제어 시스템(130)은 프로세서(132) 및 컴퓨터 판독가능 메모리(134)를 포함할 수 있다. 메모리(134)는 머신 판독가능 명령어들을 포함할 수 있고, 이 머신 판독가능 명령어들은, 프로세서(132)에 의해 실행될 때, 제어 시스템(130)으로 하여금, 커맨드 신호들을, 플라즈마 생성 컴포넌트, 가스 유입구 또는 배출구 메커니즘, 하나 이상의 전극 등과 같은, 반도체 처리 장치(100)의 하나 이상의 컴포넌트에 송신하게 한다.
웨이퍼 스테이지(102)는 반도체 처리 장치(100)에서의 웨이퍼(104)의 처리 동안 반도체 웨이퍼(104)를 지지하도록 구성된다. 웨이퍼 스테이지(102)는 처리 동안 웨이퍼(104)를 지지하거나, 홀딩하거나, 또는 고정시키는 임의의 구조물일 수 있다. 웨이퍼 스테이지(102)는 웨이퍼 핸들링 구조물의 예시로서 제공된 것이다. 본 명세서에서 언급되는 웨이퍼 핸들링 구조물은 웨이퍼의 처리 동안 반도체 웨이퍼를 지지하거나, 고정시키거나, 이동시키거나, 또는 이와 달리 핸들링하는 반도체 제조 장치 또는 툴의 임의의 구조물일 수 있다. 그러므로, 본 발명개시의 실시예들은 웨이퍼 스테이지(102)를 웨이퍼 핸들링 구조물로서 사용하는 것에 한정되지 않는다. 이보다는, 본 명세서에서 더 상세히 설명될 바와 같이, 임의의 웨이퍼 핸들링 구조물이 본 발명개시의 다양한 실시예들과 관련하여 이용될 수 있다.
일부 실시예들에서, 반도체 웨이퍼(104)는, 반도체 웨이퍼(104)를 웨이퍼 스테이지(102)에 고정시키고 이송할 수 있는 로봇 아암 또는 임의의 이동가능형 로봇 구조물과 같은, 이동가능형 웨이퍼 핸들링 구조물에 의해 웨이퍼 스테이지(102) 상에 배치될 수 있다. 로봇 아암과 같은 이동가능형 웨이퍼 핸들링 구조물은 마찬가지로, 반도체 웨이퍼(104)가 공정 챔버(120)에서 처리된 후에, 반도체 웨이퍼(104)를 제거하고, 버퍼 챔버, 다른 공정 챔버, 이송 챔버 등과 같은 다른 위치로 이송할 수 있다.
정전기 방전(ESD) 방지층(106)이 웨이퍼 핸들링 구조물의 표면 상에 배치된다. 예를 들어, 도 1에서 도시된 바와 같이, ESD 방지층(106)은 웨이퍼 스테이지(102)의 윗면 상과 같이, 웨이퍼 스테이지(102)의 표면 상에 위치될 수 있다. 반도체 처리 장치(100)의 사용에서, ESD 방지층(106)은 반도체 웨이퍼(104)와 웨이퍼 핸들링 구조물, 예를 들어, 웨이퍼 스테이지(102) 간에 ESD가 발생하는 것을 방지한다. 예를 들어, ESD 방지층(106)은 정전기 소산형(electrostatically dissipative)일 수 있고, 예를 들어, 웨이퍼(104)를 웨이퍼 스테이지(102)로부터 분리시킴으로써, 공정 챔버(120) 내에서의 하전된 입자들의 존재로 인해, 또는 정전하의 임의의 다른 원인으로 인해, 반도체 웨이퍼(104)와 웨이퍼 스테이지(102) 간에 축적될 수 있는 정전하를 소산(dissipate)시킬 수 있다. 반도체 웨이퍼(104)와 웨이퍼 스테이지(102) 간의 정전기 방전을 방지함으로써, 반도체 웨이퍼(104) 상에 형성된 다양한 전기적 피처들 또는 컴포넌트들이 보호될 수 있어서, 결함을 감소시키고 웨이퍼(104)로부터 제조되는 반도체 디바이스들의 수율을 증가시킬 수 있다.
ESD 방지층(106)은 웨이퍼 스테이지(102)의 전체 표면을 덮을 수 있다. 예를 들어, 도 1에서 도시된 바와 같이, ESD 방지층(106)은 웨이퍼 스테이지(102)의 윗면 전체를 덮을 수 있어서, 웨이퍼 스테이지(102)의 윗면 중 어떠한 부분도 노출되지 않는다. 이것은 반도체 웨이퍼(104)와 웨이퍼 스테이지(102)의 표면 간의 직접적인 접촉을 방지한다. 일부 실시예들에서, ESD 방지층(106)은 웨이퍼 스테이지(102) 표면의 일부만을 덮을 수 있다. 예를 들어, ESD 방지층(106)은 웨이퍼 스테이지(102)의 윗면의 중앙 부분과 같이, 웨이퍼(104)를 지지하는 웨이퍼 스테이지(102)의 일부분 상에만 제공될 수 있다. 일부 실시예들에서, ESD 방지층(106)은 웨이퍼 스테이지(102)의 표면(예를 들어, 윗면)을 완전히 덮을 수 있고, 웨이퍼 스테이지(102)의 인접한 표면들(예를 들어, 측면들) 위로 더 연장될 수 있다.
도 2는 본 발명개시의 하나 이상의 실시예에 따른, ESD 방지층(106)의 추가적인 세부사항을 도시하는 단면도이다.
도 2에서 도시된 바와 같이, ESD 방지층(106)은 제1 물질(110) 및 제2 물질(112)을 포함하는 복합층일 수 있다. 제1 물질(110)은 기저 물질일 수 있는 반면, 제2 물질(112)은 제1 물질(110) 내에 혼합되거나, 주입되거나, 또는 임베딩될 수 있다. 일부 실시예들에서, 제2 물질(112)은 제1 물질(110)의 전기 전도도보다 더 큰 전기 전도도를 갖는다. 이것은 복합 ESD 방지층(106)의 전체 전기 전도도를 증가시켜서, 정전기 전하들을 소산시키는 능력을 증가시킨다.
일부 실시예들에서, 제1 물질(110)은 폴리머(예를 들어, 플라스틱) 또는 수지 물질 중 적어도 하나이다. 플라스틱 및 수지 물질들은 반도체 처리 장치들 내에서 마주칠 수 있는 다양한 조건들을 견뎌내기에 적합한 특성들을 갖거나 또는 갖게 되도록 제조될 수 있기 때문에, 반도체 응용 동안 반도체 웨이퍼들과 접촉하거나 또는 이를 지지하기에 특히 적합할 수 있다. 예를 들어, 플라스틱 및 수지 물질들은 일반적으로, 파괴되지 않고서 반복적인 온도 스윙들(예를 들어, 높고 낮은 공정 온도들)을 견뎌낼 수 있다. 또한, 플라스틱 및 수지 물질들은 일반적으로, 반도체 웨이퍼가 이러한 플라스틱 및 수지 물질들 상에 위치될 때, 반도체 웨이퍼의 슬라이딩을 방지하거나 또는 이를 저지하는 특성들을 갖는다. 예를 들어, 플라스틱 및 수지 물질들은 반도체 처리 장치(100)에서 경험될 수 있는 정상적인 공정 조건들 하에서 반도체 웨이퍼가 슬라이딩되는 것을 방지하기에 적합한 마찰 계수를 가질 수 있다. 플라스틱 및 수지 물질들은 일반적으로, 전기 절연체들이며, 따라서, 제1 물질(110)은 플라스틱 또는 수지 물질과 같은 전기 절연 물질일 수 있다. 제2 물질(112)은, ESD 방지층(106)이 정전기 전하들을 소산시키기에 적합한 전도도를 갖도록, ESD 방지층(106)의 전체 전기 전도도를 증가시키는 전기 전도성 물질이다.
일부 실시예들에서, 제1 물질(110)은 PEEK(Polyetheretherketone), PTFE(Polytetrafluoroethylene) 또는 PI(Polyimide) 중 하나 이상을 포함할 수 있다.
일부 실시예들에서, 제2 물질(112)은 전도성 입자들을 포함하며, 이 전도성 입자는 전기 전도성이거나, 또는 제1 물질(110)(예를 들어, 플라스틱 또는 수지 물질)의 전기 전도도보다 더 큰 전기 전도도를 갖는 임의의 입자들일 수 있다. 예를 들어, 제2 물질(112)은 제1 물질(110) 내에 혼합된 금속성 입자들일 수 있다. 다양한 실시예들에서, 제2 물질(112)은 티타늄(Ti) 입자, 알루미늄(Al) 입자, 구리(Cu) 입자, 금(Au) 입자, 은(Ag) 입자, 또는 임의의 다른 금속성 입자들 또는 전도성 합금의 임의의 입자들일 수 있다. 일부 실시예들에서, 제2 물질(112)은, 예를 들어, 티타늄 질화물(TiN), 실리콘 구리(SiCu), 알루미늄 실리콘(AlSi), 또는 제1 물질(110)의 전기 전도도보다 더 큰 전기 전도도를 갖는 임의의 다른 금속 화합물 입자를 비롯한, 임의의 금속 화합물 입자일 수 있다.
일부 실시예들에서, 제2 물질(112)은 약 10㎛ 미만의 크기를 갖는 전도성 입자들일 수 있다. 일부 실시예들에서, 제2 물질(112)의 전도성 입자들은 약 1㎛ 미만의 크기를 가질 수 있다. 일부 실시예들에서, 제2 물질(112)의 전도성 입자들은 약 500㎚ 미만의 크기를 가질 수 있다. 전도성 입자들의 크기는 예를 들어, 두께, 높이, 폭, 직경 등을 비롯하여, 전도성 입자들의 임의의 치수를 지칭할 수 있다. 일부 실시예들에서, 제2 물질(112)은 약 1㎛ 미만의 직경을 갖는 마이크로 구체(microsphere) 또는 나노 구체(nanosphere)일 수 있다.
제2 물질(112)은 제1 물질(110)의 두께(111)보다 작은 크기를 가질 수 있다. 예를 들어, 일부 실시예들에서, 제1 물질(110)은 1㎛ 이상의 두께를 갖고, 제2 물질(112)은 1㎛ 미만의 크기(예를 들어, 두께, 높이, 폭, 직경 등)를 갖는다.
ESD 방지층(106)은 제1 물질(110)과 제2 물질(112)의 균질 혼합물일 수 있다. 예를 들어, 제2 물질(112)(예를 들어, 전도성 입자들)은 제1 물질(110) 전체에 균일하게 분포될 수 있다. ESD 방지층(106)은 ESD 방지층(106)의 임의의 주어진 샘플에 걸쳐 동일한 비율의 제1 및 제2 물질들(110, 112)을 가질 수 있다.
제1 및 제2 물질들(110, 112)의 상대적 양은 다양한 실시예들에서 상이할 수 있다. 일부 실시예들에서, ESD 방지층(106) 내에서의 제1 물질(110)의 부피 대 제2 물질(112)의 부피의 비는 1:1 미만일 수 있다. 즉, 일부 실시예들에서, 제2 물질(112)은 ESD 방지층(106) 내에서 제1 물질(110)보다 더 큰 총 부피를 차지할 수 있다. 일부 실시예들에서, 제2 물질(112)은 ESD 방지층(106)의 총 부피의 50%보다 많이 차지할 수 있고, 일부 실시예들에서, 제2 물질(112)은 ESD 방지층(106)의 총 부피의 약 60% 내지 약 80%를 차지할 수 있다. 일부 실시예들에서, 제2 물질(112)은 ESD 방지층의 총 부피의 약 75%를 차지할 수 있다. ESD 방지층(106) 내에서의 제2 물질(112)의 상대적인 양(예를 들어, 부피)은 ESD 방지층(106)의 전체 전기 전도도, 및 웨이퍼 스테이지(102)와 같은 웨이퍼 핸들링 구조물과 반도체 웨이퍼(104) 간에 발생하는 ESD 이벤트들없이 정전기 전하들을 적절하게 소산시키는 ESD 방지층(106)의 능력을 결정하는데 중요한 인자이다.
일부 실시예들에서, 제2 물질(112)은 ESD 방지층(106) 내에서 약 75% 개체수의 분포(예를 들어, 제2 물질(112)은 ESD 방지층(106)의 총 부피의 약 75%를 차지함)를 가지며, 약 500㎚ 미만의 크기(예를 들어, 전도성 입자들의 두께, 높이, 폭, 직경 등)를 가지며, 제1 물질(110)보다 더 큰 전도도를 갖는다.
일부 실시예들에서, 제1 물질은 20℃에서 약 1x10-20S/m 미만의 전도도를 가지며, 일부 실시예들에서, 제1 물질은 20℃에서 약 1x10-23S/m 미만의 전도도를 갖는다. 일부 실시예들에서, 제2 물질은 20℃에서 약 1x103S/m보다 큰 전도도를 가지며, 일부 실시예들에서, 제2 물질은 20℃에서 약 1x105S/m보다 큰 전도도를 갖는다.
일부 실시예들에서, ESD 방지층(106)은 약 1x104Ω-cm 내지 약 1x1011Ω-cm인 총 부피 저항률을 갖는데, 이는 ESD 방지층(106)에서의 정전기 전하들의 소산에 적절한 것이다.
ESD 방지층(106)은 제1 물질(110)과 제2 물질(112)에 더하여 하나 이상의 물질을 포함할 수 있다. 예를 들어, 도 2에서 도시된 바와 같이, ESD 방지층(106)은 하나 이상의 첨가제(114)를 포함할 수 있으며, 이 첨가제(114)는 예를 들어, ESD 방지층(106)에 첨가되고, ESD 방지층(106)의 하나 이상의 특성 또는 특징을 변경시키는 임의의 물질일 수 있다. 일부 실시예들에서, 첨가제(114)는 ESD 방지층(106)의 내구성, 강성, 융점, 밀도, 점도, 열팽창 계수(CTE), 열 전도도, 화학적 내성, 자외선(ultraviolet; UV) 또는 극자외선(extreme ultraviolet; EUV)애 대한 내성, 고온에 대한 내성, 레이저 펄스에 대한 내성, 플라즈마에 대한 내성, 에천트에 대한 내성, 산에 대한 내성, 염기에 대한 내성, 또는 임의의 다른 특성들 또는 특징들 중 임의의 것을 변경시킬 수 있다. 첨가제(114)는 ESD 방지층(106)의 제1 물질(110) 및 제2 물질(112)과는 상이한 물질을 포함한다.
ESD 방지층(106) 내에 포함된 첨가제(114)의 유형, 농도, 물질, 또는 임의의 다른 특성들은, 예를 들어, ESD 방지층(106)이 웨이퍼 스테이지(102)와 같은 웨이퍼 핸들링 구조물 상에 제공되는 환경에서 구현되는 반도체 공정의 유형에 따라, 다양한 실시예들에서 상이할 수 있다.
예를 들어, 일부 실시예들에서, 첨가제(114)는 산에 대한 ESD 방지층(106)의 내성을 증가시키는 항산 첨가제일 수 있다.
일부 실시예들에서, 첨가제(114)는 염기에 대한 ESD 방지층(106)의 내성을 증가시키는 항염기 첨가제일 수 있다. 일부 실시예들에서, 제1 물질(110) 자체가 항산 또는 항염기 특성을 가질 수 있다. 예를 들어, 일부 실시예들에서, 제1 물질(110)은 항산 및 항염기 특성들과 같은, 화학적 내성 특성들을 갖고, 고온에서 유지될 수 있는 PEEK(Polyetheretherketone), PTFE(Polytetrafluoroethylene), PI(polyimide) 중 하나 이상을 포함할 수 있다.
일부 실시예들에서, 첨가제(114)는 극자외선 광에 대한 ESD 방지층(106)의 내성을 증가시키는 항 EUV(anti-EUV) 첨가제일 수 있다.
다양한 실시예들에서, 첨가제(114)는 임의의 금속성 또는 금속성 산화물 분말, 폴리머 분말, 또는 마이크로 구체와 같은 마이크로 입자들일 수 있거나 또는 이를 포함할 수 있다.
일부 실시예들에서, 첨가제(114)는 임의의 대체적으로 구형인 마이크로 입자들일 수 있고 약 1㎜ 미만의 크기(예를 들어, 직경)를 가질 수 있는 마이크로 구체를 포함한다. 일부 실시예들에서, 첨가제(114)는 약 1㎛ 미만의 크기를 갖는 마이크로 입자들을 포함한다.
일부 실시예들에서, 첨가제(114)는 ESD 방지층(106)에 첨가되어 열 전도도를 증가시킬 수 있는 알루미늄 질화물(AlN), 마그네슘 산화물(MgO), 붕소 질화물(BN), 다이아몬드, 또는 구리 중 하나 이상을 포함하는 분말과 같은 분말을 포함한다.
첨가제(114)는 ESD 방지층(106)에 걸쳐 균일하게 분포될 수 있고(예를 들어, 첨가제(114)는 제1 물질(110) 및 제2 물질(112)과 골고루 혼합될 수 있음), ESD 방지층(106)은 제1 물질(110), 제2 물질(112), 및 첨가제(114)를 포함하는 균질 혼합물일 수 있다. 다른 실시예들에서, 첨가제(114)는 ESD 방지층(106) 내에 불균일하게 분포된다. 예를 들어, 일부 실시예들에서, 첨가제(114)는 농도 구배를 갖고, 예를 들어, ESD 방지층(106)의 두께(111)를 따라 증가하거나 또는 감소하는 농도를 갖고 제1 물질(110) 내에 분산될 수 있다. 일부 실시예들에서, 첨가제(114)는 ESD 방지층(106)의 노출면(예를 들어, 윗면) 근처에서 최고인 농도를 가질 수 있어서, ESD 방지층(106)은, ESD 방지층(106)이 제공되는 환경(예를 들어, 공정 챔버(120)) 내에서 마주치는 다양한 공정 조건들(예를 들어, 에천트, 산, 염기, EUV 광 등)에 노출되는 ESD 방지층(106)의 영역들에서 최대 량의 첨가제 특성(예를 들어, 항산, 항염기, 항 EUV 등)을 갖는다.
도 3a는 반도체 웨이퍼 이송 포드(200)를 나타내는 정면도이고, 도 3b는 본 발명개시의 하나 이상의 실시예에 따른, 도 3a의 반도체 웨이퍼 이송 포드(200)의 측면도이다.
웨이퍼 이송 포드(200)는, 예를 들어, 임의의 웨이퍼 캐리어 등을 비롯하여, 하나 이상의 반도체 웨이퍼를 운반하는 임의의 구조물일 수 있다. 일부 실시예들에서, 웨이퍼 이송 포드(200)는 FOUP(Front Opening Unified Pod)일 수 있으며, 이는 본 명세서에서 FOUP(200)라고 지칭될 수 있다.
FOUP(200)는 예를 들어, 반도체 디바이스 제조 환경 내에서 처리 툴들 간에 반도체 웨이퍼(204)를 이송하는 동안 반도체 웨이퍼(204)가 운반될 수 있는 밀봉형 컨테이너일 수 있다. FOUP(200)는, FOUP(200) 내에서의 웨이퍼(204)의 저장 또는 이송 동안 웨이퍼(204)를 홀딩하고, 웨이퍼(204)를 오염물들(예를 들어, 화학적 오염물, 미립자, 가스 등)로부터 보호한다.
FOUP(200)는 상부 패널(210), 하부 패널(212), 측면 패널(214), 후면 패널(216), 및 전면 패널(218)로 형성된 하우징을 포함한다. 전면 패널(218)은 FOUP(200)의 나머지에 대해 이동가능할 수 있는데, 예를 들어, 전면 패널(218)은 개폐될 수 있는 도어일 수 있으며, 이에 의해 복수의 웨이퍼(204)가 FOUP(200) 내에 배치되거나 또는 FOUP(200)로부터 제거되게 한다. 전면 패널(218)은 임의의 회전 또는 병진이동 축을 따라 개폐될 수 있다. 예를 들어, 일부 실시예들에서, 전면 패널(218)은 (예를 들어, 하부 패널(212)에 대해 앞쪽으로 회전함으로써) 외향으로 개방될 수 있거나, 또는 전면 패널(218)은 위 또는 아래로 슬라이딩함으로써 개방될 수 있다. 일부 실시예들에서, 전면 패널(218)은 FOUP(200)로부터 전면 패널(218)을 완전히 제거함으로써 개방될 수 있다.
FOUP(200)는 복수의 웨이퍼 지지 구조물들(230)을 포함하고, 이들 각각은 측면 패널들(214) 중 하나에 연결될 수 있다. 웨이퍼 지지 구조물들(230)은 전면 패널(218)과 후면 패널(216) 사이의 측면 패널(214)을 따라 연장되는 레일 또는 슬롯 형태로 제공될 수 있다. 대응하는 쌍들의 웨이퍼 지지 구조물들(230)이 반도체 웨이퍼(204)를 지지하도록 구성된다. 예를 들어, 측면 패널들(214) 중 하나의 측면 패널 상에 있는 웨이퍼 지지 구조물(230)은 웨이퍼(204)의 하나의 가장자리 부분을 지지할 수 있는 반면, 반대측 측면 패널(214) 상에 있는 반대측 웨이퍼 지지 구조물(230)은 웨이퍼(204)의 반대측 가장자리 부분을 지지할 수 있으며, 웨이퍼(204)의 중앙 부분은 대응하는 쌍의 웨이퍼 지지 구조물들(230) 사이의 개구에서 노출될 수 있다.
FOUP(200)의 웨이퍼 지지 구조물들(230)은 반도체 웨이퍼와 다른 물체 간의 ESD 이벤트를 방지하기 위해 ESD 보호층이 제공될 수 있는 웨이퍼 핸들링 구조물의 다른 예시이다. 본 명세서에서 전술된 바와 같이, 웨이퍼 핸들링 구조물은 웨이퍼의 처리 동안 반도체 웨이퍼를 지지하거나, 고정시키거나, 이동시키거나, 또는 이와 달리 핸들링하는 반도체 제조 장치 또는 툴의 임의의 구조물일 수 있다. 도 3a와 도 3b에서 도시된 바와 같이, ESD 방지층(206)이 FOUP(200)의 웨이퍼 지지 구조물들(230) 상에 제공될 수 있다.
도 3a와 도 3b에서 도시된 ESD 방지층(206)은 본 명세서에서 전술한 ESD 방지층(106)과 실질적으로 동일하거나 같을 수 있다. 예를 들어, ESD 방지층(206)은 제1 물질(110), 제2 물질(112)을 포함할 수 있고, 일부 실시예들에서, ESD 방지층(206)은 하나 이상의 첨가제(114)를 포함할 수 있다.
반도체 웨이퍼(204)는 예를 들어, 반도체 처리 장치의 로딩/언로딩 포트에 의해 FOUP(200) 내로 로딩될 수 있다. ESD 방지층(206)은 예를 들어, 웨이퍼(204)를 웨이퍼 지지 구조물들(230)로부터 분리시키는 것으로 인해, 반도체 웨이퍼(204)와 FOUP(200) 간에 축적될 수 있는 정전하를 소산시킬 수 있다.
ESD 방지층(206)이 웨이퍼 지지 구조물들(230) 상에 제공되는 것으로서 도 3a와 도 3b에서 도시되어 있지만, 다양한 실시예들에서, ESD 방지층(206)은 예를 들어, 전면 패널(218)의 내면들 또는 후면 패널(216)의 내면들을 비롯하여, FOUP(200)의 임의의 표면들 상에 제공될 수 있다.
FOUP(200)는 다양한 실시예들에서 또는 다양한 반도체 제조 환경들에서 요구될 수 있는 임의의 다양한 추가적인 피처들을 포함할 수 있다. 예를 들어, FOUP(200)는 각각의 반도체 웨이퍼들(204)에 대해 밀봉된 파티션들을 형성할 수 있는 복수의 파티션들(220)을 포함할 수 있다. 예를 들어, 각각의 웨이퍼(204)는 각각의 하부 및 상부 파티션(220) 사이에 위치될 수 있어서, 각각의 개별 웨이퍼(204)는, FOUP(200) 내에서 웨이퍼(204)를 밀봉하는 파티션들(220)의 쌍에 의해 둘러싸인다. 따라서, 파티션들(220)은, 웨이퍼(204)가 제거되고 FOUP(200)로 복귀될 때 후속 공정 단계들에서 웨이퍼(204)의 표면들에 되옮겨질 수 있는 오염물들로부터 반도체 웨이퍼(204)를 보호할 수 있다. FOUP(200)는 FOUP(200)의 내부 안팎으로의 가스의 이송을 위한 하나 이상의 노즐을 추가로 포함할 수 있다.
도 4는 본 발명개시의 하나 이상의 실시예에 따른, 반도체 처리 장치(400)를 개략적으로 나타내는 평면도이다. 도 4에서 도시된 반도체 처리 장치(400)는, 반도체 처리 장치 내에 포함될 수 있고 본 발명개시의 다양한 실시예들에 따라 ESD 방지층으로 코팅될 수 있는 추가적인 웨이퍼 지지 구조물들을 나타내기 위한 예시로서 제공된 것이다.
반도체 처리 장치(400)는 복수의 로딩/언로딩 포트(412)(본 명세서에서 로딩 포트라고 지칭될 수 있음)를 포함한다. 로딩 포트(412)는 복수의 반도체 웨이퍼들을 수용하도록 구성될 수 있다. 예를 들어, 일부 실시예들에서, 로딩 포트(412)는 도 3a에서 도시된 FOUP(200)와 같이, 복수의 웨이퍼들을 운반하는 FOUP를 수용하도록 구성된다.
로딩 포트(412)는 하나 이상의 웨이퍼 핸들링 구조물을 포함할 수 있다. 예를 들어, 각각의 로딩 포트(412)는, 반도체 처리 장치(400)에 의한 웨이퍼의 처리 동안 반도체 웨이퍼를 지지하거나, 고정시키거나, 이동시키거나, 또는 이와 달리 핸들링하는 하나 이상의 표면, 컴포넌트, 또는 피처들을 포함할 수 있다. 보다 구체적으로, 로딩 포트(412)는 FOUP로부터 반도체 웨이퍼를 수용하고 지지하거나, 또는 이와 달리 핸들링하도록 구성된 구조물들을 포함할 수 있다.
도 4에서 도시된 바와 같이, ESD 방지층(406)은 하나 이상의 로딩 포트(412)의 웨이퍼 핸들링 구조물들 상에 제공될 수 있다. 도 4에서 도시된 예시에서, ESD 방지층(406)이 각각의 로딩 포트(412)에서 제공될 수 있지만, 본 발명개시의 실시예들은 이에 한정되는 것은 아니다. ESD 방지층(406)은 로딩 포트(412) 내의 임의의 웨이퍼 핸들링 구조물 상에 제공될 수 있다. 도 4에서 도시된 바와 같이, ESD 방지층(406)은 로딩 포트(412)의 측면들을 따라 제공될 수 있다. 예를 들어, 로딩 포트(412)는 FOUP(200)와 마찬가지로, 로딩 포트(412)의 전방에서 후방으로 길이방향으로 연장되는 측면 레일 또는 슬롯을 포함할 수 있다. 이러한 측면 레일 또는 슬롯 각각은 ESD 방지층(406)으로 코팅될 수 있다. 사용 동안, 로딩 포트(412)에 의해 수용된 반도체 웨이퍼는 로딩 포트(412) 내의 웨이퍼 핸들링 구조물들을 덮는 ESD 방지층(406)에만 접촉할 것이다.
도 4에서 도시된 ESD 방지층(406)은 본 명세서에서 전술한 ESD 방지층(106) 또는 ESD 방지층(206)과 실질적으로 동일하거나 같을 수 있다. 예를 들어, ESD 방지층(406)은 제1 물질(110), 제2 물질(112)을 포함할 수 있고, 일부 실시예들에서, ESD 방지층(406)은 하나 이상의 첨가제(114)를 포함할 수 있다.
로딩 포트(412)는 하나 이상의 로딩 락(load lock)(414)에 인접하여 위치될 수 있다. 당 업계에서 이해되는 바와 같이, 로딩 락(414)은 제조 동안 복수의 웨이퍼들을 홀딩하도록 구성된다. 로딩 락(414)은 공정 챔버(416)에 인접하여 위치되며, 이 공정 챔버(416)는 반도체 웨이퍼의 처리 동안 임의의 공지된 공정들을 수행하기 위한 임의의 공정 챔버일 수 있다. 도 4에서 도시된 예시에서, 공정 챔버(416)는 웨이퍼 배향기(orientor)(418) 및 웨이퍼 스테이지(420)를 포함한다.
반도체 처리 장치(400)는 반도체 웨이퍼들을 로딩 포트(412)와 로딩 락(414) 간에 이송하기 위해 로딩 포트(412)에 인접하여 위치된 로봇 아암(428)을 포함할 수 있다. 로봇 아암(428)은 반도체 처리의 분야에 공지된 임의의 로봇 웨이퍼 핸들링 구조물일 수 있다. 로봇 아암(428)은 반도체 웨이퍼를 로딩 포트(412)로부터 로딩 락(414)으로 이송하도록 구성된 임의의 로봇 구조물일 수 있다. 로봇 아암(428)은 하나 이상의 조인트 또는 피봇 포인트를 가질 수 있어서, 로봇 아암은 이를 중심으로 회전할 수 있다. 일부 실시예들에서, 로봇 아암(428)은 병진이동 축을 따라 이동가능할 수 있는데, 예를 들어, 로봇 아암(428)은 x축, y축, 또는 z축 중 어느 하나를 따라 이동할 수 있다. 도 4에서 도시된 바와 같이, 로봇 아암(428)의 하나 이상의 웨이퍼 핸들링 표면은 ESD 방지층(406)에 의해 코팅될 수 있으며, 이 ESD 방지층(406)은 본 명세서에서 전술된 ESD 방지층들의 임의의 특징들을 포함할 수 있다.
마찬가지로, 로딩 락(414)은 반도체 웨이퍼들을 수용하도록 구성된 하나 이상의 표면일 수 있는 하나 이상의 웨이퍼 핸들링 구조물을 포함할 수 있다. 로딩 락(414)의 하나 이상의 웨이퍼 핸들링 구조물은 ESD 방지층(406)에 의해 코팅될 수 있으며, 이 ESD 방지층(406)은 본 명세서에서 전술된 ESD 방지층들의 임의의 특징들을 포함할 수 있다. 따라서, 반도체 웨이퍼들은, 로봇 아암(428)에 의해 로딩 락(414)으로 이송될 때, ESD 방지층(406)과 접촉할 수 있다.
공정 챔버(416)는 예를 들어, 물리적 기상 증착, 건식 에칭, 습식 에칭, 세정, 폴리싱, 열처리, 이온 주입, 리소그래피, 화학적 기상 증착, 계측, 또는 반도체 처리 장치에서 수행될 수 있는 임의의 다른 공정을 비롯하여, 임의의 다양한 공지된 반도체 공정들을 수행하도록 구성될 수 있다.
도 4에서 도시된 예시에서, 공정 챔버(416)는 웨이퍼 배향기(418) 및 웨이퍼 스테이지(420)를 포함하며, 이들 각각은 ESD 방지층(406)에 의해 코팅될 수 있다. 즉, 웨이퍼 배향기(418)와 웨이퍼 스테이지(420) 각각은 ESD 방지층에 의해 코팅될 수 있는 반도체 처리 장치 내에 포함된 웨이퍼 핸들링 구조물들의 예시들일 수 있으며, 이 ESD 방지층은 반도체 웨이퍼들과 웨이퍼 배향기(418) 또는 웨이퍼 스테이지(420) 간에 ESD 이벤트가 발생하는 것을 방지 또는 감소시킨다.
웨이퍼 배향기(418)는 반도체 처리 분야 내에서 공지된 오리엔티어(orienteer)(418)의 임의의 특징들을 포함할 수 있다. 웨이퍼 배향기(418)는 반도체 웨이퍼를 수용하고, 예를 들어, 공정 챔버(416) 내에서 웨이퍼의 처리를 위해 웨이퍼를 원하는 배향으로 배향시키도록 구성될 수 있다.
웨이퍼 스테이지(420)는 반도체 처리 기술 분야에서 공지된 웨이퍼 스테이지의 임의의 특징들을 포함할 수 있다. 일부 실시예들에서, 웨이퍼 스테이지(420)는 도 1에서 도시되고 본 명세서에서 전술된 웨이퍼 스테이지(102)와 실질적으로 동일하거나 같을 수 있다.
반도체 처리 장치(400)는, 공정 챔버(416) 내부에 위치되고, 웨이퍼들을 로딩 락(414)과 공정 챔버(416) 간에 이송하거나 또는 반도체 처리 장치(400) 내에 포함될 수 있는 임의의 다른 챔버들로 이송하도록 구성될 수 있는 로봇 아암들(430, 432)을 더 포함할 수 있다. 로봇 아암들(430, 423)은 본 명세서에서 전술된 로봇 아암(428)과 실질적으로 동일할 수 있고, 로봇 아암들(430, 432) 각각은 ESD 방지층(406)으로 코팅될 수 있다.
도 5는 본 발명개시의 하나 이상의 실시예에 따른, 반도체 처리 장치(500)를 개략적으로 나타내는 평면도이다. 반도체 처리 장치(500)는, 예를 들어, 물리적 기상 증착(PVD)을 수행하도록 구성된 공정 툴일 수 있다.
반도체 처리 장치(500)는 도 4와 관련하여 본 명세서에서 전술된 로딩 포트(412)와 실질적으로 동일하거나 또는 같을 수 있는 복수의 로딩/언로딩 포트들(512)을 포함한다. 일부 실시예들에서, 로딩 포트(512)는 반도체 웨이퍼를 지지하거나, 고정시키거나, 이동시키거나, 또는 달리 핸들링하고, ESD 방지층에 의해 코팅될 수 있는 하나 이상의 웨이퍼 핸들링 구조물들을 포함할 수 있다.
반도체 처리 장치(500)는 반도체 웨이퍼들을 로딩 포트(512)와 로딩 락(514) 간에 이송하기 위해 로딩 포트(512)에 인접하여 위치된 로봇식 웨이퍼 핸들링 구조물(528)을 포함할 수 있다. 로봇식 웨이퍼 핸들링 구조물(528)은 반도체 처리의 분야에서 공지된 임의의 로봇식 웨이퍼 핸들링 구조물일 수 있다. 도 5에서 도시된 바와 같이, 로봇식 웨이퍼 핸들링 구조물(528)은 병진이동 축을 따라 이동가능할 수 있으며, 예를 들어, 도시된 바와 같이, 로봇식 웨이퍼 핸들링 구조물(528)은 임의의 x축을 따라 이동가능할 수 있다. 일부 실시예들에서, 로봇식 웨이퍼 핸들링 구조물(528)은 y축 또는 z축을 따라 이동가능할 수 있다. 일부 실시예들에서, 로봇식 웨이퍼 핸들링 구조물(528)은 로봇 아암일 수 있고, 하나 이상의 조인트 또는 피봇 포인트를 가질 수 있어서 로봇 아암은 이를 중심으로 회전할 수 있다. 로봇식 웨이퍼 핸들링 구조물(528)의 하나 이상의 웨이퍼 핸들링 표면은 ESD 방지층에 의해 코팅될 수 있으며, 이 ESD 방지층은 본 명세서에서 전술된 ESD 방지층들의 임의의 특징들을 포함할 수 있다.
로딩 락(514)은 도 4와 관련하여 본 명세서에서 전술된 로딩 락(414)과 실질적으로 유사할 수 있다. 로딩 락(514)은 예를 들어, FOUP로부터, 반도체 웨이퍼들을 수용하도록 구성된 하나 이상의 표면일 수 있는 하나 이상의 웨이퍼 핸들링 구조물을 포함할 수 있다. 로딩 락(514)의 웨이퍼 핸들링 구조물들(예컨대, 웨이퍼 스테이지(520))은 ESD 방지층(506)에 의해 코팅될 수 있으며, 이 ESD 방지층(506)은 본 명세서에서 전술된 ESD 방지층들의 임의의 특징들을 포함할 수 있다. 따라서, 반도체 웨이퍼들은, 로봇식 웨이퍼 핸들링 구조물(528)에 의해 로딩 락(514)으로 이송될 때, ESD 방지층(506)과 접촉할 수 있다.
반도체 처리 장치(500)는 하나 이상의 버퍼 챔버(540a, 540b)를 포함할 수 있고, 이 버퍼 챔버(540a, 540b) 각각은 하나의 웨이퍼 핸들링 로봇(530)을 하우징한다. 도 5에서 도시된 바와 같이, 반도체 처리 장치(500)는 두 개의 버퍼 챔버들(540a, 540b)을 포함할 수 있고, 이 두 개의 버퍼 챔버들 각각은 두 개의 웨이퍼 핸들링 로봇들(530)을 포함할 수 있다. 버퍼 챔버들(540a, 540b) 각각은 복수의 공정 챔버들(516a~516h) 각각에 인접하여 위치된다. 예를 들어, 제1 버퍼 챔버(540a)는 제1, 제2, 제3, 및 제4 공정 챔버들(516a~516d)에 인접하게 위치될 수 있는 반면, 제2 버퍼 챔버(540b)는 제5, 제6, 제7, 및 제8 공정 챔버들(516e~516h)에 인접하여 위치될 수 있다. 하나 이상의 이송 챔버(522)가, 도 5에서 도시된 바와 같이, 제1 및 제2 버퍼 챔버들(540a, 540b) 사이에 위치될 수 있다.
웨이퍼 핸들링 로봇(530)은 로봇 아암과 같은 임의의 로봇식 웨이퍼 핸들링 구조물, 또는 반도체 웨이퍼를 핸들링하도록 구성된 임의의 다른 로봇 구조물일 수 있다. 도 5에서 도시된 바와 같이, 웨이퍼 핸들링 로봇(530) 각각의 적어도 일부분은 ESD 방지층(506)에 의해 코팅될 수 있으며, 이 ESD 방지층(506)은 본 명세서에서 전술된 ESD 방지층들의 임의의 특징들을 포함할 수 있다.
공정 챔버들(516a~516h) 각각은 예를 들어, 물리적 기상 증착, 건식 에칭, 습식 에칭, 세정, 폴리싱, 열처리, 이온 주입, 리소그래피, 화학적 기상 증착, 계측, 또는 반도체 처리 장치에서 수행될 수 있는 임의의 다른 공정을 비롯하여, 임의의 다양한 공지된 반도체 공정들을 수행하도록 구성될 수 있다. 이를 위해, 공정 챔버들(516a~516h) 각각은 적어도 하나의 웨이퍼 핸들링 구조물을 포함할 수 있다. 예를 들어, 공정 챔버들(516a~516h) 각각은 도 5에서 도시된 바와 같이, 웨이퍼 스테이지(520)를 포함할 수 있다. 웨이퍼 공정 챔버들(516a~516h) 각각 내에 있는 웨이퍼 스테이지(520)는 반도체 처리 기술 분야에서 공지된 웨이퍼 스테이지의 임의의 특징들을 포함할 수 있다. 일부 실시예들에서, 웨이퍼 스테이지(520)는 도 1에서 도시되고 본 명세서에서 전술된 웨이퍼 스테이지(102)와 실질적으로 동일하거나 같을 수 있다. 웨이퍼 스테이지(520) 중 하나 이상은 ESD 방지층(506)에 의해 코팅될 수 있다. 공정 챔버들(516a~516h)은 반도체 처리 분야 내의 공지된 공정 챔버들 내에 포함될 수 있는 임의의 추가적인 컴포넌트들을 포함할 수 있다. 예를 들어, 일부 실시예들에서, 공정 챔버들(516a~516h) 중 하나 이상은 로봇 아암 또는 다른 로봇식 웨이퍼 핸들링 구조물, 플래튼(platen), 가스 유입구 및 배출구 포트들, 전극, 히터, 또는 공지된 임의의 다른 구조물들 또는 피처들을 포함할 수 있다.
마찬가지로, 이송 챔버(522)는, ESD 방지층(506)에 의해 코팅될 수 있는 웨이퍼 스테이지(520)를 포함할 수 있다.
반도체 처리 장치(500)는 수행하고자 하는 반도체 공정에 따라, 다양한 상이한 구성들로 이용될 수 있다. 예시적인 실시예에서, 반도체 처리 장치(500)는 물리적 기상 증착(PVD)을 위한 장치이다. 반도체 웨이퍼의 처리 동안, 웨이퍼는 장치(500)의 상이한 컴포넌트들로의 다양한 경로들을 따라 진행할 수 있다.
예시에서, 반도체 웨이퍼는 FOUP에서 장치(500)에 로딩될 수 있고, 웨이퍼는 예를 들어, 도 3a 및 도 3b와 관련하여 본 명세서에서 전술된 바와 같이, FOUP의 웨이퍼 핸들링 구조물 상에 코팅된 ESD 방지층과 접촉할 수 있다. 웨이퍼는 FOUP로부터, 로딩 포트(512) 중 하나에 로딩될 수 있고, 웨이퍼는 로딩 포트(512)의 웨이퍼 핸들링 구조물 상에 코팅된 ESD 방지층과 접촉할 수 있다. 그 후, 웨이퍼는 로딩 포트(512) 중 하나로부터 웨이퍼 핸들링 구조물(528)에 의해 수용될 수 있고, 웨이퍼 핸들링 구조물(528)은 반도체 웨이퍼를 로딩 락(514) 중 하나로 이송할 수 있다. 웨이퍼는 웨이퍼 핸들링 구조물(528) 상에 코팅된 ESD 방지층과 접촉할 수 있고, 로딩 락(514)의 웨이퍼 스테이지(520) 상에 코팅된 ESD 방지층으로 이송될 수 있다.
제1 버퍼 챔버(540a) 내의 웨이퍼 핸들링 로봇(530)은 로딩 락(514)으로부터 웨이퍼를 수용하고, 웨이퍼를 제1 공정 챔버(516a) 또는 제2 공정 챔버(516b)로 이송할 수 있다. 다시 말해, 여기서 웨이퍼 핸들링 로봇(530)은, 웨이퍼 핸들링 로봇(530)의 하나 이상의 표면 상에 코팅된 ESD 방지층과 웨이퍼를 접촉시킬 수 있고, 웨이퍼가 웨이퍼 스테이지(520) 상의 ESD 방지층과 접촉하여 배치되도록, 웨이퍼를 제1 또는 제2 공정 챔버(516a, 516b) 내의 웨이퍼 스테이지(520)로 이송할 수 있다.
제1 또는 제2 공정 챔버(516a, 516b)에서의 웨이퍼의 처리 이후, 웨이퍼는 웨이퍼 핸들링 로봇(530)에 의해 제1 버퍼 챔버(540a)로 복귀될 수 있고, 제1 버퍼 챔버(540a)의 웨이퍼 핸들링 로봇(530)에 의해 제3 또는 제4 공정 챔버(516c, 516d) 중 하나에 이송될 수 있다. 웨이퍼 핸들링 로봇(530)은, 웨이퍼 핸들링 로봇(530)의 하나 이상의 표면 상의 ESD 방지층과 웨이퍼를 접촉시킬 수 있고, 웨이퍼가 웨이퍼 스테이지(520) 상의 ESD 방지층과 접촉하여 배치되도록, 웨이퍼를 제3 또는 제4 공정 챔버(516c, 516d) 내의 웨이퍼 스테이지(520)로 이송할 수 있다.
웨이퍼가 제3 또는 제4 공정 챔버(516c, 516d)에서 처리되면, 웨이퍼는 웨이퍼 핸들링 로봇(530)에 의해 제1 버퍼 챔버(540a)로 복귀될 수 있다. 이 곳으로부터, 웨이퍼는 예를 들어, 웨이퍼의 추가적인 처리를 위해 제1 내지 제4 공정 챔버들(516a~516d) 중 어느 하나로 이송될 수 있다. 일부 실시예들에서, 웨이퍼는 제1 버퍼 챔버(540a)의 웨이퍼 핸들링 로봇(530)에 의해 이송 챔버들(522) 중 하나로 이송될 수 있어서, 웨이퍼는 제5 내지 제8 공정 챔버들(516e~516h) 중 하나에서의 처리를 진행할 수 있다.
예시에서, 웨이퍼 핸들링 로봇(530)은, 웨이퍼 핸들링 로봇(530)의 하나 이상의 표면 상의 ESD 방지층과 웨이퍼를 접촉시킬 수 있고, 웨이퍼가 이송 챔버(522) 내의 웨이퍼 스테이지(520) 상의 ESD 방지층(506)과 접촉하여 배치되도록, 웨이퍼를 이송 챔버들(522) 중 하나 내의 웨이퍼 스테이지(520)로 이송할 수 있다.
제2 버퍼 챔버(540b) 내의 웨이퍼 핸들링 로봇(530)은 이송 챔버(522)로부터 웨이퍼를 수용하고, 웨이퍼를 제5 공정 챔버(516e) 또는 제6 공정 챔버(516f)로 이송할 수 있다. 제1 버퍼 챔버(540a)와 마찬가지로, 제2 버퍼 챔버(540b)의 웨이퍼 핸들링 로봇(530)은, 웨이퍼 핸들링 로봇(530)의 하나 이상의 표면 상에 코팅된 ESD 방지층과 웨이퍼를 접촉시킬 수 있고, 웨이퍼가 웨이퍼 스테이지(520) 상의 ESD 방지층과 접촉하여 배치되도록, 웨이퍼를 제5 또는 제6 공정 챔버(516e, 516f) 내의 웨이퍼 스테이지(520)로 이송할 수 있다.
제5 또는 제6 공정 챔버(516e, 516f)에서의 웨이퍼의 처리 이후, 웨이퍼는 웨이퍼 핸들링 로봇(530)에 의해 제2 버퍼 챔버(540b)로 복귀될 수 있고, 제2 버퍼 챔버(540b)의 웨이퍼 핸들링 로봇(530)에 의해 제7 또는 제8 공정 챔버(516g, 516h) 중 하나에 이송될 수 있다. 웨이퍼 핸들링 로봇(530)은, 웨이퍼 핸들링 로봇(530)의 하나 이상의 표면 상의 ESD 방지층과 웨이퍼를 접촉시킬 수 있고, 웨이퍼가 웨이퍼 스테이지(520) 상의 ESD 방지층과 접촉하여 배치되도록, 웨이퍼를 제7 또는 제8 공정 챔버(516g, 516h) 내의 웨이퍼 스테이지(520)로 이송할 수 있다.
제7 또는 제8 공정 챔버(516g, 516h)에서의 웨이퍼의 처리가 완료되면, 웨이퍼는, 제2 버퍼 챔버(540b)로, 이송 챔버들(522) 중 하나로, 제1 버퍼 챔버(540a)로, 로딩 락들(514) 중 하나로, 웨이퍼 핸들링 구조물(528)로 복귀할 수 있고, 최종적으로 웨이퍼는 웨이퍼 핸들링 구조물(528)에 의해, 로딩 포트들(512) 중 하나로 되이송될 수 있다(예를 들어, 로딩 포트(512) 내의 FOUP 내로 되이송됨). 반도체 처리 장치(500)에서의 웨이퍼의 처리 동안, 웨이퍼는 ESD 방지층(506)과 적어도 한 번 접촉한다. 예를 들어, 웨이퍼는 로딩 포트(512), 웨이퍼 핸들링 구조물(528), 로딩 락(514), 제1 또는 제2 버퍼 챔버(540a, 540b), 이송 챔버(522) 중 임의의 것, 또는 임의의 공정 챔버들(516a~516h) 상에 있는 ESD 방지층(506)과 접촉할 수 있다. 일부 실시예들에서, 반도체 웨이퍼가 장치(500)에 의해 구현되는 다양한 공정들 전반에 걸쳐 ESD 방지층(506)과 접촉하도록, 반도체 웨이퍼는 장치(500)의 이들 컴포넌트들 각각 내의 ESD 방지층(506)과 접촉한다.
도 6은 본 발명개시의 하나 이상의 실시예에 따른, 반도체 처리 장치(600)를 개략적으로 나타내는 평면도이다. 반도체 처리 장치(600)는, 예를 들어, 에칭 공정을 수행하도록 구성된 공정 툴일 수 있다. 다양한 실시예들에서, 반도체 처리 장치(600)는 건식 에칭, 폴리실리콘 에칭, 산화물 에칭, 실리콘 질화물(SiN) 에칭, 금속 에칭, 또는 반도체 처리 분야의 당업자에게 공지된 임의의 다른 에칭 공정을 수행하도록 구성될 수 있다.
반도체 처리 장치(600)는 본 명세서에서 전술된 로딩 포트들(412 또는 512)과 실질적으로 동일하거나 또는 같을 수 있는 복수의 로딩/언로딩 포트(612)를 포함한다. 일부 실시예들에서, 로딩 포트(612)는 반도체 웨이퍼를 지지하거나, 고정시키거나, 이동시키거나, 또는 달리 핸들링하고, ESD 방지층에 의해 코팅될 수 있는 하나 이상의 웨이퍼 핸들링 구조물들을 포함할 수 있다.
반도체 처리 장치(600)는 반도체 웨이퍼들을 로딩 포트(612)와 복수의 버퍼 챔버들(640) 중 임의의 버퍼 챔버 간에 이송하기 위해 로딩 포트(612)에 인접하여 위치된 로봇식 웨이퍼 핸들링 구조물(628)을 포함할 수 있다. 로봇식 웨이퍼 핸들링 구조물(628)은 반도체 처리의 분야에서 공지된 임의의 로봇식 웨이퍼 핸들링 구조물일 수 있다. 도 6에서 도시된 바와 같이, 로봇식 웨이퍼 핸들링 구조물(628)은 병진이동 축을 따라 이동가능할 수 있으며, 예를 들어, 도시된 바와 같이, 로봇식 웨이퍼 핸들링 구조물(628)은 임의의 x축을 따라 이동가능할 수 있다. 일부 실시예들에서, 로봇식 웨이퍼 핸들링 구조물(628)은 y축 또는 z축을 따라 이동가능할 수 있다. 일부 실시예들에서, 로봇식 웨이퍼 핸들링 구조물(628)은 로봇 아암일 수 있고, 하나 이상의 조인트 또는 피봇 포인트를 가질 수 있어서 로봇 아암은 이를 중심으로 회전할 수 있다. 로봇식 웨이퍼 핸들링 구조물(628)의 하나 이상의 웨이퍼 핸들링 표면은 ESD 방지층(606)에 의해 코팅될 수 있으며, 이 ESD 방지층(606)은 본 명세서에서 전술된 ESD 방지층들의 임의의 특징들을 포함할 수 있다.
반도체 처리 장치(600)는, 로봇식 웨이퍼 핸들링 구조물(628)로부터 웨이퍼를 수용하고, 예를 들어, 복수의 공정 챔버들(616) 중 임의의 공정 챔버 내에서의 웨이퍼의 처리를 위해, 웨이퍼를 원하는 배향으로 배향시킬 수 있는 웨이퍼 배향기(618)를 포함할 수 있다. 웨이퍼가 배향기(618) 상에 위치될 때 ESD 방지층(606)과 접촉할 수 있도록, 배향기(618)는 ESD 방지층(606)으로 코팅될 수 있다.
버퍼 챔버(640)는 도 5와 관련하여 본 명세서에서 전술된 버퍼 챔버들(540a, 540b)과 유사할 수 있다. 일부 실시예들에서, 각각의 버퍼 챔버들(640)은 웨이퍼 스테이지(620) 및 웨이퍼 이송 구조물(630)을 포함한다. 버퍼 챔버들(640)의 웨이퍼 스테이지(620)는 본 명세서에서 전술된 임의의 웨이퍼 스테이지와 실질적으로 유사하거나 또는 같을 수 있다.
버퍼 챔버들(640) 각각 내에 있는 웨이퍼 스테이지(620)는 반도체 처리 기술 분야에서 공지된 웨이퍼 스테이지의 임의의 특징들을 포함할 수 있다. 일부 실시예들에서, 웨이퍼 스테이지(620)는 도 1에서 도시되고 본 명세서에서 전술된 웨이퍼 스테이지(102)와 실질적으로 동일하거나 같을 수 있다. 웨이퍼 스테이지(620) 중 하나 이상은 ESD 방지층(606)에 의해 코팅될 수 있다.
버퍼 챔버들(640) 각각은 복수의 공정 챔버들(616) 중 하나의 공정 챔버에 인접하여 위치된다. 각각의 버퍼 챔버들(640) 내의 웨이퍼 이송 구조물들(630)은 로봇 아암과 같은 임의의 로봇식 웨이퍼 핸들링 구조물, 또는 반도체 웨이퍼를 핸들링하도록 구성된 임의의 다른 로봇 구조물일 수 있다. 도 6에서 도시된 바와 같이, 웨이퍼 이송 구조물들(630) 각각의 적어도 일부분은 ESD 방지층(606)에 의해 코팅될 수 있으며, 이 ESD 방지층(606)은 본 명세서에서 전술된 ESD 방지층들의 임의의 특징들을 포함할 수 있다.
공정 챔버들(616) 각각은 임의의 다양한 공지된 반도체 공정들을 수행하도록 구성될 수 있다. 공정 챔버들(616) 각각은 적어도 하나의 웨이퍼 핸들링 구조물을 포함할 수 있다. 예를 들어, 공정 챔버들(616) 각각은 도 6에서 도시된 바와 같이, 웨이퍼 스테이지(620)를 포함할 수 있다. 공정 챔버들(616) 각각 내에 있는 웨이퍼 스테이지(620)는 반도체 처리 기술 분야에서 공지된 웨이퍼 스테이지의 임의의 특징들을 포함할 수 있다. 일부 실시예들에서, 웨이퍼 스테이지(620)는 도 1에서 도시되고 본 명세서에서 전술된 웨이퍼 스테이지(102)와 실질적으로 동일하거나 같을 수 있다. 웨이퍼 스테이지(620) 중 하나 이상은 ESD 방지층(606)에 의해 코팅될 수 있다. 공정 챔버들(616)은 반도체 처리 분야 내의 공지된 공정 챔버들 내에 포함될 수 있는 임의의 추가적인 컴포넌트들을 포함할 수 있다. 예를 들어, 일부 실시예들에서, 공정 챔버들(616) 중 하나 이상은 로봇 아암 또는 다른 로봇식 웨이퍼 핸들링 구조물, 플래튼, 가스 유입구 및 배출구 포트들, 전극, 히터, 또는 공지된 임의의 다른 구조물들 또는 피처들을 포함할 수 있다.
반도체 처리 장치(600)는 수행하고자 하는 반도체 공정에 따라, 다양한 상이한 구성들로 이용될 수 있다. 예시적인 실시예에서, 반도체 처리 장치(600)는 예를 들어, 건식 에칭, 폴리실리콘 에칭, 산화물 에칭, 실리콘 질화물(SiN) 에칭, 금속 에칭, 또는 반도체 처리 분야의 당업자에게 공지된 임의의 다른 에칭 공정을 포함할 수 있는 에칭 공정을 수행하기 위한 장치이다.
반도체 웨이퍼의 처리 동안, 웨이퍼는 장치(600)의 상이한 컴포넌트들로의 다양한 경로들을 따라 진행할 수 있다. 예시에서, 반도체 웨이퍼는 FOUP에서 장치(600)에 로딩될 수 있고, 웨이퍼는 예를 들어, 도 3a 및 도 3b와 관련하여 본 명세서에서 전술된 바와 같이, FOUP의 웨이퍼 핸들링 구조물 상에 코팅된 ESD 방지층과 접촉할 수 있다. 웨이퍼는 FOUP로부터, 로딩 포트(612) 중 하나에 로딩될 수 있고, 웨이퍼는 로딩 포트(612)의 웨이퍼 핸들링 구조물 상에 코팅된 ESD 방지층과 접촉할 수 있다. 그 후, 웨이퍼는 로딩 포트(612) 중 하나로부터 로봇식 웨이퍼 핸들링 구조물(628)에 의해 수용될 수 있고, 로봇식 웨이퍼 핸들링 구조물(628)은 반도체 웨이퍼를 배향기(618)로 이송할 수 있다. 웨이퍼는 웨이퍼 핸들링 구조물(628) 상에 코팅된 ESD 방지층(606)과 접촉할 수 있고, 배향기(618) 상에 코팅된 ESD 방지층(606)으로 이송될 수 있다.
배향기(618)는 예를 들어, 웨이퍼를 원하는 배향으로 회전시킴으로써, 처리를 위해 원하는 배향으로 웨이퍼를 배향시킬 수 있다. 웨이퍼가 적절하게 배향되면, 로봇식 웨이퍼 핸들링 구조물(628)은 배향기(618)로부터 웨이퍼를 수용하고, 웨이퍼를 복수의 버퍼 챔버들(640) 중 하나로 이송할 수 있다. 예를 들어, 로봇식 웨이퍼 핸들링 구조물(628)은 웨이퍼를 버퍼 챔버들(640) 중 하나의 버퍼 챔버 내의 웨이퍼 스테이지(620)로 이송하고, 웨이퍼가 웨이퍼 스테이지(620)의 ESD 방지층(606)과 접촉하도록, 웨이퍼 스테이지(620) 상에 코팅된 ESD 방지층(606) 상에 웨이퍼를 위치시킬 수 있다.
버퍼 챔버(640) 내의 웨이퍼 이송 구조물(630)은 웨이퍼 스테이지(620)로부터 웨이퍼를 수용할 수 있고, 웨이퍼를 공정 챔버들(616) 중 하나로 이송할 수 있다. 웨이퍼 이송 구조물(630)(예를 들어, 로봇 아암 등일 수 있음)은, 웨이퍼 이송 구조물(630)의 하나 이상의 표면 상에 코팅된 ESD 방지층(606)과 웨이퍼를 접촉시킬 수 있고, 공정 챔버(616) 내의 웨이퍼 스테이지(620) 상의 ESD 방지층(606)과 웨이퍼가 접촉하여 배치되도록, 웨이퍼를 공정 챔버(616) 내의 웨이퍼 스테이지(620)에 이송할 수 있다.
공정 챔버(616)에서의 웨이퍼의 처리 이후, 웨이퍼는 웨이퍼 이송 구조물(630)에 의해 인접한 버퍼 챔버(640)로 복귀될 수 있고, 버퍼 챔버(640)의 웨이퍼 이송 구조물(630)에 의해 버퍼 챔버(640) 내의 웨이퍼 스테이지(620)로 이송될 수 있다. 그런 후, 로봇식 웨이퍼 핸들링 구조물(628)은 버퍼 챔버(640) 내의 웨이퍼 스테이지(620)로부터 웨이퍼를 수용할 수 있고, 복수의 공정 챔버들(616) 중 임의의 공정 챔버(616)에서의 추가적인 처리를 위해 웨이퍼를 다른 버퍼 챔버들(640) 중 하나로 이송할 수 있거나, 또는 로봇식 웨이퍼 핸들링 구조물(628)은 웨이퍼를 로딩 포트들(612) 중 하나로 복귀시킬 수 있다(예를 들어, 로딩 포트들(612) 중 하나 내에 있는 FOUP 내로 복귀시킴).
반도체 처리 장치(600)에서의 웨이퍼의 처리 동안, 웨이퍼는 ESD 방지층(606)과 적어도 한 번 접촉한다. 예를 들어, 웨이퍼는, 로딩 포트(612), 로봇식 웨이퍼 핸들링 구조물(628), 배향기(618), 임의의 버퍼 챔버(640) 내의 웨이퍼 스테이지(620), 임의의 버퍼 챔버(640) 내의 웨이퍼 이송 구조물(630), 또는 임의의 공정 챔버(616) 내의 웨이퍼 스테이지(620) 중 임의의 것 상에 있는 ESD 방지층(606)과 접촉할 수 있다. 일부 실시예들에서, 반도체 웨이퍼들이 장치(600)에 의해 구현되는 다양한 공정들 전반에 걸쳐 ESD 방지층(606)과 접촉하도록, 반도체 웨이퍼들은 장치(600)의 이들 컴포넌트들 각각 내의 ESD 방지층(606)과 접촉한다.
도 7은 본 발명개시의 하나 이상의 실시예에 따른, 반도체 처리 장치(700)를 개략적으로 나타내는 평면도이다. 반도체 처리 장치(700)는, 예를 들어, 화학적 기상 증착(CVD)을 수행하도록 구성된 공정 툴일 수 있다. 다양한 실시예들에서, 반도체 처리 장치(700)는 예를 들어, 실리콘 질화물(SixNy), 실리콘 산화물(SixOy), 무질소 반사 방지 코팅(nitrogen-free anti-reflective coating; NFARC)층, 하드 블랙 다이아몬드(hard black diamond; HBD), 실리콘 탄화물(SiC), 무도핑 실리케이트 유리(undoped silicate glass; USG), 인화물 실리케이트 유리(phosphor-silicate glass; PSG)의 퇴적을 위한 공정들, 또는 반도체 처리 분야의 당업자에게 공지된 임의의 다른 퇴적 공정을 비롯하여, 임의의 CVD 공정을 수행하도록 구성될 수 있다.
반도체 처리 장치(700)는 본 명세서에서 전술된 임의의 로딩 포트들과 실질적으로 동일하거나 또는 같을 수 있는 복수의 로딩/언로딩 포트(712)를 포함한다. 일부 실시예들에서, 로딩 포트(712)는 반도체 웨이퍼를 지지하거나, 고정시키거나, 이동시키거나, 또는 달리 핸들링하고, ESD 방지층에 의해 코팅될 수 있는 하나 이상의 웨이퍼 핸들링 구조물들을 포함할 수 있다.
반도체 처리 장치(700)는 반도체 웨이퍼들을 로딩 포트(712)와 로딩 락(714) 간에 이송하기 위해 로딩 포트(712)에 인접하여 위치된 하나 이상의 로봇 아암(728)을 포함할 수 있다. 로봇 아암(728)은 반도체 처리의 분야에 공지된 임의의 로봇식 웨이퍼 핸들링 구조물일 수 있다. 도 7에서 도시된 바와 같이, 장치(700)는 두 개의 로봇 아암(728)을 포함할 수 있으며, 이들 각각은 반도체 웨이퍼를 로딩 포트(712)로부터 로딩 락(714)으로 이송하도록 구성된 임의의 로봇 구조물일 수 있다. 로봇 아암(728)은 하나 이상의 조인트 또는 피봇 포인트를 가질 수 있어서, 로봇 아암은 이를 중심으로 회전할 수 있다. 일부 실시예들에서, 로봇 아암(728)은 병진이동 축을 따라 이동가능할 수 있는데, 예를 들어, 로봇 아암(728)은 x축, y축, 또는 z축 중 어느 하나를 따라 이동할 수 있다. 도 7에서 도시된 바와 같이, 로봇 아암(728)의 하나 이상의 웨이퍼 핸들링 표면은 ESD 방지층(706)에 의해 코팅될 수 있으며, 이 ESD 방지층(706)은 본 명세서에서 전술된 ESD 방지층들의 임의의 특징들을 포함할 수 있다.
웨이퍼 스테이지(720)는 로봇 아암(728)에 인접하여 위치될 수 있고, 로딩 포트(712)와 로딩 락(714) 사이에 위치될 수 있다. 계측 디바이스(725)가 웨이퍼 스테이지(720) 위에 또는 이에 인접하여 위치된다. 계측 디바이스(725)는 예를 들어, 임의의 광학 이미징 장비, 엘립소미터(ellipsometer), 간섭계, 프로파일로미터(profilometer), 자력계, 반사계, 주사 전자 현미경(scanning electron microscope; SEM), 투과 전자 현미경(transmission electron microscope; TEM), 결함 스캐너 등을 비롯하여, 웨이퍼의 하나 이상의 특성을 측정할 수 있는 임의의 디바이스일 수 있다. 다양한 실시예들에서, 계측 디바이스(725)는 예를 들어, 두께, 균일도, 굴절률, 오버레이, 결함, 또는 웨이퍼 상에 퇴적되어 있거나 또는 형성되어 있는 하나 이상의 층의 임의의 다른 특성을 비롯하여, 웨이퍼의 임의의 특성을 측정하도록 구성될 수 있다.
웨이퍼 스테이지(720) 또는 계측 디바이스(725)의 하나 이상의 웨이퍼 핸들링 표면은 ESD 방지층(706)에 의해 코팅될 수 있으며, 이 ESD 방지층(706)은 본 명세서에서 전술된 ESD 방지층들의 임의의 특징들을 포함할 수 있다.
마찬가지로, 로딩 락(714)은 반도체 웨이퍼들을 수용하도록 구성된 웨이퍼 스테이지(720)일 수 있는 하나 이상의 웨이퍼 핸들링 구조물을 포함할 수 있다. 로딩 락(714)의 하나 이상의 웨이퍼 스테이지(720)는 ESD 방지층(706)에 의해 코팅될 수 있으며, 이 ESD 방지층(706)은 본 명세서에서 전술된 ESD 방지층들의 임의의 특징들을 포함할 수 있다. 따라서, 반도체 웨이퍼들은, 로봇 아암(728)에 의해 로딩 락(714)으로 이송될 때, 로딩 락(714) 내의 웨이퍼 스테이지(720)의 ESD 방지층(706)과 접촉할 수 있다.
장치(700)는 본 명세서에서 전술된 임의의 버퍼 챔버들과 유사하거나 동일할 수 있는 버퍼 챔버(740)를 더 포함할 수 있다. 일부 실시예들에서, 버퍼 챔버(740)는 웨이퍼 스테이지(720) 및 하나 이상의 로봇 아암(730)을 포함한다. 예를 들어, 도 7에서 도시된 바와 같이, 버퍼 챔버(740)는 두 개의 로봇 아암(730)을 포함할 수 있다. 버퍼 챔버(740)의 웨이퍼 스테이지(720)는 본 명세서에서 전술된 임의의 웨이퍼 스테이지와 실질적으로 유사하거나 또는 같을 수 있다. 마찬가지로, 버퍼 챔버(740)의 로봇 아암(730)은 본 명세서에서 전술된 임의의 로봇 아암 또는 로봇식 웨이퍼 핸들링 구조물과 실질적으로 동일할 수 있다. 버퍼 챔버(740)의 웨이퍼 스테이지(720) 및 로봇 아암(730)은 ESD 방지층(706)에 의해 코팅될 수 있으며, 이 ESD 방지층(706)은 본 명세서에서 전술된 ESD 방지층들의 임의의 특징들을 포함할 수 있다.
버퍼 챔버(740)는 복수의 공정 챔버들(716)에 인접하여 위치된다. 공정 챔버들(716) 각각은 임의의 다양한 공지된 반도체 공정들을 수행하도록 구성될 수 있다. 공정 챔버들(716) 각각은 적어도 하나의 웨이퍼 핸들링 구조물을 포함할 수 있다. 예를 들어, 공정 챔버들(716) 각각은 도 7에서 도시된 바와 같이, 웨이퍼 스테이지(720)를 포함할 수 있다. 공정 챔버들(716) 각각 내에 있는 웨이퍼 스테이지(720)는 반도체 처리 기술 분야에서 공지된 웨이퍼 스테이지의 임의의 특징들을 포함할 수 있다. 일부 실시예들에서, 웨이퍼 스테이지(720)는 도 1에서 도시되고 본 명세서에서 전술된 웨이퍼 스테이지(102)와 실질적으로 동일하거나 같을 수 있다. 웨이퍼 스테이지(720) 중 하나 이상은 ESD 방지층(706)에 의해 코팅될 수 있다. 공정 챔버들(716)은 반도체 처리 분야 내의 공지된 공정 챔버들 내에 포함될 수 있는 임의의 추가적인 컴포넌트들을 포함할 수 있다. 예를 들어, 일부 실시예들에서, 공정 챔버들(716) 중 하나 이상은 로봇 아암 또는 다른 로봇식 웨이퍼 핸들링 구조물, 플래튼, 가스 유입구 및 배출구 포트들, 전극, 히터, 또는 공지된 임의의 다른 구조물들 또는 피처들을 포함할 수 있다.
반도체 처리 장치(700)는 수행하고자 하는 반도체 공정에 따라, 다양한 상이한 구성들로 이용될 수 있다. 예시적인 실시예에서, 반도체 처리 장치(700)는 화학적 기상 증착(CVD)을 수행하기 위한 장치이다.
반도체 웨이퍼의 처리 동안, 웨이퍼는 장치(700)의 상이한 컴포넌트들로의 다양한 경로들을 따라 진행할 수 있다. 예시에서, 반도체 웨이퍼는 FOUP에서 장치(700)에 로딩될 수 있고, 웨이퍼는 예를 들어, 도 3a 및 도 3b와 관련하여 본 명세서에서 전술된 바와 같이, FOUP의 웨이퍼 핸들링 구조물 상에 코팅된 ESD 방지층과 접촉할 수 있다. 웨이퍼는 FOUP로부터, 로딩 포트(712) 중 하나에 로딩될 수 있고, 웨이퍼는 로딩 포트(712)의 웨이퍼 핸들링 구조물 상에 코팅된 ESD 방지층과 접촉할 수 있다. 그 후, 웨이퍼는 로딩 포트(712) 중 하나로부터 로봇 아암(728) 중 하나에 의해 수용될 수 있고, 로봇 아암(728)은 반도체 웨이퍼를 로딩 락(714)으로 이송할 수 있다. 웨이퍼는 로봇 아암(728) 상에 코팅된 ESD 방지층(706)과 접촉할 수 있고, 로딩 락(714) 내의 웨이퍼 스테이지(720) 상에 코팅된 ESD 방지층(706)으로 이송될 수 있다.
버퍼 챔버(740) 내의 로봇 아암(730) 중 하나는 로딩 락(714) 내의 웨이퍼 스테이지(720)로부터 웨이퍼를 수용할 수 있고, 웨이퍼를 공정 챔버들(716) 중 하나로 이송할 수 있다. 로봇 아암(730)은, 로봇 아암(730)의 하나 이상의 표면 상에 코팅된 ESD 방지층(706)과 웨이퍼를 접촉시킬 수 있고, 웨이퍼가 공정 챔버(716) 내의 웨이퍼 스테이지(720) 상의 ESD 방지층(706)과 접촉하여 배치되도록, 웨이퍼를 공정 챔버(716) 내의 웨이퍼 스테이지(720)로 이송할 수 있다. 일부 실시예들에서, 버퍼 챔버(740) 내의 로봇 아암(730)은 먼저 웨이퍼를 버퍼 챔버(740) 내의 웨이퍼 스테이지(720)로 이송하고, 그런 후, 웨이퍼를 버퍼 챔버(740) 내의 웨이퍼 스테이지(720)로부터 공정 챔버들(716) 중 하나의 공정 챔버(716) 내의 웨이퍼 스테이지(720)로 이송할 수 있다.
공정 챔버(716)에서의 웨이퍼의 처리 이후, 웨이퍼는 로봇 아암(730) 중 하나에 의해 버퍼 챔버(740)로 복귀될 수 있고, 로봇 아암(730) 중 하나에 의해 버퍼 챔버(740) 내의 웨이퍼 스테이지(720)로 이송될 수 있다. 로봇 아암(730)은, 예를 들어, 웨이퍼의 추가적인 처리를 위해, 버퍼 챔버(740) 내의 웨이퍼 스테이지(720)로부터 하나 이상의 다른 공정 챔버(716)로 웨이퍼를 이송할 수 있다. 공정 챔버(716)에서 웨이퍼의 처리가 완료되면, 웨이퍼는 버퍼 챔버(740)로 복귀될 수 있고, 로봇 아암(730)은 웨이퍼를 로딩 락(714)으로 복귀시킬 수 있다. 예를 들어, 버퍼 챔버(740) 내의 로봇 아암(730) 중 하나는 처리된 웨이퍼를 로딩 락(714) 내의 웨이퍼 스테이지들(720) 중 하나로 이송할 수 있다. 그런 후, 로봇 아암(728) 중 하나는 처리된 웨이퍼를 수용하고, 처리된 웨이퍼를 계측 디바이스(725)에 인접해 있거나 또는 그 아래에 위치한 웨이퍼 스테이지(720)로 이송할 수 있고, 계측 디바이스(725)는 예를 들어, 웨이퍼 상에 퇴적되거나 형성된 하나 이상의 층의 두께, 균일도, 굴절률, 오버레이, 결함, 또는 임의의 다른 특성을 비롯하여, 처리된 웨이퍼의 하나 이상의 파라미터를 측정할 수 있다. 로봇 아암(728) 중 하나는 웨이퍼를 로딩 포트(712) 중 하나로 이송할 수 있다(예를 들어, 로딩 포트(712) 중 하나 내의 FOUP으로 이송시킴).
반도체 처리 장치(700)에서의 웨이퍼의 처리 동안, 웨이퍼는 ESD 방지층(706)과 적어도 한 번 접촉한다. 예를 들어, 웨이퍼는 로딩 포트(712), 로봇 아암(728), 계측 디바이스(725), 계측 디바이스(725)에 인접한 웨이퍼 스테이지(720), 로딩 락(714) 내의 웨이퍼 스테이지(720), 버퍼 챔버(740) 내의 웨이퍼 스테이지(720), 버퍼 챔버(740) 내의 로봇 아암(730), 또는 임의의 공정 챔버(716) 내의 웨이퍼 스테이지(720) 중 임의의 것 상에 있는 ESD 방지층(706)과 접촉할 수 있다. 일부 실시예들에서, 반도체 웨이퍼들이 장치(700)에 의해 구현되는 다양한 공정들 전반에 걸쳐 ESD 방지층(706)과 접촉하도록, 반도체 웨이퍼들은 장치(700)의 이들 컴포넌트들 각각 내의 ESD 방지층(706)과 접촉한다.
도 8은 본 발명개시의 하나 이상의 실시예에 따른, 반도체 처리 장치(800)를 개략적으로 나타내는 블록도이다. 반도체 처리 장치(800)는, 예를 들어, 극자외선(EUV) 리소그래피 공정을 수행하도록 구성된 공정 툴일 수 있다. 다양한 실시예들에서, 반도체 처리 장치(800)는 예를 들어, 반도체 처리 분야의 당업자에게 공지된 임의의 리소그래피 공정을 비롯하여, 임의의 리소그래피 공정을 수행하도록 구성될 수 있다. 장치(800)는 코팅, 정렬, 노광, 베이킹, 현상, 또는 임의의 리소그래피 패터닝 공정을 비롯한 다양한 리소그래피 공정들을 수행하도록 함께 결합된 다양한 처리 툴들과 계측 툴들을 포함할 수 있다.
장치(800)는 본 명세서에서 전술된 임의의 로딩 포트들과 실질적으로 동일하거나 또는 같을 수 있는 웨이퍼 로딩 포트(812)를 포함한다. 일부 실시예들에서, 로딩 포트(812)는 반도체 웨이퍼를 지지하거나, 고정시키거나, 이동시키거나, 또는 달리 핸들링하고, ESD 방지층(806)에 의해 코팅될 수 있는 하나 이상의 웨이퍼 핸들링 구조물들(814)을 포함할 수 있다. 일부 실시예들에서, 웨이퍼 로딩 포트(812)는 FOUP에서 운반된 반도체 웨이퍼들을 수용하도록 구성된다.
장치(800)는 포토레지스트 코팅을 위한 트랙(810)을 포함할 수 있다. 트랙(810)은 포토레지스트 처리(예를 들어, 포토레지스트 코팅)를 하나의 툴로 통합시키는 임의의 처리 툴일 수 있다. 포토레지스트 처리는 예를 들어, 일부 실시예들에 따른 코팅, 베이킹, 및 현상을 포함할 수 있다. 트랙(810)은 예를 들어, 포토레지스트 코팅 컴포넌트, 현상 컴포넌트, 및 베이킹 컴포넌트를 비롯하여, 반도체 처리 분야의 당업자에게 공지될 수 있는 임의의 컴포넌트들을 포함할 수 있다. 일부 실시예들에서, 임의의 다양한 웨이퍼 핸들링 구조물들(830)이 트랙(810) 내에 포함될 수 있고, ESD 방지층(806)으로 코팅될 수 있다. 트랙(810) 내에 포함된 웨이퍼 핸들링 구조물들(830)은 반도체 웨이퍼를 지지하거나, 고정시키거나, 이동시키거나, 또는 달리 핸들링하는 임의의 구조물들일 수 있고, 예를 들어, 본 명세서에서 전술된 임의의 로봇식 웨이퍼 핸들링 구조물, 웨이퍼 스테이지, 또는 다른 웨이퍼 핸들링 구조물일 수 있다.
다양한 실시예들에서, 로봇식 웨이퍼 핸들링 구조물과 같은 하나 이상의 웨이퍼 핸들링 구조물은 로딩 포트(812)와 트랙(810) 사이에 위치될 수 있고, 반도체 웨이퍼들을 로딩 포트(812)에서 트랙(810)으로 이송하도록 구성될 수 있다. 이러한 웨이퍼 핸들링 구조물들은 본 명세서에 기술된 ESD 방지층에 의해 코팅될 수 있다.
트랙(810)은 반도체 웨이퍼들을 노광 장치(860)로 이송하는 이송 유닛(840)에 인접하여 위치될 수 있거나, 이와 달리 이에 결합될 수 있다. 이송 유닛(840)은 반도체 처리 분야의 당업자에게 공지될 수 있는 임의의 특징 또는 기능을 포함할 수 있다. 일부 실시예들에서, 이송 유닛(840)은 ESD 방지층(806)으로 코팅될 수 있는 하나 이상의 웨이퍼 핸들링 구조물(850)을 포함할 수 있다. 이송 유닛(840) 내에 포함된 웨이퍼 핸들링 구조물들(850)은 반도체 웨이퍼를 지지하거나, 고정시키거나, 이동시키거나, 또는 달리 핸들링하는 임의의 구조물들일 수 있고, 예를 들어, 본 명세서에서 전술된 임의의 로봇식 웨이퍼 핸들링 구조물, 웨이퍼 스테이지, 또는 다른 웨이퍼 핸들링 구조물일 수 있다.
노광 장치(860)는 반도체 처리 분야의 당업자에게 공지될 수 있는 임의의 특징 또는 기능을 포함할 수 있다. 일부 실시예들에서, 노광 장치(860)는 ESD 방지층(806)으로 코팅될 수 있는 하나 이상의 웨이퍼 핸들링 구조물(870)을 포함할 수 있다. 노광 장치(860) 내에 포함된 웨이퍼 핸들링 구조물들(870)은 반도체 웨이퍼를 지지하거나, 고정시키거나, 이동시키거나, 또는 달리 핸들링하는 임의의 구조물들일 수 있고, 예를 들어, 본 명세서에서 전술된 임의의 로봇식 웨이퍼 핸들링 구조물, 웨이퍼 스테이지, 또는 다른 웨이퍼 핸들링 구조물일 수 있다.
일부 실시예들에서, 반도체 처리 장치(800)는 마스크 포드 로딩/언로딩 포트(880)(본 명세서에서 마스크 포드 로딩 포트(880)라고 칭해질 수 있음)를 포함한다. 마스크 포드 로딩 포트(880)는 본 명세서에서 전술된 로딩 포트와 일부 측면에서 유사할 수 있지만; 하나 이상의 반도체 웨이퍼를 수용하는 대신에, 마스크 포드 로딩 포트(880)는 예를 들어, 마스크 포드 로딩 포트(880) 내로 삽입되도록 구성된 마스크 포드 내에 제공될 수 있는 하나 이상의 마스크(예를 들어, 포토마스크 또는 레티클)를 수용하도록 구성된다. 마스크 포드 로딩 포트(880)는 ESD 방지층(806)으로 코팅될 수 있는 하나 이상의 웨이퍼 핸들링 구조물(890)을 포함할 수 있다. 마스크 포드 로딩 포트(880) 내에 포함된 웨이퍼 핸들링 구조물들(890)은 반도체 웨이퍼를 지지하거나, 고정시키거나, 이동시키거나, 또는 달리 핸들링하는 임의의 구조물들일 수 있고, 예를 들어, 본 명세서에서 전술된 임의의 로봇식 웨이퍼 핸들링 구조물, 웨이퍼 스테이지, 또는 다른 웨이퍼 핸들링 구조물일 수 있다. 일부 실시예들에서, 마스크 포드 로딩 포트(880) 내에 포함된 웨이퍼 핸들링 구조물들(890)은, 마스크를 수용하도록 구성되고 ESD 방지층(806)으로 코팅된, 마스크 포드 로딩 포트(880) 내의 표면들이다. 마스크 포드 로딩 포트(880)는 이송 유닛(840)과 노광 장치(860) 사이에 위치될 수 있고, 이들에 결합될 수 있다.
사용 중에, 마스크는 마스크 포드 로딩 포트(880)로부터 노광 장치(860)로 이송될 수 있다. 마스크는 예를 들어, 이송 유닛(840)을 통해 수용된 반도체 웨이퍼 상에 하나 이상의 층을 패터닝하기 위해 노광 장치(860)에서 이용될 수 있다.
반도체 처리 장치(800)에 의한 반도체 웨이퍼의 처리 동안, 웨이퍼는 장치(800)의 상이한 컴포넌트들로의 다양한 경로들을 따라 진행할 수 있다. 예시에서, 반도체 웨이퍼는 FOUP에서 장치(800)에 로딩될 수 있고, 웨이퍼는 예를 들어, 도 3a 및 도 3b와 관련하여 본 명세서에서 전술된 바와 같이, FOUP의 웨이퍼 핸들링 구조물 상에 코팅된 ESD 방지층과 접촉할 수 있다. 웨이퍼는 FOUP로부터, 로딩 포트(812)에 로딩될 수 있고, 웨이퍼는 로딩 포트(812)의 웨이퍼 핸들링 구조물(814) 상에 코팅된 ESD 방지층(806)과 접촉할 수 있다. 그 후, 웨이퍼는 포토레지스트 코팅을 위해 로딩 포트(812)로부터 트랙(810)으로 (예를 들어, 하나 이상의 로봇식 웨이퍼 핸들링 구조물에 의해) 이송될 수 있다. 웨이퍼는 트랙(810)에서 하나 이상의 웨이퍼 핸들링 구조물(830) 상에 코팅된 ESD 방지층(806)과 접촉할 수 있다.
트랙(810)에서의 웨이퍼의 처리 이후, 웨이퍼는 (예를 들어, 하나 이상의 로봇식 웨이퍼 핸들링 구조물에 의해) 이송 유닛(840)으로 이송될 수 있고, 웨이퍼는 이송 유닛(840) 내의 하나 이상의 웨이퍼 핸들링 구조물(850) 상의 ESD 방지층(806)과 접촉할 수 있다. 이송 유닛(840)은 웨이퍼를 노광 장치(860)로 이송할 수 있다. 예를 들어, 이송 유닛(840)은, ESD 방지층(806)으로 코팅된 노광 장치(860) 내의 웨이퍼 스테이지 또는 로봇식 웨이퍼 핸들링 구조물과 같은, 하나 이상의 웨이퍼 핸들링 구조물(870)로 웨이퍼를 이송할 수 있다.
마스크는, 마스크 포드 로딩 포트(880)에 의해 수용될 수 있고, 마스크 포드 로딩 포트(880)의 하나 이상의 웨이퍼 핸들링 구조물(890) 상에 코팅된 ESD 방지층(806)과 접촉할 수 있다. 마스크는 마스크 포드 로딩 포트(880)로부터 노광 장치(860)로 이송될 수 있다. 마스크는 예를 들어, 이송 유닛(840)을 통해 수용된 반도체 웨이퍼 상에 하나 이상의 층을 노광 장치(860)에서 패터닝하기 위해 노광 장치(860)에서 이용될 수 있다.
노광 장치(860)에서의 웨이퍼의 처리 이후, 웨이퍼는 이송 유닛(840), 트랙(810), 및 로딩 포트(812)로 복귀될 수 있다.
반도체 처리 장치(800)에서의 웨이퍼의 처리 동안, 웨이퍼는 적어도 하나의 ESD 방지층(806)과 적어도 한 번 접촉한다. 예를 들어, 웨이퍼는, 로딩 포트(812) 내의 웨이퍼 핸들링 구조물(814), 트랙(810) 내의 웨이퍼 핸들링 구조물(830), 이송 유닛(840) 내의 웨이퍼 핸들링 구조물(850), 또는 노광 장치(860) 내의 웨이퍼 핸들링 구조물(870) 중 어느 것 상에 있는 ESD 방지층(806)과 접촉할 수 있다. 일부 실시예들에서, 반도체 웨이퍼들이 장치(800)에 의해 구현되는 다양한 공정들 전반에 걸쳐 ESD 방지층(806)과 접촉하도록, 반도체 웨이퍼들은 장치(800)의 이들 컴포넌트들 각각 내의 ESD 방지층(806)과 접촉한다.
또한, 반도체 처리 장치(800)에서의 마스크의 처리 동안 마스크가 적어도 하나의 ESD 방지층(806)과 접촉할 수 있다. 예를 들어, 마스크는 마스크 포드 로딩 포트(880) 내의 웨이퍼 핸들링 구조물(890) 상에 있는 ESD 방지층(806), 또는 노광 장치(860) 내의 하나 이상의 마스크 핸들링 구조물 상에 있는 ESD 방지층(806)과 접촉할 수 있다.
도시되지는 않았지만, 본 명세서에서 제공된 반도체 처리 장치들의 임의의 다양한 실시예들에서, 예를 들어, 적어도 하나의 프로세서, 비일시적 컴퓨터 판독가능 저장장치, 통신 모듈, I/O 디바이스, 선택적 디스플레이 등을 비롯하여, 추가적인 컴포넌트들이 포함될 수 있으며, 이것들은 모두 시스템 버스를 통해 상호연결될 수 있다. 본 명세서에서 설명된 방법론을 구현하기 위해 프로세서에 의해 실행가능한 소프트웨어 명령어들이 시스템 저장장치 또는 일부 다른 컴퓨터 판독가능 매체에 저장될 수 있거나, 또는 유선/무선 방법론을 통해 다른 저장 매체로부터 이러한 메모리에 업로드될 수 있다.
도 9는 하나 이상의 실시예에 따른, 반도체 처리 방법을 나타내는 흐름도(900)이다. 본 방법은 예를 들어, 도 4 내지 도 8과 관련하여 본 명세서에서 설명된 반도체 처리 장치들(400, 500, 600, 700, 또는 800) 중 하나 이상을 비롯하여, 임의의 반도체 처리 장치에 의해 구현될 수 있다.
단계(902)에서, 반도체 처리 장치의 로딩 포트가 FOUP(Front Opening Unified Pod)에서 반도체 웨이퍼를 수용한다. 로딩 포트는, 예를 들어, 본 명세서에서 설명된 반도체 처리 장치들 중 임의의 반도체 처리 장치의 임의의 로딩 포트일 수 있다.
단계(904)에서, 제1 로봇식 웨이퍼 핸들링 구조물이 반도체 웨이퍼를 반도체 처리 장치의 제1 공정 챔버로 이송한다. 제1 로봇식 웨이퍼 핸들링 구조물은 예를 들어, 로봇 아암 등을 비롯하여, 본 명세서에서 설명된 임의의 로봇식 웨이퍼 핸들링 구조물들일 수 있다. 로봇식 웨이퍼 핸들링 구조물은 x축, y축, 또는 z축과 같은 병진이동 축을 따라 이동가능할 수 있으며, 일부 실시예들에서, 로봇식 웨이퍼 핸들링 구조물은 로봇 아암일 수 있고, 하나 이상의 조인트 또는 피봇 포인트를 가질 수 있어서, 로봇 아암은 이를 중심으로 회전할 수 있다.
단계(906)에서, 반도체 웨이퍼가 제1 공정 챔버에서 처리된다. 제1 공정 챔버는 반도체 웨이퍼를 지지하도록 구성된 제1 웨이퍼 스테이지를 포함한다. 예를 들어, 제1 웨이퍼 스테이지는 제1 공정 챔버에서의 처리 동안 웨이퍼를 지지할 수 있다. 제1 웨이퍼 스테이지는 예를 들어, 본 명세서에서 설명된 임의의 웨이퍼 스테이지일 수 있다.
단계(908)에서, 반도체 웨이퍼는 FOUP, 제1 로봇식 웨이퍼 핸들링 구조물, 또는 제1 웨이퍼 스테이지 중 적어도 하나 상에 배치된 적어도 하나의 정전기 방전(ESD) 방지층과 접촉한다. 적어도 하나의 ESD 방지층은 제1 물질(110)과 제2 물질(112)을 포함하고, 제2 물질(112)은 제1 물질(110)의 전기 전도도보다 더 큰 전기 전도도를 갖는다. ESD 방지층은 본 명세서에서 설명된 ESD 방지층들의 임의의 특징들을 포함할 수 있다.
단계(910)에서, 반도체 웨이퍼가 FOUP로 복귀된다.
본 발명개시의 양태들을 본 발명분야의 당업자가 보다 잘 이해할 수 있도록 앞에서는 여러 개의 실시예들의 특징들을 약술해왔다. 본 발명분야의 당업자는 여기서 소개한 실시예들의 동일한 목적들을 수행하거나 및/또는 동일한 장점들을 달성하기 위한 다른 공정들 및 구조물들을 설계하거나 또는 수정하기 위한 기초로서 본 발명개시를 자신들이 손쉽게 이용할 수 있다는 것을 알아야 한다. 본 발명분야의 당업자는 또한 이와 같은 등가적 구성들은 본 발명개시의 사상과 범위를 이탈하지 않는다는 것과, 본 발명개시의 사상과 범위를 이탈하지 않고서 당업자가 다양한 변경들, 대체들, 및 개조들을 본 발명에서 행할 수 있다는 것을 자각해야 한다.
전술한 다양한 실시예들은 결합되어 추가적인 실시예들을 제공할 수 있다. 이러한 변경 및 다른 변경은 상기 상세한 설명에 비추어 본 실시예들에 대해 행해질 수 있다. 일반적으로, 아래의 청구항들에서, 사용된 용어는 청구항들을 상세한 설명과 청구항에서 개시된 특정 실시예들로 제한시키는 것으로 해석되어서는 안되며, 그러한 청구항들이 권리를 갖는 등가물들의 전체 범위와 함께 모든 가능한 실시예들을 포함하는 것으로 해석되어야 한다. 따라서, 청구항들은 본 개시내용에 의해 제한되지 않는다.
실시예들
실시예 1. 반도체 처리 장치에 있어서,
상기 반도체 처리 장치에서의 반도체 웨이퍼의 처리 동안 상기 반도체 웨이퍼를 지지하도록 구성된 웨이퍼 핸들링 구조물; 및
상기 웨이퍼 핸들링 구조물 상에 있는 정전기 방전(electrostatic discharge; ESD) 방지층을 포함하고, 상기 ESD 방지층은 제1 물질과 제2 물질을 포함하며, 상기 제2 물질은 상기 제1 물질의 전기 전도도보다 더 큰 전기 전도도를 갖는 것인 반도체 처리 장치.
실시예 2. 실시예 1에 있어서, 상기 제1 물질은 폴리머 또는 수지 물질 중 적어도 하나인 것인 반도체 처리 장치.
실시예 3. 실시예 1에 있어서, 상기 제2 물질은 약 10㎛ 미만의 크기를 갖는 전도성 입자들을 포함한 것인 반도체 처리 장치.
실시예 4. 실시예 1에 있어서, 상기 제2 물질은 약 1㎛ 미만의 크기를 갖는 전도성 입자들을 포함한 것인 반도체 처리 장치.
실시예 5. 실시예 1에 있어서, 상기 제1 물질은 적어도 1㎛의 두께를 갖는 것인 반도체 처리 장치.
실시예 6. 실시예 1에 있어서, 상기 제2 물질은 상기 제1 물질의 두께 미만의 입자 크기를 갖는 전도성 입자들을 포함한 것인 반도체 처리 장치.
실시예 7. 실시예 1에 있어서, 상기 ESD 방지층은 상기 제1 물질과 상기 제2 물질의 균질 혼합물을 포함한 것인 반도체 처리 장치.
실시예 8. 실시예 1에 있어서, 상기 제1 물질은 상기 ESD 방지층의 총 부피의 약 60% 내지 약 80%의 부피를 차지한 것인 반도체 처리 장치.
실시예 9. 실시예 1에 있어서, 상기 ESD 방지층은 적어도 하나의 첨가제 물질을 더 포함하며, 상기 적어도 하나의 첨가제 물질은 항산(anti-acid) 물질, 항염기(anti-base) 물질, 또는 항극자외선(anti-EUV) 물질 중 적어도 하나를 포함한 것인 반도체 처리 장치.
실시예 10. 반도체 처리 장치에 있어서,
반도체 웨이퍼를 운반하는 FOUP(Front Opening Unified Pod)를 수용하도록 구성된 로딩/언로딩(load/unload) 포트;
상기 반도체 처리 장치 내에서 상기 반도체 웨이퍼를 이송하도록 구성된 제1 로봇식 웨이퍼 핸들링 구조물;
상기 반도체 웨이퍼를 지지하도록 구성된 제1 웨이퍼 스테이지를 포함한 공정 챔버; 및
제1 물질과 제2 물질을 포함하는 적어도 하나의 정전기 방전(ESD) 방지층을 포함하고, 상기 제2 물질은 상기 제1 물질의 전기 전도도보다 더 큰 전기 전도도를 가지며,
상기 적어도 하나의 ESD 방지층은 상기 FOUP, 상기 로딩/언로딩 포트, 상기 제1 로봇식 웨이퍼 핸들링 구조물, 또는 상기 제1 웨이퍼 스테이지 중 적어도 하나 상에 제공된 것인 반도체 처리 장치.
실시예 11. 실시예 10에 있어서, 상기 제1 물질은 폴리머 또는 수지 물질 중 적어도 하나를 포함하며, 상기 제2 물질은 상기 제1 물질의 두께 미만의 크기를 갖는 전도성 입자들을 포함한 것인 반도체 처리 장치.
실시예 12. 실시예 11에 있어서, 상기 제1 물질의 두께는 적어도 1㎛이고, 상기 제2 물질의 전도성 입자들의 크기는 500㎚ 미만인 것인 반도체 처리 장치.
실시예 13. 실시예 10에 있어서, 상기 적어도 하나의 ESD 방지층은 상기 FOUP, 상기 로딩/언로딩 포트, 상기 제1 로봇식 웨이퍼 핸들링 구조물, 및 상기 제1 웨이퍼 스테이지 각각 상에 제공된 것인 반도체 처리 장치.
실시예 14. 실시예 10에 있어서,
상기 공정 챔버에 인접하여 위치된 버퍼 챔버 - 상기 버퍼 챔버는 상기 반도체 웨이퍼를 상기 공정 챔버로 이송하도록 구성된 제2 로봇식 웨이퍼 핸들링 구조물을 포함함 -; 및
상기 제1 로봇식 웨이퍼 핸들링 구조물과 상기 버퍼 챔버 사이에 위치되며, 제2 웨이퍼 스테이지를 포함하는 로딩 락(load lock)을 더 포함하며,
상기 적어도 하나의 ESD 방지층은 상기 제2 로봇식 웨이퍼 핸들링 구조물 또는 상기 제2 웨이퍼 스테이지 중 적어도 하나 상에 제공된 것인 반도체 처리 장치.
실시예 15. 실시예 10에 있어서,
상기 로딩/언로딩 포트와 상기 제1 로봇식 웨이퍼 핸들링 구조물 사이에 위치되며, 제2 웨이퍼 스테이지와 상기 제2 웨이퍼 스테이지 상에 있는 제2 ESD 방지층을 포함하는 로딩 락;
상기 로딩/언로딩 포트와 상기 로딩 락 사이에 위치되며, 제3 ESD 방지층에 의해 적어도 부분적으로 덮혀있는 제3 웨이퍼 스테이지; 및
상기 제3 웨이퍼 스테이지 위에 위치되며, 상기 반도체 웨이퍼의 적어도 하나의 파라미터를 측정하도록 구성된 계측 디바이스를 더 포함하는 반도체 처리 장치.
실시예 16. 방법에 있어서,
반도체 처리 장치의 로딩 포트에 의해, FOUP(Front Opening Unified Pod)에서 반도체 웨이퍼를 수용하는 단계;
제1 로봇식 웨이퍼 핸들링 구조물에 의해, 상기 반도체 웨이퍼를 상기 반도체 처리 장치의 제1 공정 챔버로 이송하는 단계;
상기 반도체 웨이퍼를 상기 제1 공정 챔버에서 처리하는 단계 - 상기 제1 공정 챔버는 상기 반도체 웨이퍼를 지지하도록 구성된 제1 웨이퍼 스테이지를 포함함 -; 및
상기 반도체 웨이퍼를 상기 FOUP로 복귀시키기 전에, 상기 반도체 웨이퍼를, 제1 물질과 제2 물질을 포함하는 적어도 하나의 정전기 방전(ESD) 방지층과 접촉시키는 단계를 포함하고, 상기 제2 물질은 상기 제1 물질의 전기 전도도보다 더 큰 전기 전도도를 가지며, 상기 적어도 하나의 ESD 방지층은 상기 FOUP, 상기 제1 로봇식 웨이퍼 핸들링 구조물, 또는 상기 제1 웨이퍼 스테이지 중 적어도 하나 상에 배치된 것인 방법.
실시예 17. 실시예 16에 있어서,
제2 로봇식 웨이퍼 핸들링 구조물에 의해, 상기 반도체 웨이퍼를 상기 로딩 포트로부터 상기 반도체 처리 장치의 로딩 락으로 이송하는 단계 - 상기 로딩 락은 제2 웨이퍼 스테이지 및 상기 제2 웨이퍼 스테이지 상에 있는 제2 ESD 방지층을 포함함-; 및
상기 반도체 웨이퍼를 상기 FOUP로 복귀시키기 전에, 상기 반도체 웨이퍼를 상기 제2 ESD 방지층과 접촉시키는 단계를 더 포함하는 방법.
실시예 18. 실시예 16에 있어서,
제2 로봇식 웨이퍼 핸들링 구조물에 의해, 상기 반도체 웨이퍼를 상기 로딩 포트로부터 웨이퍼 배향기로 이송하는 단계 - 상기 웨이퍼 배향기는 제2 ESD 방지층에 의해 적어도 부분적으로 덮혀있는 표면을 가짐 -; 및
상기 반도체 웨이퍼를 상기 FOUP로 복귀시키기 전에, 상기 반도체 웨이퍼를 상기 제2 ESD 방지층과 접촉시키는 단계를 더 포함하는 방법.
실시예 19. 실시예 16에 있어서,
상기 반도체 웨이퍼를 상기 제1 공정 챔버에서 처리한 것에 이어서, 상기 제1 로봇식 웨이퍼 핸들링 구조물에 의해, 상기 반도체 웨이퍼를 상기 제1 공정 챔버로부터 로딩 락으로 이송하는 단계 - 상기 로딩 락은 제2 웨이퍼 스테이지 및 상기 제2 웨이퍼 스테이지 상에 있는 제2 ESD 방지층을 포함함-;
제2 로봇식 웨이퍼 핸들링 구조물에 의해, 상기 반도체 웨이퍼를, 상기 로딩 락으로부터, 상기 로딩 포트와 상기 로딩 락 사이에 위치된 제3 웨이퍼 스테이지로 이송하는 단계 - 상기 제3 웨이퍼 스테이지는 제3 ESD 방지층에 의해 적어도 부분적으로 덮혀있음 -; 및
상기 제3 웨이퍼 스테이지 위에 위치된 계측 디바이스에 의해, 상기 반도체 웨이퍼의 적어도 하나의 파라미터를 측정하는 단계를 더 포함하는 방법.
실시예 20. 실시예 16에 있어서, 상기 반도체 처리 장치는 물리적 기상 증착(physical vapor deposition; PVD) 장치, 에칭 장치, 화학적 기상 증착(chemical vapor deposition; CVD) 장치, 또는 리소그래피 장치 중 적어도 하나를 포함한 것인 방법.

Claims (10)

  1. 반도체 처리 장치에 있어서,
    상기 반도체 처리 장치에서의 반도체 웨이퍼의 처리 동안 상기 반도체 웨이퍼를 지지하도록 구성된 웨이퍼 핸들링 구조물; 및
    상기 웨이퍼 핸들링 구조물 상에 있는 정전기 방전(electrostatic discharge; ESD) 방지층 - 상기 ESD 방지층은 제1 물질과 제2 물질을 포함하고, 상기 제1 물질은 폴리머 물질 및 수지 물질, 중 적어도 하나의 물질을 포함하고, 상기 제2 물질은 상기 폴리머 물질 및 상기 수지 물질, 중 상기 적어도 하나의 물질 내에 혼합된 금속성 입자들을 포함함 - 을 포함하고,
    상기 금속성 입자들은 상기 폴리머 물질 및 상기 수지 물질, 중 상기 적어도 하나의 물질의 전기 전도도보다 더 큰 전기 전도도를 갖고, 상기 금속성 입자들은 상기 폴리머 물질 및 상기 수지 물질, 중 상기 적어도 하나의 물질의 두께보다 작은 입자 크기를 갖고,
    상기 ESD 방지층은 적어도 하나의 첨가제 물질을 더 포함하며, 상기 적어도 하나의 첨가제 물질은 항산(anti-acid) 물질, 항염기(anti-base) 물질, 및 항극자외선(anti-EUV) 물질을 포함하고,
    상기 적어도 하나의 첨가제 물질은, 상기 ESD 방지층의 열 전도도를 증가시키는 분말을 더 포함하는 것인, 반도체 처리 장치.
  2. 제1항에 있어서, 상기 ESD 방지층은 상기 제1 물질 및 상기 제2 물질의 균질 혼합물을 포함하는, 반도체 처리 장치.
  3. 제1항에 있어서, 상기 제1 물질은 상기 ESD 방지층의 총 부피의 60% 내지 80%의 부피를 차지하는, 반도체 처리 장치.
  4. 삭제
  5. 반도체 처리 장치에 있어서,
    반도체 웨이퍼를 운반하는 FOUP(Front Opening Unified Pod)를 수용하도록 구성된 로딩/언로딩(load/unload) 포트;
    상기 반도체 처리 장치 내에서 상기 반도체 웨이퍼를 이송하도록 구성된 제1 로봇식 웨이퍼 핸들링 구조물;
    상기 반도체 웨이퍼를 지지하도록 구성된 제1 웨이퍼 스테이지를 포함한 공정 챔버; 및
    제1 물질과 제2 물질을 포함하는 적어도 하나의 정전기 방전(ESD) 방지층 - 상기 제1 물질은 폴리머 물질 및 수지 물질, 중 적어도 하나의 물질을 포함하고, 상기 제2 물질은 상기 폴리머 물질 및 상기 수지 물질, 중 상기 적어도 하나의 물질 내에 혼합된 금속성 입자들을 포함함 - 을 포함하고,
    상기 적어도 하나의 ESD 방지층은 상기 FOUP, 상기 로딩/언로딩 포트, 상기 제1 로봇식 웨이퍼 핸들링 구조물, 또는 상기 제1 웨이퍼 스테이지 중 적어도 하나 상에 제공되고,
    상기 금속성 입자들은 상기 폴리머 물질 및 상기 수지 물질, 중 상기 적어도 하나의 물질의 전기 전도도보다 더 큰 전기 전도도를 갖고, 상기 금속성 입자들은 상기 폴리머 물질 및 상기 수지 물질, 중 상기 적어도 하나의 물질의 두께보다 작은 입자 크기를 갖고,
    상기 적어도 하나의 ESD 방지층은 적어도 하나의 첨가제 물질을 더 포함하며, 상기 적어도 하나의 첨가제 물질은 항산 물질, 항염기 물질, 및 항극자외선(anti-EUV) 물질을 포함하고,
    상기 적어도 하나의 첨가제 물질은, 상기 적어도 하나의 ESD 방지층의 열 전도도를 증가시키는 분말을 더 포함하는 것인, 반도체 처리 장치.
  6. 제5항에 있어서,
    상기 제1 물질의 두께는 적어도 1㎛이고,
    상기 제2 물질의 전도성 입자들의 크기는 500㎚ 미만인, 반도체 처리 장치.
  7. 제5항에 있어서,
    상기 적어도 하나의 ESD 방지층은 상기 FOUP, 상기 로딩/언로딩 포트, 상기 제1 로봇식 웨이퍼 핸들링 구조물, 및 상기 제1 웨이퍼 스테이지 각각 상에 제공되는, 반도체 처리 장치.
  8. 제5항에 있어서,
    상기 공정 챔버에 인접하여 위치된 버퍼 챔버 - 상기 버퍼 챔버는 상기 반도체 웨이퍼를 상기 공정 챔버로 이송하도록 구성된 제2 로봇식 웨이퍼 핸들링 구조물을 포함함 -; 및
    상기 제1 로봇식 웨이퍼 핸들링 구조물과 상기 버퍼 챔버 사이에 위치되며, 제2 웨이퍼 스테이지를 포함하는 로딩 락(load lock)을 더 포함하며,
    상기 적어도 하나의 ESD 방지층은 상기 제2 로봇식 웨이퍼 핸들링 구조물 및 상기 제2 웨이퍼 스테이지, 중 적어도 하나 상에 제공되는, 반도체 처리 장치.
  9. 제5항에 있어서,
    상기 로딩/언로딩 포트와 상기 제1 로봇식 웨이퍼 핸들링 구조물 사이에 위치되며, 제2 웨이퍼 스테이지와 상기 제2 웨이퍼 스테이지 상에 있는 제2 ESD 방지층을 포함하는 로딩 락;
    상기 로딩/언로딩 포트와 상기 로딩 락 사이에 위치되며, 제3 ESD 방지층에 의해 적어도 부분적으로 덮혀있는 제3 웨이퍼 스테이지; 및
    상기 제3 웨이퍼 스테이지 위에 위치되며, 상기 반도체 웨이퍼의 적어도 하나의 파라미터를 측정하도록 구성된 계측 디바이스를 더 포함하는, 반도체 처리 장치.
  10. 방법에 있어서,
    반도체 처리 장치의 로딩 포트에 의해, FOUP(Front Opening Unified Pod)에서 반도체 웨이퍼를 수용하는 단계;
    제1 로봇식 웨이퍼 핸들링 구조물에 의해, 상기 반도체 웨이퍼를 상기 반도체 처리 장치의 제1 공정 챔버로 이송하는 단계;
    상기 반도체 웨이퍼를 상기 제1 공정 챔버에서 처리하는 단계 - 상기 제1 공정 챔버는 상기 반도체 웨이퍼를 지지하도록 구성된 제1 웨이퍼 스테이지를 포함함 -; 및
    상기 반도체 웨이퍼를 상기 FOUP로 복귀시키기 전에, 상기 반도체 웨이퍼에 의해, 제1 물질과 제2 물질을 포함하는 적어도 하나의 정전기 방전(ESD) 방지층을 접촉시키는 단계 - 상기 제1 물질은 폴리머 물질 및 수지 물질, 중 적어도 하나의 물질을 포함하고, 상기 제2 물질은 상기 폴리머 물질 및 상기 수지 물질, 중 상기 적어도 하나의 물질 내에 혼합된 금속성 입자들을 포함함 - 를 포함하고,
    상기 적어도 하나의 ESD 방지층은 상기 FOUP, 상기 제1 로봇식 웨이퍼 핸들링 구조물, 및 상기 제1 웨이퍼 스테이지, 중 적어도 하나 상에 배치되고,
    상기 금속성 입자들은 상기 폴리머 물질 및 상기 수지 물질, 중 상기 적어도 하나의 물질의 전기 전도도보다 더 큰 전기 전도도를 갖고, 상기 금속성 입자들은 상기 폴리머 물질 및 상기 수지 물질, 중 상기 적어도 하나의 물질의 두께보다 작은 입자 크기를 갖고,
    상기 적어도 하나의 ESD 방지층은 적어도 하나의 첨가제 물질을 더 포함하며, 상기 적어도 하나의 첨가제 물질은 항산 물질, 항염기 물질, 및 항극자외선(anti-EUV) 물질을 포함하고,
    상기 적어도 하나의 첨가제 물질은, 상기 적어도 하나의 ESD 방지층의 열 전도도를 증가시키는 분말을 더 포함하는 것인, 방법.
KR1020220058433A 2019-04-17 2022-05-12 반도체 처리 장치 및 정전기 방전(esd) 방지층을 이용하는 방법 KR102636375B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962835365P 2019-04-17 2019-04-17
US62/835,365 2019-04-17
US16/559,089 US10950485B2 (en) 2019-04-17 2019-09-03 Semiconductor processing apparatus and method utilizing electrostatic discharge (ESD) prevention layer
US16/559,089 2019-09-03
KR1020190170990A KR20200122979A (ko) 2019-04-17 2019-12-19 반도체 처리 장치 및 정전기 방전(esd) 방지층을 이용하는 방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020190170990A Division KR20200122979A (ko) 2019-04-17 2019-12-19 반도체 처리 장치 및 정전기 방전(esd) 방지층을 이용하는 방법

Publications (2)

Publication Number Publication Date
KR20220066874A KR20220066874A (ko) 2022-05-24
KR102636375B1 true KR102636375B1 (ko) 2024-02-13

Family

ID=72660203

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220058433A KR102636375B1 (ko) 2019-04-17 2022-05-12 반도체 처리 장치 및 정전기 방전(esd) 방지층을 이용하는 방법

Country Status (3)

Country Link
US (2) US11854860B2 (ko)
KR (1) KR102636375B1 (ko)
DE (1) DE102019125819A1 (ko)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005510868A (ja) * 2001-11-27 2005-04-21 エンテグリス・インコーポレーテッド 静電消散膜を備える半導体要素ハンドリングデバイス
JP2012160491A (ja) 2011-01-28 2012-08-23 Sharp Corp 基板搬送装置及び基板処理装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6270306B1 (en) 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
JP2000248186A (ja) * 1999-02-26 2000-09-12 Teijin Ltd 樹脂組成物およびそれからなるエレクトロニクス分野の搬送用冶具
US6684123B2 (en) 2001-06-26 2004-01-27 Applied Materials, Inc. Method and apparatus for accessing a multiple chamber semiconductor wafer processing system
US6560105B1 (en) 2001-10-23 2003-05-06 Di/Dt, Inc. Composite low flow impedance voltage guard for electronic assemblies
US7547978B2 (en) * 2004-06-14 2009-06-16 Micron Technology, Inc. Underfill and encapsulation of semiconductor assemblies with materials having differing properties
US8398673B2 (en) * 2008-02-15 2013-03-19 Surgical Innovations V.O.F. Surgical instrument for grasping and cutting tissue
JPWO2010061519A1 (ja) * 2008-11-26 2012-04-19 株式会社村田製作所 Esd保護デバイス及びその製造方法
KR101283521B1 (ko) * 2008-11-26 2013-07-15 가부시키가이샤 무라타 세이사쿠쇼 Esd 보호 디바이스 및 그 제조방법
US8863956B2 (en) 2011-01-19 2014-10-21 Ray G. Brooks Packaging system for protection of IC wafers during fabrication, transport and storage
US20120211029A1 (en) 2011-02-22 2012-08-23 Pandit Viraj S Load lock assembly and method for particle reduction
US8724284B2 (en) * 2011-05-25 2014-05-13 Tdk Corporation Electrostatic protection component
KR101387387B1 (ko) 2011-12-21 2014-04-30 (주)탑나노시스 대전방지용 시트 및 이를 포함하여 대전방지된 작업 스테이지
US20130334713A1 (en) * 2011-12-22 2013-12-19 Dingying D. Xu Electrostatic discharge compliant patterned adhesive tape
KR101381864B1 (ko) * 2012-06-11 2014-04-24 (주)탑나노시스 탄소나노튜브 코팅막 및 상기 탄소나노튜브 코팅막을 형성하는 탄소나노튜브 용액 조성물
JP5692470B2 (ja) * 2012-08-13 2015-04-01 株式会社村田製作所 Esd保護装置
KR20140128667A (ko) 2013-04-29 2014-11-06 삼성전기주식회사 정전 방전 보호 소자 및 그 제조 방법, 그리고 상기 정전 방전 보호 소자를 구비하는 칩 부품
US20160029479A1 (en) * 2014-07-25 2016-01-28 Samsung Electro-Mechanics Co., Ltd. Electrostatic discharge protection board
US9954510B2 (en) * 2014-11-28 2018-04-24 Samsung Electro-Mechanics Co., Ltd. Common mode filter
US9881820B2 (en) * 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
JP6722518B2 (ja) 2016-06-09 2020-07-15 新光電気工業株式会社 焼結体及びその製造方法と静電チャック
US10950485B2 (en) 2019-04-17 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing apparatus and method utilizing electrostatic discharge (ESD) prevention layer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005510868A (ja) * 2001-11-27 2005-04-21 エンテグリス・インコーポレーテッド 静電消散膜を備える半導体要素ハンドリングデバイス
JP2012160491A (ja) 2011-01-28 2012-08-23 Sharp Corp 基板搬送装置及び基板処理装置

Also Published As

Publication number Publication date
US11854860B2 (en) 2023-12-26
US20240087945A1 (en) 2024-03-14
KR20220066874A (ko) 2022-05-24
US20230077331A1 (en) 2023-03-16
DE102019125819A1 (de) 2020-10-22

Similar Documents

Publication Publication Date Title
US20180233325A1 (en) Ale smoothness: in and outside semiconductor industry
US7604424B2 (en) Substrate processing apparatus
US11532499B2 (en) Semiconductor processing apparatus and method utilizing electrostatic discharge (ESD) prevention layer
US6767844B2 (en) Plasma chamber equipped with temperature-controlled focus ring and method of operating
US8616821B2 (en) Integrated apparatus to assure wafer quality and manufacturability
US20090032056A1 (en) Contaminant removing method, contaminant removing mechanism, and vacuum thin film formation processing apparatus
KR20010061934A (ko) 입자오염으로부터 리소그래피 소자를 보호하는 방법
CN105074877B (zh) 用于基板边缘清洁的方法和装置
JP2011151263A (ja) エッチング方法、エッチング装置及びリング部材
US20160035563A1 (en) Apparatus and method for processing semiconductor wafers
US9150969B2 (en) Method of etching metal layer
WO2012093683A1 (ja) 低分子化合物の分子レジストの蒸着装置
KR102636375B1 (ko) 반도체 처리 장치 및 정전기 방전(esd) 방지층을 이용하는 방법
TW202025383A (zh) 半導體製造系統
US20150079795A1 (en) Substrate Processing System with Multiple Processing Devices Deployed in Shared Ambient Environment and Associated Methods
KR970000204B1 (ko) 집적 회로 및 다른 전자 장치를 제조하기 위한 장치 및 방법
JP2005044975A (ja) 基板処理装置および基板処理方法
US20230386870A1 (en) Wet processing system and system and method for manufacturing semiconductor structure
KR100764983B1 (ko) 반도체 공정 챔버 내의 입자 잔여물을 감소시키는 장치
US20220344190A1 (en) Air curtain for defect reduction
US20220355346A1 (en) Semiconductor cleaning apparatus and method
US20230137182A1 (en) Method, system and apparatus for cooling a substrate
JP4080272B2 (ja) 基板処理方法
Yoshitake et al. New mask blank handling system for the advanced electron-beam writer EX-11
JP5975128B2 (ja) 基板処理装置、基板処理方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant