CN111602243A - 使用混合网格和支柱布置的配电网络(pdn) - Google Patents

使用混合网格和支柱布置的配电网络(pdn) Download PDF

Info

Publication number
CN111602243A
CN111602243A CN201880085681.2A CN201880085681A CN111602243A CN 111602243 A CN111602243 A CN 111602243A CN 201880085681 A CN201880085681 A CN 201880085681A CN 111602243 A CN111602243 A CN 111602243A
Authority
CN
China
Prior art keywords
initial
region
pdn
current density
mesh
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880085681.2A
Other languages
English (en)
Other versions
CN111602243B (zh
Inventor
A·小科雷亚莱
P·M·伊莱什
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Publication of CN111602243A publication Critical patent/CN111602243A/zh
Application granted granted Critical
Publication of CN111602243B publication Critical patent/CN111602243B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/337Design optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2113/00Details relating to the application field
    • G06F2113/04Power grid distribution networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/08Thermal analysis or thermal optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Geometry (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Architecture (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

公开了使用混合网格和支柱布置的配电网络PDN。具体地,用于设计集成电路IC的过程在放置和路由所述IC的所述PDN时考虑到各种设计标准。示范性设计标准包括开关频率、电流密度和去耦电容以及它们对温度的影响。在局部温度高的区域中,使用电网结构。在其它区域中,可以使用共享金属轨道支柱。通过将电网与支柱混合,所述IC可以通过允许所述网格帮助散热并辅助去耦电容来减少局部热点,同时在高电流密度的区域中提供支柱以减少电阻损耗。

Description

使用混合网格和支柱布置的配电网络(PDN)
根据35U.S.C.§119的优先权要求
本专利申请要求于2018年1月10日提交的、非临时申请第15/867,022的优先权,该非临时申请的名称为“使用混合电网和支柱布置的配电网络(PDN)”,并转让给本申请的受让人,并通过引用明确地结合于此。
技术领域
本公开的技术大体上涉及改进集成电路(IC)中的配电网络(PDN)。
背景技术
计算装置在现代社会中已经变得越来越普遍。早期的计算机是房间的大小,并且使用真空管来提供初步的数学计算。相反,现代计算装置在依赖集成电路(IC)的相对小的封装中提供无数多媒体、电话、文字处理和其它功能。工业上感受到了在越来越小的产品中提供不断增加的加工选项的市场压力。虽然IC通常遵循摩尔定律,但是在较小封装中IC功能的不断发展正在给制造能力施加压力。
当前的IC制造工艺依赖于分阶段使用的掩模序列来创建多层IC,例如可以包括一或多个晶体管的有源层,所述晶体管具有位于其上的多层金属以提供不同晶体管之间的互连并提供到外部管脚的连接。通路(虽然通路本身是描述该结构的词语,但是一些人认为通路(vias)是垂直互连通路的首字母缩写)或其它垂直元件允许层之间的互连。随着IC复杂度的增加,制造IC的掩模计数也在增加。通常将晶体管的源极和漏极之间的沟道尺寸称为工艺节点尺寸。早期的IC具有微米范围内的工艺节点尺寸。当前的IC在纳米范围内,当前的设计要求小于10纳米的工艺节点尺寸。
节点尺寸的这种减小已经导致较老的平面场效应晶体管(FET)遭受使性能降级的短沟道效应(SCE)。因此,工业上从较老的平面FET转向允许栅极环绕鳍并减轻SCE的具有鳍的FET(即,finFET)。利用当今先进的finFET技术,非常高的电流密度可以出现在大装置(即,具有大鳍计数的装置)中,并且也可以出现在IC内相对小的区域内具有高开关活动的许多装置的组中。与IC内的整体温度相比,这些高电流密度可以导致高的局部结温度。当前的建模技术并不总是正确地对此类的局部热点建模,或者并不能正确地处理这样的局部热点。
同时,随着装置密度的增加以及对更多电力和接地连接的需求的增加,配电遇到了额外的困难。传递电力的老式技术是借助使用IC内的多层金属来分配电力的电网。然而,这种电网在金属层中的金属迹线的物理尺寸减小时也受到影响。具体地,随着导电材料的物理尺寸减小,导电材料的电阻增加。为了最小化配电网络(PDN)中的电阻和相应的电压降,传统的网格已经被共享金属轨道支柱所代替。网格的部分可以保留在高金属层,但是最靠近有源元件的金属层使用共享金属轨道支柱。
这些共享金属轨道支柱基本上是通路的堆叠,每个金属层上具有一个通路。所述堆叠穿过许多金属层,直到在相对较高的金属层处可以到达完整的电网。尽管该支柱方法释放了更多的信号布线轨迹或使得更多的信号布线轨迹可用,并且由于单位面积内的电力通路数量增加而降低了电流*电阻(即,IR)损耗,但是这个方法以局部加热为代价,这又影响了性能和产品寿命。也就是说,局部加热会影响装置寿命并导致装置电流的降低。
更复杂的问题是,与在较低金属层(即,最接近硅表面的那些)处共享基于网格的配电的单元邻接相关联的去耦电容被支柱方法严重地损害。因此,一个单元行中的开关活动与另一个单元行隔离,直到到达网格。网格可以是堆叠上的六至八(6-8)层金属。如果一行中的活动开关不再利用静默的邻居或开关发生在不同时间段的邻居,则该隔离限制了要被削减的噪声。
因此,需要一种小节点尺寸IC中的改进的PDN,其具有共享金属轨道支柱的优点,同时避免了共享金属轨道支柱所施加的局部温度影响。
发明内容
具体实施方式中公开的方面包括使用混合网格和支柱布置的配电网络(PDN)。具体地,用于设计集成电路(IC)的、在放置和路由IC的PDN时考虑到各种设计标准的过程。示范性设计标准包括开关频率、电流密度和去耦电容以及它们对温度的影响。在局部温度高的区域中,使用电网结构。在其它区域中,可以使用共享金属轨道支柱。通过将电网与支柱混合,IC可以通过允许网格帮助散热并辅助去耦电容,同时在高电流密度的区域中提供支柱减少电阻损耗来减少局部热点。
在这一方面,公开了一种IC。IC包括其上具有有源层的衬底。IC还包括位于有源层之上的多个金属层。IC还包括PDN。PDN包括多个支柱,这些支柱延伸穿过位于最靠近有源层的至少四个金属层。PDN还包括由位置最靠近有源层的至少四个金属层内的金属迹线形成的网格,所述网格互连多个支柱的至少一个子集。
另一方面,公开了一种设计IC的方法。该方法包括在IC设计中分析有源元件的初始布局,其中所述初始布局包括基于一或多个初始标准的初始PDN。该方法还包括确定具有初始PDN的初始布局的区域的电流密度。该方法还包括基于电流密度和一或多个附加标准对区域进行排序。该方法还包括,对于第一区域,识别邻居区域。该方法还包括在初始PDN中插入一或多个网格结构,其中该一或多个网格结构位于第一区域内的有源层附近的金属层中,并且延伸到邻居区域中以减轻第一区域中的热活动。
在另一方面,公开了一种非暂时性计算机可读介质。非暂时性计算机可读介质具有存储在其上的计算机可执行指令,当处理器执行所述指令时,使得所述处理器基于一或多个初始标准来分析IC设计中的有源元件的初始布局,其中该初始布局包括初始PDN。指令还使处理器确定具有初始PDN的初始布局的区域的电流密度。指令还致使处理器基于电流密度和一或多个额外标准对所述区域进行分级。指令还使得所述处理器针对第一区域识别邻居区域。指令还使得处理器在初始PDN中插入一或多个网格结构,其中所述一或多个网格结构位于第一区域内的有源层附近的金属层中,并且延伸到邻居区域中以减轻第一区域中的热活动。
附图说明
图1A是示范性配电网络(PDN)的简化俯视平面图,在低金属层中使用网格结构,其中金属层彼此偏移以辅助;
图1B是沿线B-B截取的图1A的侧截面图,其中金属层没有偏移;
图2A是具有互连各种金属层的通路的简化俯视平面图,所述通路形成共享金属轨道支柱的各种层;
图2B是沿线B-B截取的图2A的各种金属层的截面图;
图2C是合并成单个共享金属轨道支柱的图2A的各个视图的俯视平面图;
图2D是沿线D-D截取的图2C的共享金属轨道支柱的截面图;
图3是根据传统支柱电力布局技术的集成电路(IC)中的支柱布局的简化图示的俯视平面图;
图4是根据本公开的示范性方面的混合网格-支柱PDN的简化图示的俯视平面图;
图5是示出了根据本公开的示范性方面的用于创建混合支柱和网格配电网络的示范性过程的流程图;以及
图6是可包括具有根据图5的过程设计的混合网格-支柱PDN的IC的示范性的基于处理器的系统的框图。
具体实施方式
现在参考附图,描述本公开的几个示范性方面。词语“示范性”在此用于表示“用作实例,实例或说明”本文中描述为“示范性”的任何方面不一定被解释为比其它方面优选或有利。
具体实施方式中公开的方面包括使用混合网格和支柱布置的配电网络(PDN)。具体地,用于设计集成电路(IC)的、在放置和路由IC的PDN时考虑到各种设计标准的过程。示范性设计标准包括开关频率、电流密度和去耦电容以及它们对温度的影响。在局部温度高的区域中,使用电网结构。在其它区域中,可以使用共享金属轨道支柱。通过将电网与支柱混合,IC可以通过允许网格帮助散热并辅助去耦电容,同时在高电流密度的区域中提供支柱减少电阻损耗来减少局部热点。
在阐述本公开的示范性方面之前,参考图1A和1B提供了网格PDN的简要概述,并且参考图2A至2D和图3提供了基于支柱的PDN的概述。下面参考图4开始本公开的示范性方面的讨论。
在这点上,图1A是IC 100内典型的“均匀”基于网格的PDN的俯视平面图。图1B示出了沿图1A的线B-B截取的集成电路100的侧截面图。集成电路100具有衬底102,其上形成有源元件104(图1B),例如场效应晶体管(FET),其可以是基于鳍的FET(finFET)等。多个金属层M0-M3位于衬底102上方。最低的金属层是M0,并且沿x轴方向延伸。M0是包括在例如集成电路100的集成电路标准单元中的基准电平。在z轴方向上向上的下一层是M1,其在y轴方向上延伸。在z轴方向上向上的下一层是M2,其在x轴方向上延伸。在z轴方向上向上的下一层是M3,其在y轴方向上延伸。应当理解,包括例如集成电路100的集成电路的大多数集成电路可以具有多达十五(15)个金属层(M0-M14),尽管在图1A和1B中仅示出了M0-M3。应进一步注意,一些工业内的文献将最低金属层称为M1而不是M0。然而,即使那些文献也遵循这样的惯例:最低的金属层具有最小的数字,并且在z轴方向上更远离衬底的金属层具有更大的数字。
为了说明的目的,在图1A中示出了沿x轴方向延伸的每个金属层和沿y轴方向延伸的每个金属层彼此偏移。图1B示出了处于适当位置且直接重叠的金属层。此外,虽然每个金属迹线被示为具有相同的宽度,但是每个可以被不同地确定。
继续参考图1A和1B,金属层形成PDN 106,其中VDD由金属层M0中的金属迹线108、金属层M1中的金属迹线110(1)-110(3)、金属层M2中的金属迹线112和金属层M3中的金属迹线114(1)-114(2)提供。应了解,当集成电路100的面积增加时,额外的金属迹线108(N)、110(M)、112(N)及114(M)将存在(未示出),但根据由PDN 106所界定的VDD周期性而重新出现。
类似地,由金属层M0中的金属迹线118、金属层M1中的金属迹线120(1)-120(3)、金属层M2中的金属迹线122和金属层M3中的金属迹线124(1)-124(3)提供接地(GND)。再者,应了解当集成电路100的面积增加时,额外的金属迹线118(N)、120(M)、122(N)和124(M)将存在(未出),但根据由PND 106所界定的GND周期性而重新出现。定义每个电平上的GND和VDD的周期以确保IR降(电压降)和电迁移(EM)在设计规范内。应注意,虽然被示为具有均匀的周期性,但是所述周期性可以在GND和VDD之间变化,并且还可以基于金属层而进一步变化(例如,M0可以具有与M2不同的周期性)。
继续参考图1A和1B,通过通路形成垂直互连。具体地,V0通路将M0连接到M1,V1通路将M1连接到M2,V2通路将M2连接到M3,等等。
与图1A和1B的网格方法相比,图2A-2D示出了到PDN的传统共享金属支柱方法。具体地,图2A和2B示出了用于支柱200的单独的层对,其中图2A示出了俯视平面图,图2B示出了沿线B-B的截面图。图2C和2D示出了整个支柱200,图2C示出了俯视平面图,图2D示出了沿线D-D的截面图。为了说明的目的,仅示出了四个金属层。应该理解的是,支柱200可以延伸到例如M6或M8的更高层,甚至在一些情况下可以延伸到M14。
具体地,图2A和2B示出了M0金属层中的通过V0通路206耦合到M1金属层中的第二金属部分204的第一金属部分202。同样,第二金属部分204通过V1通路210与M2层中的第三金属部分208耦合。第三金属部分208通过V2通路214与M3层中的第四金属部分212耦合。第四金属部分212通过V3通路218与M4层中的第五金属部分216耦合。支柱200的整体在图2C和2D中示出。一般来说,在通路206、210、214和218中的每一个处的金属部分202、204、208、212和216中的每一个都是由技术制造商界定的某一最小区域。在一些情况下,如果技术支持“切割”金属掩模/特征,那么可切割给定金属部分以满足支柱200的区域和包围。
图3示出了具有位于跨越多个单元布局行的电源轨道302和接地轨道304上的多个支柱200(1)-200(N)的集成电路300。如图所示,有奇数个行,每行都有单元。支柱200(1)-200(N)在公共垂直金属布线沟道或轨迹中对准。这种配置允许比图1A中的集成电路100中的使用基于网格的PDN的可用通路密度更高的通路密度。因为从通路贡献给PDN的电阻减小,较高的通路密度会导致较低的IR损失。应注意在例如M6或更高的一些更高的金属层处可以存在将支柱200(1)-200(N)连接在一起的网格(允许用于VDD和GND的不同迹线)。
应当理解,图1A-2D所示的每个PDN都具有其优点和缺点。集成电路100的电网允许高效的热分布、去耦电容和噪声减轻,但是对于每个电源和地,如果电网的周期与支柱PDN的周期不匹配,则以相对较高的IR降为代价。相反地,支柱200具有相对较低的IR降,但是会因为当从衬底到网格平面的距离较大时存在较大的热阻而聚集热量。这种较大的热阻会导致较高的局部结温度,其可具有寿命终止分支和/或降低局部性能,因为增加的俘获热影响衬底中的电迁移。除了支柱200(1)-200(N)的热特性之外,支柱200(1)-200(N)还牺牲了跨电路行的开关事件的自然去耦。实例开关事件可以是在IC的第一行(例如,CKT行1)中发生的开关事件。由于直到M4或更高层,在图3中的集成电路300中的所有电路行上没有共享的网格电源层,没有经历开关事件的另一行(例如,第三行,CKT行3)的静态域没有被高效地用于帮助减轻第一行中的开关事件的噪声。因此,不仅存在较高的局部结温度,而且存在较大的噪声尖峰,当开关事件的交流(AC)部分与直流(DC)部分集成时,噪声尖峰会降低性能,引起更多不希望的耦合并增加高效的IR降。
本公开的示范性方面形成了混合网格和支柱PDN,其中网格元件位于低金属层中以帮助散热和去耦,同时保留了支柱关于电压降的优点。具体地,本公开的示范性方面考虑设计一种电路,该电路包括用于为需要高电流密度的区域初级配电的支柱,所述支柱与部分网格耦合以帮助散热和去耦。
在这点上,图4示出了具有四个电路单元行402(1)-402(4)的集成电路400的俯视平面图。集成电路400包括M0VDD电源线404(1)和404(2)以及M0GND电源线406(1)-406(3)。M0VDD电源线404(1)和404(2)包括类似于上述的支柱200(1)-200(N)的VDD支柱408(1)-408(6)。此外,集成电路400包括类似于上述的支柱200(1)-200(N)的GND支柱410(1)-410(9)。除了柱408(1)-408(6)和410(1)-410(9)之外,集成电路400还包括在发生高电流转换或其它导致高局部结温度的活动的区域上交错的网格412。网格412包括M2金属迹线414(1)-414(2)和M1金属迹线416(1)-416(2)。虽然未示出,但是网格412还可以包括在M2金属迹线414(1)-414(2)上方并且平行于(即,沿着y轴延伸)M1金属迹线416(1)-416(2)的M3金属迹线。同样地,虽然未示出,但网格412还可以包括在M3金属迹线上方并且平行于(即,沿着x轴延伸)M2金属迹线414(1)-414(2)的M4金属迹线。通路(未示出)可以互连网格412的金属迹线。
除了具有混合网格和支柱PDN的集成电路400之外,本公开的示范性方面还提供了图5所示出的过程500,其识别具有某由用户定义的鳍片计数的高交换网络(例如,时钟、输出等),并且根据某由用户定义的阈值将配电指定为基于网格并且位于一些单元行布局上方以帮助噪声去耦。基于网格的结构不需要覆盖整个IC或IC内的感兴趣区域,而是应当从具有受局部结温度影响或影响局部结温度的第一特性的第一区域延伸到具有不同于第一特性的第二特性的第二区域。示范性特征包括但不限于:电流密度、开关频率、定时松弛等。过程500还将基于某由用户定义的周期在不需要基于网格的实现的区域中交错电力支柱。过程500还将定位可与电力支柱一起使用以进一步支持或增强现有电力支柱分布的部分使用的布线轨迹。过程500使用单元库来协助创建PDN。单元库可以具有组件,所述组件可以具有装置尺寸和物理装置位置的表示以帮助计算。或者,可以仅使用布局文件。单元库可以包括用户定义或阈值,并且可以包括基于网格的轨道的优选位置。然后过程500可以在制定布局和路由决策利用定时松弛、配电电压降(即,IR损耗)或高开关活动性的区域的表示、以及其它输入。
过程500使用“网络列表”,其包含在设计中使用的所有电路和所有需要的互连。在网络列表内是各种高驱动强度电路以及具有高频开关的电路,例如时钟、锁存器和驱动器。网络列表可以参考单元库,所述单元库是与网络列表中的电路以及在给定电路的设计中可以使用或不使用的其它电路设计工具相对应的符号、示意图、摘要和物理布局的储存库。单元库还可以具有标识管脚连接和电源端口的各种表示,并且还可以包括用于优选电源目标的一些物理位置,例如过程500可以使用的基于网格的位置。过程500也可以使用基于软件的布局来创建与优化的路由和/或定时一致的布局。可以使用具有或不具有正式路由的此初始布局工具,利用过程500来完成早期定时评估。在示范性方面,可以采用使用斯坦纳距离(Steiner distances)的布线长度估计来评估定时关注区域。在另一方面,可以采用正式路由来获得对定时和路由拥塞的更精确的评估。
如上所述,基于支柱的配电可以释放更多水平的金属迹线(M1-M5)以帮助布线,但是以局部升温和降低去耦为代价。过程500的一部分是将生成“松弛报告”的定时分析。如这里所使用的,松弛报告是关于设计中相对于规范的正或负余量(或松弛量)的各种网中的每一个的报告。具有负松弛量的网可总体上支配设计的复合性能。如果那些网还与接近高开关或高功率/驱动强度电路相关联,则松弛量可能比最初报告或建模的更差。初始规划和更负面的现实之间的差异可以是高局部结温度导致的。具体地,局部结温度可以高于使用大多数建模软件通常假定的均匀结温度所建模的温度。通过识别网的位置和高开关和/或高功率/驱动强度电路的区域的接近度,过程500工作以使用支柱和基于网格的结构来修改或创建混合配电,以减轻局部热点,同时保持(并且潜在地增强)PDN的IR降。如果热结温度图工具可用,则过程500可以使用此工具。
在这点上,图5示出了过程500。具体地,过程500是可以在软件中实现的闭环算法,以通过机会性地将混合的支柱/网格元件插入PDN来改进电路设计。过程500开始于设计网络列表(框502)。如上所述,设计网络列表描述给定设计的逻辑单元和网(互连)。设计网络列表可以通过工业标准布局工具和/或定制布局工具的任意组合放置到电路布局中(框504)。此初始布局可含有由电网和支柱的任意组合形成的初始PDN。应注意框506是任选的。如果在框504中不存在初始PDN,则过程500可以创建初始PDN(框506)。PDN的制作中使用了一组金属和通路结构,以向电路提供给定的IR降。IR降是从一个目的地到另一个目的地的电阻和沿着所述路径流动的电流所导致的。这些目的地通常是从电源或地源到目的电路。PDN还被设计成符合应用的电迁移(EM)限制。EM是与金属疲劳和孔隙(两者都可能导致失效)相关的预期寿命问题。EM取决于结温度和通电时间。由当前给定的设计原理,假设该初始PDN主要基于支柱。
继续参考图5,一旦存在初始PDN,则过程500在信号路由完成之前执行对设计中的布局的路由前分析(框508)。具体地,该路由前分析可以生成上述定时松弛报告(508A)、可以由过程500或通过外部工具生成的热图(508B)以及IR损耗图(508C)。一旦该分析完成,过程500分析由用户定义的阈值(框510A)定义的高电流密度区域(框510)的设计。用户定义的阈值可以由以下中的一或多个来定义:最小静态电流或最小动态电流、最小装置尺寸、最小活动因子等。还应注意,可以考虑诸如EM和部件的预期寿命之类的事情。例如,对于具有10年预期寿命使用的电路和仅打算使用3年的电路来说,什么可以被认为是高电流可以是不同的。然后过程500对所有高电流密度区域或网进行优先级排序(框512)。即,使用用户定义的标准(框512A)根据重要性对在框510中识别的区域(和/或网)进行分级。示范性标准包括但不限于:1xN结构、时钟网、高活动区域、高扇出区域和/或大装置结构的存在。除了框512A的用户定义的标准之外,还可以咨询单元库512B来基于所预测的使用(例如,高频或高扇出使用)和布局来确定电力候选位置以及适合增强分配的位置。应注意框512A中的用户定义标准可以采用不同的形式,并且不同的标准列表可以或多或少地适合于不同的设计。框512的输出提供PDN被修改的区域的分类列表。
继续参考图5,过程500通过评估最大金属使用量是否大于设计的阈值(框514)而继续。阈值可以是针对路由前迭代和路由后迭代的用户定义的(框514A)。如果框514的答案为否,则金属使用仍低于阈值,则应查看过程500是否允许金属使用进行更多改进。即,如果尚未达到最大金属使用,则该过程通过取得在框512中生成的列表上的最高排序区域来继续(框516)。第一次通过,框516取得最高排序的区域,并且随后取得下一个最高排序的区域。该过程查看感兴趣区域周围的区域和单元以定位最近的静默的邻居(框518)。静默的邻居被定义为当感兴趣区域活动时没有电流密度或开关活动,或者电流密度或开关活动至少小于感兴趣区域的百分之八十的邻居。对“静默”的评估可以检查邻居中的多个金属层以查看是否存在穿过该区域但未被该区域使用的高速总线或者影响被指定为静默的邻居的任何其它活动。可以有用户定义的距离来寻找静默的邻居(框518A)。该过程检查感兴趣区域和静默的邻居(以及任何中间空间,如果存在的话),并且考虑该空间中的一或多个支柱是否可以被转换为伪网格而不会对IR降产生负面影响(框520)。一个实例是支柱存在于存储元件的1xN结构的时钟区域上,其中最佳PDN将是每一个电源和接地的两个条带。虽然最佳修改在不同情况下是不同的,在该实例中,电源条带和接地条带对支柱对的一对一替代导致相同数量的通路,从而导致相当的IR损耗。然而,条带的添加允许对静默的区域的潜在访问,同时降低较高的局部结温度。用于优化的一般经验法则是在提供网以散出热和噪声的同时,查看是否可以保持通路的数量。在此情况下,现有的支柱将被转换成一个网,并且将为另一个添加附加的条带。然后这个伪网格将被扩展用户定义的距离以进入静默的邻居。
过程500通过识别伪网格插入可以在哪里进行并将结果与默认的支柱布置进行比较以查看插入是否将有益于受影响的电路而继续。在比较是有利的情况下,过程500插入伪网格(框522)。插入将在可能的最低金属层(例如,M0)处进行并加工金属叠层。通过在从底部到顶部的连续金属层中的网格中提供互连来实现最大影响。
过程500通过在可插入支柱的任何区域中插入连接重叠的网格或伪网格的支柱(框524)来继续,以在PDN的相邻金属之间提供增加的耦合。应注意这些网格结构接近区域中的有源元件。如这里所使用的,邻近有源元件意味着在前四或五个金属层(例如,M0-M4)内。即,如果存在未互连但可能具有支柱的重叠网格,则插入支柱以形成互连。过程500循环通过框514、516、518、520、522和524(在此统称为转换循环),以来自框512的区域的被分级的顺序重复通过这些区域,直到在框514中最大金属使用超过预定阈值。
一旦在框514中最大金属使用超过阈值(或者如果框512的列表中没有更多感兴趣区域),则过程500提供PDN的改进报告(框526)。这可以以能够被人消费的格式输出(在显示器上、通过纸张打印输出等其它类似格式)。
过程500继续确定过程是否已完成路由后设计评估和优化(框528)。如果尚未完成路由后设计评估和优化,则完全路由该设计(框530)以连接所有信号路由。该路由可以通过工业标准工具、定制工具、手动路由或这些的一些组合来完成。在完成信号路由之后,执行路由后分析(框532)。与路由前分析(框508)一样,该路由后分析可以生成上述定时松弛报告(532A),可以由所述过程或通过外部工具生成热图(532B)以及IR损耗图(532C)。然后,过程500使用框510中的路由后分析报告来分析高电流密度区域,并继续通过上面讨论的框512执行相同种类的优先化,但该过程在路由后设计上。然后过程500重复通过转换循环,直到超过最大金属使用阈值(或分析所有感兴趣区域)。应注意当在路由后分析中插入伪网格时,在路由前部分中进行的一些改变可以被去除、重做或以其它方式修改以符合信号路由或以其它方式考虑路由而优化区域。当框514被回答为是时,在框526生成第二报告,并且该过程返回到框528处的确定路由后设计是否已经完成的步骤。在此示例中,已完成路由后设计,并且最终图形设计系统/规范(GDS)已经产生(框534)并且过程500结束。
应当理解,框508中的路由前分析和框532中的路由后分析包含非常相似的数据,尽管是在设计过程的不同点(路由前与路由后)。在这些框的每一个中,可以生成定时松弛报告。虽然也可以生成热图和IR损耗图,但是可以对定时松弛报告的考虑进行优先化以确保满足性能。
应注意虽然以上讨论预期调用转换循环两次(即,路由前和路由后),但是本公开不限于此,并且可以调用转换循环多次。例如,在一些工业标准的布局和路由工具中,可能存在路由的多个通路以允许对路由和布局候选的多个置换进行评估,直到满足用于最优设计的工具内的内部度量。对于多遍中的每一遍,可以调用一或两次转换循环。
在过程500结束时,应当设计具有混合网格和支柱PDN的IC。支柱位于需要低IR降的区域中,并且网格从至少具有影响局部结温度或受到局部结温度影响的第一特性的第一区域延伸到具有不同特性的第二区域,以便帮助散热、通过提供去耦来减少噪声,并且仍然提供相对低的IR降。如上所述,示范性特性包括电流密度、定时松弛和开关频率。
总之,包含最佳电力候选位置的单元库(例如,单元库512B)与解决与IC中的纯基于支柱的PDN设计的低效率以及IC中的纯基于网格的PDN设计的低效率相关联的问题的软件耦合。通过将两种方法混合,提供了这些低效率的协同缓解。具体地,过程500解决IR损失,同时确保最小的局部结加热和最佳的噪声去耦。支柱配置可与部分和/或完全基于网格的结构一起使用,所述结构在金属层中比传统支柱设计出现得低得多。过程500考虑定时松弛分析和局部热图以确保最佳定时性能、最佳电迁移,并考虑IC的寿命终止问题。当单元用于高开关应用和/或具有高驱动应用或布局时,单元库512B可以结合用于混合PDN注意的优化位置。用户定义阈值或优先标准的能力在设计阶段提供了对电源层的增强的用户控制。
可以在任何基于处理器的装置中提供或集成根据在此公开的方面的使用混合网格和支柱布置的PDN。实例包括但不限于机顶盒、娱乐单元、导航装置、通信装置、固定位置数据单元、移动位置数据单元、全球定位系统(GPS)装置、移动电话、蜂窝电话、智能电话、会话发起协议(SIP)电话、平板电脑、平板手机电脑、服务器、计算机、便携式计算机、移动计算装置、可佩戴计算装置(例如,智能手表、健康或健身跟踪器、眼镜等)、台式计算机、个人数字助理(PDA)、监视器、计算机监视器、电视机、调谐器、收音机、卫星收音机、音乐播放器、数字音乐播放器、便携式音乐播放器、数字视频播放器、视频播放器、数字视频盘(DVD)播放器、便携式数字视频播放器、汽车、车辆组件、航空电子系统、无人机和多翼机。
在这点上,图6示出了基于处理器的系统600的实例,其可以采用具有使用通过图5的过程500创建的混合网格和支柱布置的配电网络(PDN)的集成电路。在该实例中,基于处理器的系统600包括一或多个中央处理单元(CPU)602,每个包括一或多个处理器604。CPU602可以具有高速缓冲存储器606,其耦合到处理器604,用于快速访问临时存储的数据。CPU602耦合到系统总线608,并且可以互连包括在基于处理器的系统600中的主装置和从装置。众所周知,CPU 602通过在系统总线608上交换地址、控制和数据信息来与这些其它装置通信。举例来说,CPU 602可将总线事务请求传送到作为从装置的实例的存储器控制器610。尽管图6中未示出,但是可以提供多个系统总线608,其中每个系统总线608构成不同的结构。
其它主装置和从装置可以连接到系统总线608。如图6所示,作为实例,这些装置可以包括存储器系统612、一或多个输入装置614、一或多个输出装置616、一或多个网络接口装置618以及一或多个显示控制器620。输入装置614可包括任何类型的输入装置,包括但不限于输入键、开关、语音处理器等,输出装置616可包括任何类型的输出装置,包括但不限于音频、视频、其它可视指示符等。网络接口装置618可以是被配置成允许与网络622交换数据的任何装置。网络622可以是任何类型的网络,包括但不限于有线或无线网络、专用或公共网络、局域网(LAN)、无线局域网(WLAN)、广域网(WAN)、蓝牙TM网络和因特网。网络接口装置618可被配置成支持所需的任何类型的通信协议。存储器系统612可以包括一或多个存储器单元624(0-N)。
CPU 602还可以被配置为通过系统总线608访问显示控制器620来控制发送到一或多个显示器626的信息。显示控制器620将信息发送到显示器626以通过一或多个视频处理器628进行显示,视频处理器将待显示的信息处理成适用于显示器626的格式。显示器626可以包括任何类型的显示器,包括但不限于阴极射线管(CRT)、液晶显示器(LCD)、等离子显示器\发光二极管(LED)显示器等。
本领域的技术人员将进一步了解,结合本文所揭示的方面而描述的各种说明性逻辑框、模块、电路和算法可以实现为电子硬件、存储在存储器或另一计算机可读介质中且由处理器或其它处理装置执行的指令、或两者的组合。作为实例,这里描述的装置可以用在任何电路、硬件组件、IC或IC芯片中。本文中公开的存储器可以是任何类型和大小的存储器并且可以被配置为存储任何类型的所需信息。为了清楚地说明这种可互换性,各种说明性的组件、框、模块、电路和步骤在上文中一般按照它们的功能进行了描述。如何实现此功能取决于特定的应用、设计选择和/或施加在整个系统上的设计约束。本领域的技术人员可针对每一特定应用以不同方式实施所描述的功能性,但此实现决策不应被解释为导致脱离本发明的范围。
结合本文所揭示的方面而描述的各种说明性逻辑框、模块和电路可以以处理器、数字信号处理器(DSP)、专用集成电路(ASIC)、现场可编程门阵列(FPGA)或其它可编程逻辑装置、离散门或晶体管逻辑、离散硬件组件或其经设计以执行本文所描述的功能的任何组合来实施或执行。处理器可以是微处理器,但在替代方案中,处理器可以是任何常规处理器、控制器、微控制器或状态机。处理器还可实现为计算装置的组合(例如,DSP与微处理器的组合、多个微处理器的组合、一或多个微处理器与DSP核心的联合或任何其它此类配置)。
本文所揭示的方面可实施于硬件中和存储于硬件中的指令中,且可驻留于例如随机存取存储器(RAM),快闪存储器、只读存储器(ROM)、电可编程ROM(EPROM)、电可擦除可编程ROM(EEPROM)、寄存器、硬盘、可装卸盘、CD-ROM或此项技术中已知的任何其它形式的计算机可读介质中。示范性存储介质耦合到处理器,使得处理器可从存储介质读取信息和向存储介质写入信息。或者,存储介质可与处理器成一体。处理器和存储介质可以驻留在ASIC中。ASIC可以驻留在远程站中。在替代方案中,处理器和存储介质可作为离散组件驻存在远程站,基站或服务器中。
还应注意,这里描述的任何示范性方面中描述的操作步骤是为了提供示例和讨论。所描述的操作可以以不同于所示序列的多种不同序列来执行。此外,在单个操作步骤中描述的操作实际上可以在多个不同步骤中执行。另外,可组合在示范性方面中论述的一或多个操作步骤。应当理解流程图中所示的操作步骤可以进行许多不同的修改,这对于本领域技术人员来说是显而易见的。本领域的技术人员还将了解,可使用多种不同技术和技法中的任一者来表示信息和信号。例如,可以用电压、电流、电磁波、磁场或粒子、光场或粒子或它们的任意组合来表示贯穿以上描述可能提及的数据、指令、命令、信息、信号、比特、符号和码片。

Claims (27)

1.一种集成电路IC,其包含:
衬底,其上具有有源层,所述有源层具有具有影响局部热条件或受局部热条件影响的第一特性的第一区域和具有第二特性的第二区域;
多个金属层,其位于所述有源层上方;以及
配电网络PDN,其包含:
多个支柱,其延伸通过位于最靠近所述有源层的至少四个金属层;以及
网格,其由位于最靠近所述有源层的所述至少四个金属层内的金属迹线形成,所述网格互连所述多个支柱的至少一个子集,其中所述网格从所述第一区域延伸到所述第二区域。
2.根据权利要求1所述的IC,其中所述网格包含电源轨道和接地轨道。
3.根据权利要求1所述的IC,其中所述第一区域包含第一电流密度。
4.根据权利要求3所述的IC,其中所述网格至少部分地延伸通过所述第一区域进入具有低于所述第一电流密度的第二电流密度的所述第二区域。
5.根据权利要求1所述的IC,其中所述第一特性包含第一开关频率。
6.根据权利要求5所述的IC,其中网格至少部分地延伸通过所述第一区域进入具有低于所述第一开关频率的第二开关频率的所述第二区域。
7.根据权利要求1所述的IC,其中所述第一特性包含第一定时松弛。
8.根据权利要求7所述的IC,其中所述网格至少部分地延伸通过所述第一区域进入具有不同于所述第一定时松弛的第二定时松弛的所述第二区域。
9.根据权利要求1所述的IC,其中所述第一特性包含第一预测局部结温度。
10.根据权利要求9所述的IC,其中所述网格至少部分地延伸通过所述第一区域进入具有低于所述第一预测局部结温度的第二预测局部结温度的所述第二区域。
11.根据权利要求1所述的IC,其被集成到选自由以下组成的装置中:机顶盒;娱乐单元;导航装置;通信装置;固定位置数据单元;移动位置数据单元;全球定位系统GPS装置;移动电话;蜂窝电话;智能电话;会话发起协议SIP电话;平板电脑;平板手机电脑;服务器;计算机;便携式计算机;移动计算装置;可穿戴式计算装置;台式计算机;个人数字助理PDA;监视器;计算机监视器;电视机;调谐器;收音机;卫星收音机;音乐播放器;数字音乐播放器;便携式音乐播放器;数字视频播放器;视频播放器;数字视频盘DVD播放器;便携式数字视频播放器;汽车;车辆组件;航空电子系统;无人机;以及多翼机。
12.一种设计集成电路IC的方法,其包含:
基于一或多个初始标准分析IC设计中的有源元件的初始布局,其中所述初始布局包括初始配电网络PDN;
确定具有所述初始PDN的所述初始布局的区域的电流密度;
基于所述电流密度和一或多个附加标准对所述区域进行排序;
对于第一区域,识别邻居区域;以及
在所述初始PDN中插入一或多个网格结构,其中所述一或多个网格结构位于所述第一区域内的有源层附近的金属层中并且延伸到所述邻居区域中以减轻所述第一区域中的热活动。
13.根据权利要求12所述的方法,其进一步包含:
创建用于所述IC设计的所述有源元件的初始列表;
使用第一软件工具执行所述有源元件的所述初始布局;以及
基于所述初始布局分配初始的基于支柱的PDN。
14.根据权利要求12所述的方法,其进一步包含将所述第一区域中的至少一个支柱耦合到所述一或多个网格结构。
15.根据权利要求12所述的方法,其进一步包含在插入所述一或多个网格结构之后执行路由后分析。
16.根据权利要求15所述的方法,其进一步包含在所述路由后分析之后针对当前电平分析路由后区域。
17.根据权利要求16所述的方法,其进一步包含基于所述电流密度对所述路由后区域进行排序。
18.根据权利要求12所述的方法,其中基于所述一或多个初始标准分析所述IC设计中的所述有源元件的所述初始布局包含分析定时松弛报告。
19.根据权利要求12所述的方法,其中基于所述一或多个初始标准分析所述IC设计中的所述有源元件的所述初始布局包含分析热图。
20.根据权利要求12所述的方法,其中基于所述一或多个初始标准分析所述IC设计中的所述有源元件的所述初始布局包含分析电压降(IR)损耗图。
21.根据权利要求12所述的方法,其中识别所述邻居区域包含识别具有低于预定阈值的电流密度的邻居区域。
22.根据权利要求12所述的方法,其中识别所述邻居区域包含识别具有低于预定阈值的开关频率的邻居区域。
23.根据权利要求12所述的方法,其中基于所述电流密度和所述一或多个附加标准对所述区域进行排序包含考虑寿命终止标准。
24.根据权利要求12所述的方法,其中基于所述电流密度和所述一或多个附加标准对所述区域进行排序包含向单元库咨询关于所述区域的附加信息。
25.根据权利要求12所述的方法,其进一步包含迭代通过经排序的区域并将所述一或多个网格结构插入多个所述经排序的区域中。
26.一种非暂时性计算机可读介质,其上存储有计算机可执行指令,所述计算机可执行指令在由处理器执行时使得所述处理器:
基于一或多个初始标准分析IC设计中的有源元件的初始布局,其中所述初始布局包括初始配电网络PDN;
确定具有所述初始PDN的所述初始布局的区域的电流密度;
基于所述电流密度和一或多个附加标准对所述区域进行排序;
对于第一区域,识别邻居区域;以及
在所述初始PDN中插入一或多个网格结构,其中所述一或多个网格结构位于所述第一区域内的有源层附近的金属层中并且延伸到所述邻居区域中以减轻所述第一区域中的热活动。
27.一种设计集成电路IC的方法,其包含:
基于一或多个初始的基于热的标准,分析IC设计中的有源元件的初始布局,其中所述初始布局包括初始配电网络PDN;
确定具有所述初始PDN的所述初始布局的区域的电流密度;
在所述初始PDN中插入一或多个网格结构,其中所述一或多个网格结构位于最靠近有源层的初始四个金属层内的金属层中。
CN201880085681.2A 2018-01-10 2018-12-10 使用混合网格和支柱布置的配电网络(pdn) Active CN111602243B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/867,022 US10380308B2 (en) 2018-01-10 2018-01-10 Power distribution networks (PDNs) using hybrid grid and pillar arrangements
US15/867,022 2018-01-10
PCT/US2018/064667 WO2019139705A1 (en) 2018-01-10 2018-12-10 POWER DISTRIBUTION NETWORKS (PDNs) USING HYBRID GRID AND PILLAR ARRANGEMENTS

Publications (2)

Publication Number Publication Date
CN111602243A true CN111602243A (zh) 2020-08-28
CN111602243B CN111602243B (zh) 2024-06-11

Family

ID=64949450

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880085681.2A Active CN111602243B (zh) 2018-01-10 2018-12-10 使用混合网格和支柱布置的配电网络(pdn)

Country Status (4)

Country Link
US (1) US10380308B2 (zh)
EP (1) EP3738145A1 (zh)
CN (1) CN111602243B (zh)
WO (1) WO2019139705A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3007224A1 (en) * 2014-10-08 2016-04-13 Nxp B.V. Metallisation for semiconductor device
US11526649B2 (en) * 2018-10-31 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitive isolation structure insert for reversed signals
TWI760711B (zh) * 2020-03-17 2022-04-11 瑞昱半導體股份有限公司 積體電路裝置
CN111581908B (zh) * 2020-05-09 2021-06-25 安徽省东科半导体有限公司 一种提升芯片硬宏供电可靠性的方法
US11315628B1 (en) * 2020-10-21 2022-04-26 Arm Limited Techniques for powering memory
US11921559B2 (en) * 2021-05-03 2024-03-05 Groq, Inc. Power grid distribution for tensor streaming processors
TWI767841B (zh) * 2021-09-17 2022-06-11 智原科技股份有限公司 運用於積體電路的電源網結構

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1176640A2 (en) * 2000-07-27 2002-01-30 Texas Instruments Incorporated Contact structure of an integrated power circuit
US20060095872A1 (en) * 2002-07-29 2006-05-04 Mcelvain Kenneth S Integrated circuit devices and methods and apparatuses for designing integrated circuit devices
WO2007070879A1 (en) * 2005-12-17 2007-06-21 Gradient Design Automation, Inc. Simulation of ic temperature distributions using an adaptive 3d grid
US20160126180A1 (en) * 2014-10-30 2016-05-05 Qualcomm Incorporated Via structure for optimizing signal porosity
US20170147727A1 (en) * 2015-11-19 2017-05-25 Globalfoundries Inc. Temperature-aware integrated circuit design methods and systems
EP3229270A1 (en) * 2016-04-06 2017-10-11 IMEC vzw Integrated circuit power distribution network

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5343058A (en) * 1991-11-18 1994-08-30 Vlsi Technology, Inc. Gate array bases with flexible routing
GB9203595D0 (en) * 1992-02-20 1992-04-08 Philips Electronics Uk Ltd Methods of fabricating thin film structures and display devices produced thereby
US5313079A (en) * 1992-06-22 1994-05-17 Vlsi Technology, Inc. Gate array bases with flexible routing
US6160705A (en) * 1997-05-09 2000-12-12 Texas Instruments Incorporated Ball grid array package and method using enhanced power and ground distribution circuitry
US6424022B1 (en) * 2000-03-12 2002-07-23 Mobilink Telecom, Inc. Guard mesh for noise isolation in highly integrated circuits
EP1398834A3 (de) * 2002-09-12 2006-03-22 Infineon Technologies AG Elektronisches Bauteil mit Spannungsversorgungsstruktur und Verfahren zu dessen Herstellung
US7042030B2 (en) * 2003-11-21 2006-05-09 Texas Instruments Incorporated High density memory array
KR20100003911A (ko) 2008-07-02 2010-01-12 삼성전자주식회사 3차원 메쉬 기반 전력분배 네트워크를 갖는 멀티 칩 패키지및 이의 전력분배 방법
US8236609B2 (en) * 2008-08-01 2012-08-07 Freescale Semiconductor, Inc. Packaging an integrated circuit die with backside metallization
US8336018B2 (en) 2010-06-09 2012-12-18 Lsi Corporation Power grid optimization
US8445918B2 (en) 2010-08-13 2013-05-21 International Business Machines Corporation Thermal enhancement for multi-layer semiconductor stacks
US8410579B2 (en) 2010-12-07 2013-04-02 Xilinx, Inc. Power distribution network
US8995164B2 (en) * 2012-11-16 2015-03-31 Texas Instruments Incorporated High-performance scalable read-only-memory cell
JP5688189B1 (ja) * 2013-10-23 2015-03-25 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置
US9397073B1 (en) 2015-03-23 2016-07-19 Globalfoundries Inc. Method of using a back-end-of-line connection structure to distribute current envenly among multiple TSVs in a series for delivery to a top die
EP3121818B1 (en) * 2015-07-23 2018-08-22 Synopsys, Inc. Method to program bitcells of a rom array
US9570395B1 (en) * 2015-11-17 2017-02-14 Samsung Electronics Co., Ltd. Semiconductor device having buried power rail
US10325807B2 (en) * 2016-12-14 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1176640A2 (en) * 2000-07-27 2002-01-30 Texas Instruments Incorporated Contact structure of an integrated power circuit
US20060095872A1 (en) * 2002-07-29 2006-05-04 Mcelvain Kenneth S Integrated circuit devices and methods and apparatuses for designing integrated circuit devices
WO2007070879A1 (en) * 2005-12-17 2007-06-21 Gradient Design Automation, Inc. Simulation of ic temperature distributions using an adaptive 3d grid
US20160126180A1 (en) * 2014-10-30 2016-05-05 Qualcomm Incorporated Via structure for optimizing signal porosity
CN107148670A (zh) * 2014-10-30 2017-09-08 高通股份有限公司 用于优化信号孔隙度的通孔结构
US20170147727A1 (en) * 2015-11-19 2017-05-25 Globalfoundries Inc. Temperature-aware integrated circuit design methods and systems
EP3229270A1 (en) * 2016-04-06 2017-10-11 IMEC vzw Integrated circuit power distribution network

Also Published As

Publication number Publication date
US20190213298A1 (en) 2019-07-11
WO2019139705A1 (en) 2019-07-18
CN111602243B (zh) 2024-06-11
US10380308B2 (en) 2019-08-13
EP3738145A1 (en) 2020-11-18

Similar Documents

Publication Publication Date Title
CN111602243B (zh) 使用混合网格和支柱布置的配电网络(pdn)
Pavlidis et al. Interconnect-based design methodologies for three-dimensional integrated circuits
CN110828449B (zh) 包括标准单元的集成电路以及制造集成电路的方法
US10396063B2 (en) Circuit with combined cells and method for manufacturing the same
WO2012118668A1 (en) Power routing in standard cells
US20190251224A1 (en) Method for legalizing mixed-cell height standard cells of ic
US11030383B2 (en) Integrated device and method of forming the same
US9977857B1 (en) Method and circuit for via pillar optimization
EP3239865A1 (en) Method for analyzing ir drop and electromigration of ic
US20240037309A1 (en) Multiplexer
KR20180028252A (ko) 집적 회로 설계 시스템 및 집적 회로의 제조 방법
US8187924B2 (en) Method, design program and design support device for semiconductor integrated circuit, and semiconductor integrated circuit
Chang et al. Impact and design guideline of monolithic 3-D IC at the 7-nm technology node
KR20170094744A (ko) 집적 회로 및 상기 집적 회로의 제조를 위한 컴퓨터 구현 방법
US11392743B2 (en) Multiplexer
US10977415B2 (en) Integrated device and method of forming the same
Moiseev et al. Multi-Net Optimization of VLSI Interconnect
CN117999651A (zh) 用于利用减小的接触栅极多晶硅间距和双高度单元来减小电压降的标准单元设计架构
US20160275227A1 (en) OPTIMIZING INTERCONNECT DESIGNS IN LOW-POWER INTEGRATED CIRCUITS (ICs)
KR20220161478A (ko) 자동화된 표준 셀 설계를 위한 방법
Ho et al. Multilevel routing with jumper insertion for antenna avoidance
US12056430B2 (en) Methods of routing clock trees, integrated circuits and methods of designing integrated circuits
US20170061063A1 (en) Integrated circuit with reduced routing congestion
US20240169137A1 (en) Integrated circuit including standard cells and method of designing the same
Yoon et al. Design-Technology Co-Optimization with Standard Cell Layout Generator for Pin Configurations

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant