CN111539518A - 用于深度神经网络的计算优化机制 - Google Patents

用于深度神经网络的计算优化机制 Download PDF

Info

Publication number
CN111539518A
CN111539518A CN202010356162.4A CN202010356162A CN111539518A CN 111539518 A CN111539518 A CN 111539518A CN 202010356162 A CN202010356162 A CN 202010356162A CN 111539518 A CN111539518 A CN 111539518A
Authority
CN
China
Prior art keywords
processing
operands
graphics
processor
memory
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202010356162.4A
Other languages
English (en)
Other versions
CN111539518B (zh
Inventor
P·萨蒂
N·斯里尼瓦萨
陈�峰
J·雷
B·J·阿什博
N·C·加洛泊凡博列斯
E·努维塔蒂
B·文布
T-h·林
K·辛哈
R·巴瑞克
S·S·巴格索克希
J·E·高茨施里奇
A·考克
N·R·萨蒂什
F·阿赫巴里
D·金
W·付
T·T·施吕斯列尔
J·B·马斯特罗纳尔德
L·L·赫德
J·H·费特
J·S·波尔斯
A·T·莱克
K·瓦德亚纳桑
D·伯克
S·马余兰
A·R·阿普
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to CN202010356162.4A priority Critical patent/CN111539518B/zh
Publication of CN111539518A publication Critical patent/CN111539518A/zh
Application granted granted Critical
Publication of CN111539518B publication Critical patent/CN111539518B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T1/00General purpose image data processing
    • G06T1/20Processor architectures; Processor configuration, e.g. pipelining
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/44Arrangements for executing specific programs
    • G06F9/455Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines
    • G06F9/45533Hypervisors; Virtual machine monitors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/50Allocation of resources, e.g. of the central processing unit [CPU]
    • G06F9/5061Partitioning or combining of resources
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/50Allocation of resources, e.g. of the central processing unit [CPU]
    • G06F9/5094Allocation of resources, e.g. of the central processing unit [CPU] where the allocation takes into account power or heat criteria
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/044Recurrent networks, e.g. Hopfield networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/0464Convolutional networks [CNN, ConvNet]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/048Activation functions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/06Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons
    • G06N3/063Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/084Backpropagation, e.g. using gradient descent
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/44Arrangements for executing specific programs
    • G06F9/455Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines
    • G06F9/45533Hypervisors; Virtual machine monitors
    • G06F9/45558Hypervisor-specific management and integration aspects
    • G06F2009/45583Memory management, e.g. access or allocation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F8/00Arrangements for software engineering
    • G06F8/40Transformation of program code
    • G06F8/41Compilation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Software Systems (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biomedical Technology (AREA)
  • Biophysics (AREA)
  • Mathematical Physics (AREA)
  • Data Mining & Analysis (AREA)
  • Computing Systems (AREA)
  • Evolutionary Computation (AREA)
  • Computational Linguistics (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Artificial Intelligence (AREA)
  • Neurology (AREA)
  • Image Processing (AREA)
  • Image Generation (AREA)
  • Computational Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • Algebra (AREA)
  • Databases & Information Systems (AREA)
  • Memory System Of A Hierarchy Structure (AREA)

Abstract

公开了一种用于促进计算优化的装置。该装置包括多个处理单元,该多个处理单元各自包括多个执行单元(EU),其中,该多个EU包括第一EU类型和第二EU类型。

Description

用于深度神经网络的计算优化机制
本发明专利申请是2018年4月23日提交的申请号为201810368545.6,名称为“用于深度神经网络的计算优化机制”的发明专利申请的分案申请。
技术领域
实施例总体涉及数据处理,并且更具体地涉及经由通用图形处理单元的数据处理。
背景技术
当前的并行图形数据处理包括被开发用于对图形数据执行特定操作的系统和方法,这些特定操作如例如线性内插、曲面细分、栅格化、纹理映射、深度测试等。传统上,图形处理器使用固定功能计算单元来处理图形数据;然而,最近,图形处理器的多个部分已变得可编程,从而使得这样的处理器能够支持用于处理顶点和片段数据的更广泛种类的操作。
为进一步提高性能,图形处理器通常实施处理技术(比如,流水线操作),这些处理技术试图贯穿图形流水线的不同部分来并行处理尽可能多的图形数据。具有单指令多线程(SIMT)架构的并行图形处理器被设计成最大化图形流水线中的并行处理量。在SIMT架构中,多组并行线程试图尽可能经常地一起同步执行程序指令,以提高处理效率。用于SIMT架构的软件和硬件的一般性概述可以在Shane Cook的CUDA编程(CUDA Programming),第3章,第37-51页(2013年)中找到。
附图说明
为了以能够详细理解本实施例的以上记载特征的方式,可以通过参考实施例来对以上简要概括的实施例进行更具体的描述,这些实施例中的一些在所附附图中被示出。然而,应当注意,所附附图仅示出典型实施例,并因此不应被认为是对其范围的限制。
图1是示出了被配置成实现本文所述的实施例的一个或多个方面的计算机系统的框图;
图2A至图2D示出了根据实施例的并行处理器部件;
图3A至图3B是根据实施例的图形多处理器的框图;
图4A至图4F示出了其中多个GPU通信地耦合至多个多核处理器的示例性架构;
图5示出了根据实施例的图形处理流水线;
图6示出了根据实施例的采用计算机制的计算设备;
图7A和图7B示出了处理单元的实施例;
图7C示出了矩阵到向量的变换;
图8示出了根据实施例的机器学习软件栈;
图9示出了根据实施例的高度并行的通用图形处理单元;
图10示出了根据实施例的多GPU计算系统;
图11A至图11B示出了示例性深度神经网络的层;
图12示出了示例性递归神经网络;
图13示出了深度神经网络的训练和部署;
图14是示出分布式学习的框图;
图15示出了适合于使用训练模型执行推断的示例性推断用芯片上系统(SOC);
图16是根据实施例的处理系统的框图;
图17是根据实施例的处理器的框图;
图18是根据实施例的图形处理器的框图;
图19是根据一些实施例的图形处理器的图形处理引擎的框图;
图20是由附加实施例提供的图形处理器的框图;
图21示出了线程执行逻辑,所述线程执行逻辑包括在一些实施例中采用的处理元件阵列;
图22是示出了根据一些实施例的图形处理器指令格式的框图;
图23是根据另一个实施例的图形处理器的框图;
图24A至图24B示出了根据一些实施例的图形处理器命令格式和命令序列;
图25示出了根据一些实施例的数据处理系统的示例性图形软件架构;
图26是示出了根据实施例的IP核开发系统的框图;
图27是示出了根据实施例的示例性芯片上系统集成电路的框图;
图28是示出了附加的示例性图形处理器的框图;以及
图29是示出了根据实施例的芯片上系统集成电路的附加示例性图形处理器的框图。
具体实施方式
在实施例中,公开了用于优化图形处理器的计算的机制。在一些实施例中,计算机制包括多个处理单元,该多个处理单元各自包括多个执行单元(EU),其中,多个EU包括第一EU类型和第二EU类型。在进一步的实施例中,该处理单元可被包括在存储器设备中。在又一实施例中,计算机制使用寄存器堆或共享本地存储器(SLM)执行矩阵-向量变换。
在以下描述中,阐述了很多特定细节来提供更全面的理解。然而,将对本领域技术人员显而易见的是,没有这些特定细节中的一个或多个,也可实践本文中所描述的实施例。在其他实例中,未描述公知的特征以避免使本实施例的细节变得模糊。
系统概述
图1是展示了被配置成实现本文所述的实施例的一个或多个方面的计算机系统100的框图。计算系统100包括处理子系统101,所述处理子系统具有一个或多个处理器102和系统存储器104,所述一个或多个处理器和所述系统存储器经由互连路径进行通信,所述互连路径可以包括存储器中枢105。存储器中枢105可以是芯片组部件内的单独的部件,也可以集成在一个或多个处理器102内。存储器中枢105经由通信链路106与I/O子系统111耦合。I/O子系统111包括I/O中枢107,所述I/O中枢可以使得计算系统100能够从一个或多个输入设备108接收输入。另外,I/O中枢107可以使得显示控制器(所述显示控制器可以被包括在一个或多个处理器102中)能够向一个或多个显示设备110A提供输出。在一个实施例中,与I/O中枢107耦合的一个或多个显示设备110A可以包括本地显示设备、内部显示设备或嵌入式显示设备。
在一个实施例中,处理子系统101包括一个或多个并行处理器112,所述一个或多个并行处理器经由总线或其他通信链路113耦合至存储器中枢105。通信链路113可以是任意数量的基于标准的通信链路技术或协议(诸如但不限于PCI Express)中的一个,也可以是供应方特定的通信接口或通信结构。在一个实施例中,一个或多个并行处理器112形成以计算为中心的并行或向量处理系统,所述系统包括大量处理核和/或处理集群诸如集成众核(MIC)处理器。在一个实施例中,一个或多个并行处理器112形成图形处理子系统,所述图形处理子系统可以向经由I/O中枢107耦合的一个或多个显示设备110A中的一个输出像素。一个或多个并行处理器112还可以包括显示控制器和显示接口(未示出)以实现到一个或多个显示设备110B的直接连接。
在I/O子系统111内,系统存储单元114可以连接至I/O中枢107来为计算系统100提供存储机制。I/O开关116可以用于提供接口机制以实现I/O中枢107和可以集成到平台中的其他部件诸如网络适配器118和/或无线网络适配器119以及可以经由一个或多个插入式设备120添加的各种其他设备之间的连接。网络适配器118可以是以太网适配器或另一种有线网络适配器。无线网络适配器119可以包括Wi-Fi、蓝牙、近场通信(NFC)或包括一个或多个无线电装置的其他网络设备中的一个或多个。
计算系统100可以包括未明确示出的其他部件,这些部件包括USB或其他端口连接件、光存储驱动器、视频捕获设备等,也可以连接至I/O中枢107。图1中将各种部件互连的通信路径可以使用任何合适的协议诸如基于PCI(外围部件互连)的协议(例如,PCI-Express),或(多个)任何其他总线或点对点通信接口和/或协议诸如NV-Link高速互连或本领域中已知的互连协议来实现。
在一个实施例中,一个或多个并行处理器112并入有为进行图形和视频处理而优化的电路,包括例如视频输出电路,并且所述电路构成图形处理单元(GPU)。在另一个实施例中,一个或多个并行处理器112并入有为进行通用处理而优化的电路,同时保留了本文更详细描述的基础计算架构。在又一个实施例中,计算系统100的各部件可以与一个或多个其他系统元件集成在单个集成电路上。例如,一个或多个并行处理器112、存储器中枢105、(多个)处理器102和I/O中枢107可以集成到芯片上系统(SoC)集成电路中。可替代地,计算系统100的各部件可以集成到单个封装中以形成封装中系统(SIP)配置。在其他实施例中,计算系统100的各部件的至少一部分可以集成到多芯片模块(MCM)中,所述多芯片模块可以与其他多芯片模块互连成模块化计算系统。
应当理解,本文所示的计算系统100是例示性的并且变型和修改是可能的。连接拓扑可以根据需要进行修改,所述连接拓扑包括桥的数量和安排、(多个)处理器102的数量和(多个)并行处理器112的数量。例如,在一些实施例中,系统存储器104直接而不是通过桥连接至(多个)处理器102,而其他设备经由存储器中枢105和(多个)处理器102与系统存储器104进行通信。在其他替代性拓扑中,(多个)并行处理器112连接至I/O中枢107或直接连接至一个或多个处理器102中的一个,而不是连接至存储器中枢105。在其他实施例中,I/O中枢107和存储器中枢105可以集成到单个芯片中。一些实施例可以包括经由多个插座附接的(多个)处理器102的两个或更多个组,这两个或更多个组可以与(多个)并行处理器112的两个或更多个实例耦合。
本文示出的一些特定部件是可选的并且可能不被包括在计算系统100的所有实现中。例如,可以支持任意数量的插入式卡或外围装置,或者可以省去一些部件。此外,一些架构可以使用不同的术语来描述与图1所示类似的部件。例如,在一些架构中,存储器中枢105可以被称为北桥,而I/O中枢107可以被称为南桥。
图2A展示了根据实施例的并行处理器200。并行处理器200的各种部件可以使用诸如可编程处理器、专用集成电路(ASIC)或现场可编程门阵列(FPGA)的一个或多个集成电路设备来实现。根据实施例,所展示的并行处理器200是图1所示的一个或多个并行处理器112的变体。
在一个实施例中,并行处理器200包括并行处理单元202。所述并行处理单元包括I/O单元204,所述I/O单元实现与其他设备包括并行处理单元202的其他实例的通信。I/O单元204可以直接连接至其他设备。在一个实施例中,I/O单元204经由诸如存储器中枢105的中枢或开关接口的使用来与其他设备连接。存储器中枢105与I/O单元204之间的连接形成通信链路113。在并行处理单元202内,I/O单元204与主机接口206和存储器交叉开关216连接,其中主机接口206接收涉及执行处理操作的命令,并且存储器交叉开关216接收涉及执行存储器操作的命令。
当主机接口206经由I/O单元204接收命令缓冲时,主机接口206可以将用于执行那些命令的工作操作引导至前端208。在一个实施例中,前端208与调度器210耦合,所述调度器被配置成将命令或其他工作项目分布至处理集群阵列212。在一个实施例中,调度器210确保处理集群阵列212被正确配置,并且在将任务分布至处理集群阵列212中的处理集群之前处于有效状态。
处理集群阵列212可以包括多达“N”个处理集群(例如,集群214A,集群214B,一直到集群214N)。处理集群阵列212的每个集群214A至214N均可执行大量并发线程。调度器210可以使用各种调度和/或工作分发算法来向处理集群阵列212的集群214A至214N分配工作,这些算法可以依据每种类型的程序或计算引起的工作负荷而变化。调度可以由调度器210动态地处置,或者可以在编译被配置成由处理集群阵列212执行的程序逻辑的过程中由编译器逻辑部分地协助。
在一个实施例中,处理集群阵列212的不同集群214A至214N可以被分配用于处理不同类型的程序或用于执行不同类型的计算。
处理集群阵列212可以被配置成执行各种类型的并行处理操作。在一个实施例中,处理集群阵列212被配置成执行通用并行计算操作。例如,处理集群阵列212可以包括用于执行处理任务包括视频和/或音频数据的过滤,和/或建模操作包括物理操作,以及执行数据变换的逻辑。
在一个实施例中,处理集群阵列212被配置成执行并行图形处理操作。在其中并行处理器200被配置成执行图形处理操作的实施例中,处理集群阵列212可以包括用于支持此类图形处理操作的执行的附加逻辑,包括但不限于用于执行纹理操作的纹理采样逻辑以及曲面细分逻辑和其他顶点处理逻辑。另外,处理集群阵列212可以被配置成执行与图形处理相关的着色器程序,诸如但不限于顶点着色器、曲面细分着色器、几何着色器和像素着色器。并行处理单元202可以经由I/O单元204从系统存储器传递数据以进行处理。在处理期间,可以在处理期间将经传递的数据存储到片上存储器(例如,并行处理器存储器222),然后写回到系统存储器。
在一个实施例中,当并行处理单元202用于执行图形处理时,调度器210可以被配置成将处理工作负荷分成大致相等大小的任务,以更好地使得图形处理操作能够分发到处理集群阵列212的多个集群214A至214N。在一些实施例中,处理集群阵列212的各部分可以被配置成执行不同类型的处理。例如,第一部分可以被配置成执行顶点着色和拓扑生成,第二部分可以被配置成执行曲面细分和几何着色,第三部分可以被配置成执行像素着色或其他屏幕空间操作,以产生渲染的图像进行显示。由集群214A至214N中的一个或多个产生的中间数据可以存储在缓冲器中以允许中间数据在集群214A至214N之间传输以用于进一步处理。
在操作期间,处理集群阵列212可以接收将经由调度器210执行的处理任务,所述调度器从前端208接收定义处理任务的命令。对于图形处理操作,处理任务可以包括要处理的数据例如表面(补片(patch))数据、图元数据、顶点数据和/或像素数据以及定义如何处理数据的状态参数和命令(例如,要执行哪个程序)的索引。调度器210可以被配置成获取对应于任务的索引或者可以从前端208接收索引。前端208可以被配置成确保处理集群阵列212在由传入命令缓冲器(例如,批处理缓冲器、入栈缓冲器等)指定的工作负荷被发起之前被配置成有效状态。
并行处理单元202的一个或多个实例中的每一个均可与并行处理器存储器222耦合。并行处理器存储器222可以经由存储器交叉开关216来访问,所述存储器交叉开关可以从处理集群阵列212以及I/O单元204接收存储器请求。存储器交叉开关216可以经由存储器接口218访问并行处理器存储器222。存储器接口218可以包括多个分区单元(例如,分区单元220A,分区单元220B,一直到分区单元220N),这些分区单元可以各自耦合至并行处理器存储器222的一部分(例如,存储器单元)。在一个实现中,分区单元220A至220N的数量被配置成等于存储器单元的数量,使得第一分区单元220A具有对应的第一存储器单元224A,第二分区单元220B具有对应的存储器单元224B,以及第N分区单元220N具有对应的第N存储器单元224N。在其他实施例中,分区单元220A至220N的数量可能不等于存储器设备的数量。
在各种实施例中,存储器单元224A至224N可以包括各种类型的存储器设备,包括动态随机存取存储器(DRAM)或图形随机存取存储器,诸如同步图形随机存取存储器(SGRAM),包括图形双倍数据速率(GDDR)存储器。在一个实施例中,存储器单元224A至224N还可以包括3D堆叠式存储器,包括但不限于高带宽存储器(HBM)。本领域技术人员将会理解,存储器单元224A至224N的具体实现可以变化,并且可以由各种常规设计之一进行选择。诸如帧缓冲器或纹理映射的渲染目标可存储在存储器单元224A至224N上,从而允许分区单元220A至220N并行地写入每个渲染目标的各部分,以高效地使用并行处理器存储器222的可用带宽。在一些实施例中,为了支持利用系统存储器连同本地高速缓存存储器的统一存储器设计,可以将并行处理器存储器222的本地实例排除在外。
在一个实施例中,处理集群阵列212的集群214A至214N中的任一个可以处理将写入并行处理器存储器222内的存储器单元224A至224N中的任一个的数据。存储器交叉开关216可以被配置成将每个集群214A至214N的输出传递到任何分区单元220A至220N或另一个集群214A至214N,这可以对所述输出执行附加处理操作。每个集群214A至214N均可通过存储器交叉开关216与存储器接口218进行通信以针对各种外部存储器设备进行读取或写入操作。在一个实施例中,存储器交叉开关216可连接至存储器接口218以与I/O单元204通信,并且可连接至并行处理器存储器222的本地实例,从而使得不同处理集群214A至214N内的处理单元能够与系统存储器或对于并行处理单元202并非本地的其他存储器进行通信。在一个实施例中,存储器交叉开关216可以使用虚拟信道来分离集群214A至214N与分区单元220A至220N之间的业务流。
虽然并行处理单元202的单个实例展示为在并行处理器200内,但并行处理单元202的任意数量的实例也可以被包括在内。例如,可以在单个插入式卡上提供并行处理单元202的多个实例,或者可以使多个插入式卡互连。即使不同实例具有不同的处理核数量、不同的本地并行处理器存储量和/或其他配置差异,并行处理单元202的不同实例也可以被配置成交互操作。例如,以及在一个实施例中,并行处理单元202的一些实例可以包括相对于其他实例的较高精度的浮点单元。并入有并行处理单元202或并行处理器200的一个或多个实例的系统可以以各种配置和形状因数来实现,包括但不限于台式计算机、膝上型计算机或手持式个人计算机、服务器、工作站、游戏控制台和/或嵌入式系统。
图2B是根据实施例的分区单元220的框图。在一个实施例中,分区单元220是图2A的分区单元220A至220N中的一者的实例。如所示出的,分区单元220包括L2高速缓存221、帧缓冲器接口225和ROP 226(栅格操作单元)。L2高速缓存221是读/写高速缓存,其被配置成执行从存储器交叉开关216和ROP 226接收的加载和存储操作。由L2高速缓存221将读未命中和紧急回写请求输出到帧缓冲器接口225以供处理。也可以经由帧缓冲器接口225向帧缓冲器发送脏(dirty)更新以用于机会处理。在一个实施例中,帧缓冲器接口225与并行处理器存储器中的存储器单元(比如,图2的存储器单元224A至224N(例如,在并行处理器存储器222内))的其中一个交互。
在图形应用中,ROP 226是执行诸如模板印刷(stencil)、z测试、混合之类的栅格操作的处理单元。ROP 226随后输出经处理的图形数据,该图形数据被存储在图形存储器中。在一些实施例中,ROP 226包括压缩逻辑,所述压缩逻辑用于压缩写入存储器的z或颜色数据,并对从存储器所读取的z或颜色数据解压缩。在一些实施例中,ROP 226被包括在每个处理集群(例如,图2的集群214A至214N)内而非被包括在分区单元220内。在这样的实施例中,通过存储器交叉开关216而非像素片段数据来传输针对像素数据的读取和写入请求。
经处理图形数据可以显示在显示设备诸如图1的一个或多个显示设备110中的一个上,由(多个)处理器102路由以用于进一步处理,或者由图2A的并行处理器200内的处理实体中的一个路由以用于进一步处理。
图2C是根据实施例的并行处理单元内的处理集群214的框图。在一个实施例中,处理集群是图2的处理集群214A至214N中的一个的实例。处理集群214可以被配置成并行地执行多个线程,其中术语“线程”是指在特定输入数据集上执行的特定程序的实例。在一些实施例中,使用单指令多数据(SIMD)指令发布技术来支持大量线程的并行执行,而无需提供多个独立的指令单元。在其他实施例中,使用单指令多线程(SIMT)技术来使用被配置成向处理集群的每一个内的一组处理引擎发出指令的公共指令单元来支持大量大致同步线程的并行执行。与所有处理引擎通常执行相同指令的SIMD执行机制不同,SIMT执行允许不同线程更容易地遵循穿过给定线程程序的发散执行路径。本领域技术人员将会理解,SIMD处理机制表示SIMT处理机制的功能子集。
处理集群214的操作可以经由向SIMT并行处理器分发处理任务的流水线管理器232来控制。流水线管理器232从图2的调度器210接收指令并且经由图形多处理器234和/或纹理单元236来管理那些指令的执行。所展示的图形多处理器234是SIMT并行处理器的示例性实例。然而,不同架构的各种类型的SIMT并行处理器可以被包括在处理集群214内。图形多处理器234的一个或多个实例可以被包括在处理集群214内。图形多处理器234可以处理数据,并且数据交叉开关240可以用于将经处理数据分配到包括其他着色单元的多个可能目的地中的一个。流水线管理器232可以通过为将经由数据交叉开关240分发的数据指定目的地来促进经处理数据的分发。
处理集群214内的每个图形多处理器234均可包括相同的功能执行逻辑组(例如,算术逻辑单元、加载存储单元等)。功能执行逻辑可以通过流水线方式进行配置,其中可以在完成先前的指令之前发出新的指令。功能执行逻辑支持各种运算,包括整数和浮点算数、比较运算、布尔运算、位移位和各种代数函数的计算。在一个实施例中,可以利用相同的功能单元硬件来执行不同的操作,并且可以存在功能单元的任意组合。
传输到处理集群214的指令构成线程。在一组并行处理引擎上执行的一组线程是线程组。线程组在不同的输入数据上执行相同的程序。线程组内的每个线程均可被分配到图形多处理器234内的不同处理引擎。线程组可以包括比图形多处理器234内的处理引擎的数量更少的线程。当线程组包括比处理引擎的数量更少的线程时,处理引擎中的一个或多个处理引擎可能在处理所述线程组的周期期间空闲。线程组还可以包括比图形多处理器234内的处理引擎的数量更多的线程。当线程组包括比图形多处理器234内的处理引擎的数量更多的线程时,可以在连续的时钟周期上执行处理。在一个实施例中,可以在图形多处理器234上同时执行多个线程组。
在一个实施例中,图形多处理器234包括用于执行加载和存储操作的内部高速缓存存储器。在一个实施例中,图形多处理器234可以放弃内部高速缓存而是在处理集群214内使用高速缓存存储器(例如,L1高速缓存308)。每个图形多处理器234还可以访问在所有处理集群214之间共享的分区单元(例如,图2的分区单元220A至220N)内的L2高速缓存,并且可以用于在线程之间传递数据。图形多处理器234还可以访问片外全局存储器,所述片外全局存储器可以包括本地并行处理器存储器和/或系统存储器中的一个或多个。并行处理单元202外部的任何存储器可以用作全局存储器。其中处理集群214包括图形多处理器234的多个实例的实施例可以共享可以在L1高速缓存308中存储的公共指令和数据。
每个处理集群214均可包括被配置成将虚拟地址映射到物理地址的MMU 245(存储器管理单元)。在其他实施例中,MMU 245中的一个或多个实例可以驻留在图2的存储器接口218内。MMU 245包括用于将虚拟地址映射到图块(tile)的物理地址(更多地提及分块)和可选地高速缓存行索引的一组页表条目(PTE)。MMU 245可以包括可以驻留在图形多处理器234或L1高速缓存或处理集群214内的地址转换后备缓冲器(TLB)或高速缓存。对物理地址进行处理以分发表面数据访问局部性以实现分区单元之间的高效请求交错。可以使用高速缓存行索引来确定对高速缓存行的请求是命中还是未命中。
在图形和计算应用中,处理集群214可以被配置成使得每个图形多处理器234均耦合至纹理单元236以执行纹理映射操作,例如确定纹理样本位置、读取纹理数据和过滤纹理数据。纹理数据是从内部纹理L1高速缓存(未示出)或者在一些实施例中从图形多处理器234内的L1高速缓存读取,并且是根据需要从L2高速缓存、本地并行处理器存储器或系统存储器获取。每个图形多处理器234向数据交叉开关240输出经处理任务以向另一个处理集群214提供经处理任务以用于进一步处理或经由存储器交叉开关216在L2高速缓存、本地并行处理器存储器或系统存储器中存储经处理任务。preROP 242(预先栅格操作单元)被配置成从图形多处理器234接收数据,将数据引导到ROP单元,这些ROP单元可以如本文所述的那样用分区单元(例如,图2的分区单元220A至220N)定位。preROP 242单元可以对颜色混合进行优化、组织像素颜色数据并执行地址转换。
应当理解,本文所述的核架构是例示性的并且变型和修改是可能的。例如图形多处理器234、纹理单元236、preROP 242等任意数量的处理单元可以被包括在处理集群214内。此外,虽然仅示出一个处理集群214,但如本文所述的并行处理单元可以包括处理集群214的任意数量的实例。在一个实施例中,每个处理集群214均可被配置成使用单独的和不同的处理单元、L1高速缓存等来独立于其他处理集群214而操作。
图2D示出了根据一个实施例的图形多处理器234。在这样的实施例中,图形多处理器234与处理集群214的流水线管理器232耦合。图形多处理器234具有执行流水线,所述执行流水线包括但不限于指令高速缓存252、指令单元254、地址映射单元256、寄存器堆258、一个或多个通用图形处理单元(GPGPU)核262和一个或多个加载/存储单元266。GPGPU核262和加载/存储单元266经由存储器和高速缓存互连268与高速缓存存储器272和共享存储器270耦合。
在一个实施例中,指令高速缓存252从流水线管理器232接收要执行的指令流。将这些指令高速缓存在指令高速缓存252中并分派用于由指令单元254执行。指令单元254可以将指令作为线程组(例如,经线)进行分派,线程组的每个线程均被分配到GPGPU核262内的不同执行单元。指令可以通过在统一地址空间内指定地址来访问本地、共享或全局地址空间中的任一个。地址映射单元256可以用于将统一地址空间中的地址转换成可由加载/存储单元266访问的不同存储器地址。
寄存器堆258为图形多处理器324的功能单元提供一组寄存器。寄存器堆258为连接至图形多处理器324的功能单元(例如,GPGPU核262、加载/存储单元266)的数据路径的操作数提供临时存储。在一个实施例中,寄存器堆258在功能单元中的每一个之间进行划分,使得每个功能单元均被分配寄存器文件258的专用部分。在一个实施例中,寄存器堆258在正由图形多处理器324执行的不同经线之间进行划分。
GPGPU核262可以各自包括用于执行图形多处理器324的指令的浮点单元(FPU)和/或整数算数逻辑单元(ALU)。根据实施例,GPGPU核262的架构可以类似,也可以不同。例如,以及在一个实施例中,GPGPU核262的第一部分包括单精度FPU和整数ALU,而GPGPU核的第二部分包括双精度FPU。在一个实施例中,FPU可以实现IEEE 754-2008浮点算数标准或启用可变精度浮点算数。另外,图形多处理器324还可以包括用于执行诸如复制矩形或像素混合操作的特定功能的一个或多个固定功能或特殊功能单元。在一个实施例中,GPGPU核中的一个或多个还可以包含固定或特殊功能逻辑。
存储器和高速缓存互连268是互连网络,所述互连网络将图形多处理器324的功能单元中的每一个连接至寄存器堆258和共享存储器270。在一个实施例中,存储器和高速缓存互连268是允许加载/存储单元266在共享存储器270与寄存器堆258之间实现加载和存储操作的交叉开关互连。寄存器堆258可以以与GPGPU核262相同的频率操作,因此GPGPU核262与寄存器堆258之间的数据传递具有非常低的等待时间。共享存储器270可以用于实现在图形多处理器234内的功能单元上执行的线程之间的通信。例如,高速缓存存储器272可以用作数据高速缓存,以高速缓存在功能单元与纹理单元236之间通信的纹理数据。共享存储器270也可以用作经高速缓存的受管理的程序。除了在高速缓存存储器272内存储的经自动高速缓存的数据之外,在GPGPU核262上执行的线程还可以在共享存储器内以编程方式存储数据。
图3A至图3B示出了根据实施例的附加图形多处理器。所展示的图形多处理器325、350是图2C的图形多处理器234的变体。所展示的图形多处理器325、350可以被配置成能够同时执行大量执行线程的流式多处理器(SM)。
图3A展示了根据附加实施例的图形多处理器325。图形多处理器325包括相对于图2D的图形多处理器234的执行资源单元的多个附加实例。例如,图形多处理器325可以包括指令单元332A至332B、寄存器堆334A至334B和(多个)纹理单元344A至344B的多个实例。图形多处理器325还包括多组图形或计算执行单元(例如,GPGPU核336A至336B、GPGPU核337A至337B、GPGPU核338A至338B)和多组加载/存储单元340A至340B。在一个实施例中,执行资源单元具有公共指令高速缓存330、纹理和/或数据高速缓存存储器342和共享存储器346。各种部件可以经由互连组构327通信。在一个实施例中,互连组构327包括一个或多个交叉开关以实现在图形多处理器325的各部件之间的通信。
图3B展示了根据附加实施例的图形多处理器350。如图2D和图3A所示,图形处理器包括多组执行资源356A至356D,其中每组执行资源均包括多个指令单元、寄存器堆、GPGPU核和加载存储单元。执行资源356A至356D可以与(多个)纹理单元360A至360D一起工作以进行纹理操作,同时共享指令高速缓存354和共享存储器362。在一个实施例中,执行资源356A至356D可以共享指令高速缓存354和共享存储器362以及纹理和/或数据高速缓存存储器358A至358B的多个实例。各种部件可以经由与图3A的互连结构327类似的互连结构352进行通信。
本领域的技术人员将理解,图1、图2A至图2D和图3A至图3B中所述的架构是描述性的,而不限制本发明的实施例的范围。因此,本文所述的技术可以在任何适当配置的处理单元上实现,包括但不限于:一个或多个移动应用处理器;一个或多个台式计算机或服务器中央处理单元(CPU),包括多核CPU;一个或多个并行处理单元诸如图2的并行处理单元202;以及一个或多个图形处理器或专用处理单元,而不脱离本文所述的实施例的范围。
在一些实施例中,如本文所述的并行处理器或GPGPU通信地耦合至主机/处理器核以加快图形操作、机器学习操作、模式分析操作和各种通用GPU(GPGPU)功能。GPU可以通过总线或其他互连(例如,诸如PCIe或NVLink的高速互连)通信地耦合至主机处理器/核。在其他实施例中,GPU可以与核一样集成在相同的封装或芯片上并且通过内部处理器总线/互连(即,在封装或芯片内部)通信地耦合至所述核。不管GPU连接的方式如何,处理器核都可以以工作描述符中包含的命令/指令序列的形式向GPU分配工作。然后,GPU使用专用电路/逻辑来高效地处理这些命令/指令。
用于GPU到主机处理器互连的技术
图4A展示了其中多个GPU 410至413通过高速链路440至443(例如,总线、点对点互连等)通信地耦合至多个多核处理器405至406的示例性架构。在一个实施例中,高速链路440至443支持4GB/s、30GB/s、80GB/s或更高的通信吞吐量,这取决于实现。可以使用各种互连协议,包括但不限于PCIe 4.0或5.0和NVLink 2.0。然而,本发明的基本原理不限于任何特定的通信协议或吞吐量。
此外,在一个实施例中,GPU 410至413中的两个或更多个通过高速链路444至445互连,这可以使用与用于高速链路440至443的协议/链路相同或不同的协议/链路来实现。类似地,多核处理器405至406中的两个或更多个可以通过高速链路433连接,所述高速链路可以是以20GB/s、30GB/s、120GB/s或更高的速度运行的对称多处理器(SMP)总线。可替代地,图4A中所示的各种系统部件之间的所有通信均可使用相同的协议/链路(例如,通过公共互连结构)来完成。然而,如所提及的,本发明的基本原理不限于任何特定类型的互连技术。
在一个实施例中,每个多核处理器405至406分别经由存储器互连430至431通信地耦合至处理器存储器401至402,并且每个GPU 410至413分别通过GPU存储器互连450至453通信地耦合至GPU存储器420至423。存储器互连430至431和450至453可以利用相同或不同的存储器访问技术。以示例而不是限制的方式,处理器存储器401至402和GPU存储器420至423可以是诸如动态随机存取存储器(DRAM)(包括堆叠式DRAM)、图形DDR SDRAM(GDDR)(例如,GDDR5、GDDR6)或高带宽存储器(HBM)的易失性存储器,和/或可以是诸如3D XPoint或Nano-Ram的非易失性存储器。在一个实施例中,存储器的某个部分可以是易失性存储器,而另一个部分可以是非易失性存储器(例如,使用两级存储器(2LM)层级结构)。
如下所述,尽管各种处理器405至406和GPU 410至413均可分别物理地耦合至特定存储器401至402、420至423,但可以实现统一存储器架构,其中相同的虚拟系统地址空间(也称为“有效地址”空间)分发在所有各种物理存储器中。例如,处理器存储器401至402可以各自包括64GB的系统存储器地址空间,并且GPU存储器420至423可以各自包括32GB的系统存储器地址空间(导致在所述示例中产生总共256GB的可寻址存储空间)。
图4B展示了根据一个实施例的多核处理器407与图形加速模块446之间的互连的附加细节。图形加速模块446可以包括集成在经由高速链路440耦合至处理器407的线卡上的一个或多个GPU芯片。可替代地,图形加速模块446可以与处理器407一样集成在相同的封装或芯片上。
所展示的处理器407包括多个核460A至460D,这些核各自具有转换后备缓冲器461A至461D和一个或多个高速缓存462A至462D。这些核可以包括用于执行指令和处理未展示的数据以避免模糊本发明的基本原理的各种其他部件(例如,指令获取单元、分支预测单元、解码器、执行单元、重排序缓冲器等)。高速缓存462A至462D可以包括1级(L1)和2级(L2)高速缓存。此外,一个或多个共享高速缓存426可以被包括在高速缓存层级结构中并由各组核460A至460D共享。例如,处理器407的一个实施例包括24个核,这些核各自具有它自己的L1高速缓存、12个共享L2高速缓存和12个共享L3高速缓存。在这个实施例中,L2高速缓存和L3高速缓存中的一个由两个相邻核共享。处理器407和图形加速器集成模块446与系统存储器441连接,所述系统存储器可以包括处理器存储器401至402。
通过一致性总线464经由核间通信来为各种高速缓存462A至462D、456和系统存储器441中存储的数据和指令保持一致性。例如,每个高速缓存均可具有与其关联的高速缓存一致性逻辑/电路,以响应于所检测的对特定高速缓存行的读取或写入而通过一致性总线464进行通信。在一个实现中,通过一致性总线464实现高速缓存窥探协议以窥探高速缓存访问。本领域技术人员可以很好理解高速缓存窥探/一致性技术,以避免模糊本发明的基本原理,这里不再详细描述。
在一个实施例中,代理电路425将图形加速模块446通信地耦合至一致性总线464,从而允许图形加速模块446作为核的对等体参与缓存一致性协议。具体地讲,接口435通过高速链路440(例如,PCIe总线、NVLink等)向代理电路425提供连接性,并且接口437将图形加速模块446连接至链路440。
在一个实现中,加速器集成电路436代表图形加速模块446的多个图形处理引擎431、432、43N提供高速缓存管理、存储器访问、上下文管理和中断管理服务。图形处理引擎431、432、43N可以各自包括单独的图形处理单元(GPU)。可替代地,图形处理引擎431、432、43N可以在GPU内包括不同类型的图形处理引擎诸如图形执行单元、媒体处理引擎(例如,视频编码器/解码器)、采样器和块图像传输引擎。换句话讲,图形加速模块可以是具有多个图形处理引擎431、432、43N的GPU,或图形处理引擎431至432、43N可以是集成在公共包、线卡或芯片上的单独GPU。
在一个实施例中,加速器集成电路436包括存储器管理单元(MMU)439,所述存储器管理单元用于执行诸如虚拟到物理存储器转换(也称为有效到实际存储器转换)的各种存储器管理功能和用于访问系统存储器441的存储器访问协议。MMU 439还可以包括用于高速缓存虚拟/有效到物理/实际地址转换的转换后备缓冲器(TLB)(未示出)。在一个实现中,高速缓存438存储用于由图形处理引擎431至432、43N高效访问的命令和数据。在一个实施例中,使高速缓存438和图形存储器433至434、43N中存储的数据与核高速缓存462A至462D、456和系统存储器411保持一致。如所提及的,这可以经由代理电路425来完成,所述代理电路代表高速缓存438和存储器433至434、43N参与高速缓存一致性机制(例如,向高速缓存438发送与处理器高速缓存462A至462D、456上的高速缓存行的修改/访问相关的更新并从高速缓存438接收更新)。
一组寄存器445存储由图形处理引擎431至432、43N执行的线程的上下文数据,并且上下文管理电路448管理线程上下文。例如,上下文管理电路448可以执行保存和恢复操作以在上下文切换期间保存和恢复各种线程的上下文(例如,其中第一线程被保存并且第二线程被存储,使得第二线程可以由图形处理引擎执行)。例如,在上下文切换时,上下文管理电路448可以将当前寄存器值存储到存储器中的指定区域(例如,由上下文指针标识)。所述上下文管理电路可以在返回上下文时恢复寄存器值。在一个实施例中,中断管理电路447接收并处理从系统设备所接收的中断。
在一个实现中,由MMU 439将来自图形处理引擎431的虚拟/有效地址转换为系统存储器411中的实际/物理地址。加速器集成电路436的一个实施例支持多个(例如,4个、8个、16个)图形加速器模块446和/或其他加速器设备。图形加速器模块446可以专用于在处理器407上执行的单个应用,或者可以在多个应用之间共享。在一个实施例中,呈现虚拟图形执行环境,其中图形处理引擎431至432、43N的资源与多个应用或虚拟机(VM)共享。资源可以被细分为基于与VM和/或应用相关联的处理要求和优先级而分配给不同的VM和/或应用的“分片”。
因此,加速器集成电路充当图形加速模块446的系统的桥,并提供地址转换和系统存储器高速缓存服务。此外,加速器集成电路436可以为主机处理器提供虚拟化设施以管理图形处理引擎、中断和存储器管理的虚拟化。
由于图形处理引擎431至432、43N的硬件资源显式地地映射到由主机处理器407看到的实际地址空间,因此任何主处理器都可以使用有效地址值来为这些资源直接寻址。在一个实施例中,加速器集成电路436的一个功能是图形处理引擎431至432、43N的物理分离,使得它们作为独立单元出现在系统上。
如所提及的,在所展示的实施例中,一个或多个图形存储器433至434、43M分别耦合至图形处理引擎431至432、43N中的每一个。图形存储器433至434、43M存储正由图形处理引擎431至432、43N中的每一个处理的指令和数据。图形存储器433至434,43M可以是诸如DRAM(包括堆叠式DRAM)、GDDR存储器(例如,GDDR5、GDDR6)或HBM的易失性存储器,和/或可以是诸如3D XPoint或Nano-Ram的非易失性存储器。
在一个实施例中,为了减少链路440上的数据流量,使用偏置技术来确保图形存储器433至434、43M中存储的数据是图形处理引擎431至432、43N最频繁使用,并且核460A至460D优选不使用(至少不频繁使用)的数据。类似地,偏置机制试图使核(并且优选地不是图形处理引擎431至432、43N)所需的数据保持在核和系统存储器411的高速缓存462A至462D、456内。
图4C展示了其中加速器集成电路436集成在处理器407内的另一个实施例。在这个实施例中,图形处理引擎431至432、43N经由接口437和接口435来直接通过高速链路440与加速器集成电路436进行通信(这也可以利用任何形式的总线或接口协议)。加速器集成电路436可以执行与关于图4B所描述的操作相同的操作,但考虑到其与一致性总线462和高速缓存462A至462D、426紧密接近,可能以较高的吞吐量进行操作。
一个实施例支持不同的编程模型,包括专用进程编程模型(不具有图形加速模块虚拟化)和共享编程模型(具有虚拟化)。共享编程模型可以包括由加速器集成电路436控制的编程模型和由图形加速模块446控制的编程模型。
在专用进程模型的一个实施例中,图形处理引擎431至432、43N在单个操作系统下专用于单个应用或进程。单个应用可以将其他应用请求集中到图形引擎431至432、43N,从而在VM/分区内提供虚拟化。
在专用进程编程模型中,图形处理引擎431至432、43N可以由多个VM/应用分区共享。共享模型需要系统管理程序,所述系统管理程序用于将图形处理引擎431至432、43N虚拟化,以允许由每个操作系统进行访问。对于没有管理程序的单分区系统,图形处理引擎431至432、43N由操作系统拥有。在这两种情况下,操作系统都可以将图形处理引擎431至432、43N虚拟化以提供对每个进程或应用的访问。
对于共享编程模型,图形加速模块446或单独图形处理引擎431至432、43N使用进程句柄来选择进程要素。在一个实施例中,进程要素被存储在系统存储器411中并且可使用本文所述的有效地址到实际地址转换技术来寻址。所述进程句柄可以是在向图形处理引擎431至432、43N注册它的上下文(即,调用系统软件以向进程要素链表添加进程要素)时向主机进程提供特定于实现的值。所述进程句柄的低16位可以是进程要素链表内的进程要素的偏移量。
图4D展示了示例性加速器集成分片490。如本文所用,“分片”包括加速器集成电路436的处理资源的指定部分。系统存储器411内的应用有效地址空间482存储进程要素483。在一个实施例中,进程要素483响应于来自在处理器407上执行的应用480的GPU调用481而被存储。进程要素483包含相应应用480的处理状态。进程要素483中包含的工作描述符(WD)484可以是应用所请求的单个作业,或者可以包含指向作业队列的指针。在后一种情况下,WD 484是指向应用地址空间482中的作业请求队列的指针。
图形加速模块446和/或单独图形处理引擎431至432、43N可以由系统中的全部或部分进程共享。本发明的实施例包括用于建立处理状态并向图形加速模块446发送WD 484以在虚拟环境中开始作业的基础结构。
在一个实现中,专用进程编程模型是特定于具体实施的。在这个模型中,单个进程拥有图形加速模块446或单独的图形处理引擎431。由于图形加速模块446由单个进程拥有,因此管理程序初始化加速器集成电路436以获得所属分区,并且操作系统在图形加速模块446被分配时初始化加速器集成电路436以获取所属进程。
在操作中,加速器集成分片490中的WD获取单元491获取下一个WD 484,所述WD包括将由图形加速模块446的图形处理引擎之一进行的工作的指示。如图所示,来自WD 484的数据可以被存储在寄存器445中并由MMU 439、中断管理电路447和/或上下文管理电路446使用。例如,MMU 439的一个实施例包括用于访问OS虚拟地址空间485内的段/页表486的段/页步行(walk)电路。中断管理电路447可以处理从图形加速模块446所接收的中断事件492。当执行图形操作时,由图形处理引擎431至432、43N生成的有效地址493由MMU 439转换为实际地址。
在一个实施例中,针对每个图形处理引擎431至432、43N和/或图形加速模块446复制同一组寄存器445,并且可以由管理程序或操作系统初始化这一组寄存器。这些复制的寄存器中的每一个均可被包括在加速器集成分片490中。表1中示出了可以由管理程序初始化的示例性寄存器。
表1-管理程序初始化寄存器
1 分片控制寄存器
2 实际地址(RA)调度进程区域指针
3 授权掩码覆盖(override)寄存器
4 中断向量表条目偏移
5 中断向量表条目极限
6 状态寄存器
7 逻辑分区ID
8 实际地址(RA)管理程序加速器利用记录指针
9 存储描述寄存器
表2 中示出了可以由操作系统初始化的示例性寄存器。
表2-操作系统初始化寄存器
Figure BDA0002473544740000211
Figure BDA0002473544740000221
在一个实施例中,每个WD 484均特定于特定图形加速模块446和/或图形处理引擎431至432、43N。所述WD包含图形处理引擎431至432、43N完成其工作所需的所有信息,或者所述WD可以是指向应用已经建立了要完成的工作命令队列的存储器位置的指针。
图4E展示了共享模型的一个实施例的附加细节。所述实施例包括其中存储了进程要素列表499的管理程序实际地址空间498。管理程序实际地址空间498可经由管理程序496来访问,所述管理程序将操作系统495的图形加速模块引擎虚拟化。
共享编程模型允许来自系统中的全部或部分分区的全部或部分进程使用图形加速模块446。有两种编程模型,其中图形加速模块446由多个进程和分区共享:时间分片共享和图形直接共享。
在这个模型中,系统管理程序496拥有图形加速模块446并且使其功能对所有操作系统495可用。为使图形加速模块446支持系统管理程序496的虚拟化,图形加速模块446可遵守以下要求:1)应用作业请求必须是自主的(即,不需要维持作业之间的状态),或者图形加速模块446必须提供上下文保存和恢复机制。2)图形加速模块446保证在指定时间量内完成应用作业请求,包括任何转换错误,或者图形加速模块446提供抢占作业处理的能力。3)当以直接共享编程模型操作时,必须保证进程中图形加速模块446的公平性。
在一个实施例中,对于共享模型,需要应用480来利用图形加速模块446类型、工作描述符(WD)、授权掩码寄存器(AMR)值以及上下文保存/恢复区域指针(CSRP)来进行操作系统495系统调用。图形加速模块446类型描述了系统调用的目标加速功能。图形加速模块446类型可以是特定于系统的值。所述WD专门针对图形加速模块446来格式化,并且可以呈以下形式:图形加速模块446命令;指向用户定义结构的有效地址指针;指向命令队列的有效地址指针;或用于描述将由图形加速模块446进行的工作的任何其他数据结构。在一个实施例中,AMR值是用于当前进程的AMR状态。传递给操作系统的值与设置AMR的应用类似。如果加速器集成电路436和图形加速模块446的实现不支持用户授权掩码覆盖寄存器(UAMOR),则操作系统可以在管理程序调用中传递AMR之前向AMR值应用当前UAMOR值。在将AMR置于进程要素483之前,管理程序496可以可选地应用当前授权掩码覆盖寄存器(AMOR)值。在一个实施例中,CSRP是包含应用地址空间482中供图形加速模块446保存和恢复上下文状态的区域的有效地址的寄存器445中的一个。如果不需要在作业之间保存状态或当作业被抢占时,这个指针是可选的。所述上下文保存/恢复区域可以是插接的系统存储器。
在接收到系统调用时,操作系统495可以验证应用480已注册并被授权使用图形加速模块446。操作系统495然后利用表3中所示的信息来调用管理程序496。
表3-操作系统对管理程序的调用参数
1 工作描述符(WD)
2 授权掩码寄存器(AMR)值(可能已掩蔽)
3 有效地址(EA)上下文保存/恢复区域指针(CSRP)
4 进程ID(PID)和可选的线程ID(TID)
5 虚拟地址(VA)加速器利用记录指针(AURP)
6 存储段表指针(SSTP)的虚拟地址
7 逻辑中断服务号(LISN)
在接收到管理程序调用时,管理程序496可以验证操作系统495已注册并被授权使用图形加速模块446。管理程序496然后将进程要素483针对对应图形加速模块446类型放入进程要素链表中。进程要素可以包含表4中所示的信息。
表4-进程要素信息
1 工作描述符(WD)
2 授权掩码寄存器(AMR)值(可能已掩蔽)
3 有效地址(EA)上下文保存/恢复区域指针(CSRP)
4 进程ID(PID)和可选的线程ID(TID)
5 虚拟地址(VA)加速器利用记录指针(AURP)
6 存储段表指针(SSTP)的虚拟地址
7 逻辑中断服务号(LISN)
8 中断向量表,从管理程序调用参数导出
9 状态寄存器(SR)值
10 逻辑分区ID(LPID)
11 实际地址(RA)管理程序加速器利用记录指针
12 存储描述符寄存器(SDR)
在一个实施例中,管理程序将寄存器445的多个加速器集成分片490初始化。
如图4F所展示,本发明的一个实施例采用可经由用于访问物理处理器存储器401至402和GPU存储器420至423的公共虚拟存储器地址空间来寻址的统一存储器。在这个实现中,在GPU 410至413上执行的操作利用相同的虚拟/有效存储器地址空间来访问处理器存储器401至402,反之亦然,由此简化可编程性。在一个实施例中,将虚拟/有效地址空间的第一部分分配给处理器存储器401,将第二部分分配给第二处理器存储器402,将第三部分分配给GPU存储器420,以此类推。整个虚拟/有效存储器空间(有时称为有效地址空间)由此分布在处理器存储器401至402和GPU存储器420至423中的每一个上,从而允许任何处理器或GPU访问具有映射到所述存储器的虚拟地址的任何物理存储器。
在一个实施例中,MMU 439A至439E中的一个或多个内的偏置/一致性管理电路494A至494E确保了主机处理器(例如,405)与GPU 410至413的高速缓存之间的高速缓存一致性,以及指示其中应当存储某些类型的数据的物理存储器的偏置技术。尽管在图4F中展示了偏置/一致性管理电路494A至494E的多个实例,但偏置/一致性电路也可以在一个或多个主机处理器405的MMU内和/或在加速器集成电路436内实现。
一个实施例允许将GPU附接的存储器420至423映射为系统存储器的一部分,并使用共享虚拟存储器(SVM)技术进行访问,但不会遭受与全系统高速缓存一致性相关的典型性能缺陷。GPU附接的存储器420至423作为系统存储器来访问的能力不会造成繁重的高速缓存一致性开销,这为GPU卸载提供了有利的操作环境。这种安排允许主机处理器405软件设置操作数并访问计算结果,而不具有传统I/O DMA数据拷贝的开销。这些传统拷贝涉及驱动器调用、中断和存储器映射I/O(MMIO)访问,这些访问相对于简单内存访问来说都是低效的。同时,在不具有高速缓存一致性开销的情况下访问GPU附接存储器420至423的能力对于卸载计算的执行时间可能是关键的。例如,在具有大量流式写入存储器业务的情况下,高速缓存一致性开销可以显著降低由GPU 410至413看到的有效写入带宽。操作数设置的效率、结果访问的效率以及GPU计算的效率都在确定GPU卸载的有效性方面发挥着重要作用。
在一个实现中,GPU偏置与主机处理器偏置之间的选择由偏置跟踪器数据结构驱动。例如,可以使用偏置表,所述偏置表可以是每个GPU附接存储器页包括1或2个位的页粒度结构(即,以存储器页的粒度来控制)。偏置表可以在一个或多个GPU附接存储器420至423的被盗存储器范围内实现,在GPU 410至413中具有或不具有偏置高速缓存(例如,以高速缓存频繁/最近使用的偏置表的条目)。可替代地,整个偏置表均可保持在GPU内。
在一个实现中,在实际访问GPU存储器之前访问与对GPU附接存储器420至423的每次访问相关联的偏置表条目,从而使得以下操作。首先,将来自GPU 410至413的在GPU偏置中发现其页的本地请求直接转发到对应的GPU存储器420至423。将来自GPU的在主机偏置中发现其页的本地请求转发给处理器405(例如,如上所述通过高速链路)。在一个实施例中,来自处理器405的在主机处理器偏置中发现所请求的页的请求完成了像正常存储器读取那样的请求。可替代地,可以将针对GPU偏置页的请求转发给GPU410至413。如果GPU当前未使用所述页,则GPU可以将所述页转换为主机处理器偏置。
页的偏置状态可以通过基于软件的机制、基于硬件辅助软件的机制,或者对于一组有限的情况,基于仅硬件的机制来改变。
一种用于改变偏置状态的机制采用API调用(例如OpenCL),所述API调用继而调用GPU设备驱动器,所述驱动器继而向GPU发送消息(或将命令描述符入队),从而引导所述GPU改变偏置状态,并且对于某些转换,在主机中执行高速缓存转储清除操作。所述高速缓存转储清除操作是从主机处理器405偏置到GPU偏置的转换所必需的,而对于相反转换则不是必需的。
在一个实施例中,通过暂时呈现主机处理器405不可高速缓存的GPU偏置页来保持缓存一致性。为了访问这些页,处理器405可以请求来自GPU 410的访问,GPU可以依据实现立即授权访问也可以不授权访问。因此,为了减少处理器405与GPU 410之间的通信,有利的是确保GPU偏置页是GPU所需但不是主机处理器405所需的页,反之亦然。
图形处理流水线
图5展示了根据实施例的图形处理流水线500。在一个实施例中,图形处理器可以实现所展示的图形处理流水线500。所述图形处理器可以被包括在如本文所述的并行处理子系统诸如图2的并行处理器200内,在一个实施例中,所述并行处理器是图1的(多个)并行处理器112的变体。如本文所述,各种并行处理系统可以经由并行处理单元(例如,图2的并行处理单元202)的一个或多个实例来实现图形处理流水线500。例如,着色器单元(例如,图3的图形多处理器234)可以被配置成执行顶点处理单元504、曲面细分控制处理单元508、曲面细分评估处理单元512、几何处理单元516和片段/像素处理单元524中的一个或多个的功能。数据组装器502,图元组装器506、514、518,曲面细分单元510,栅格器522和栅格操作单元526的功能还可以由处理集群(例如,图3的处理集群214)内的其他处理引擎和对应的分区单元(例如,图2的分区单元220A至220N)执行。图形处理流水线500还可以使用一个或多个功能的专用处理单元来实现。在一个实施例中,图形处理流水线500的一个或多个部分可以由通用处理器(例如,CPU)内的并行处理逻辑执行。在一个实施例中,图形处理流水线500的一个或多个部分可经由存储器接口528访问片上存储器(例如,如图2所示的并行处理器存储器222),所述存储器接口可以是图2的存储器接口218的实例。
在一个实施例中,数据组装器502是收集表面和图元的顶点数据的处理单元。数据组装器502然后向顶点处理单元504输出包括顶点属性的顶点数据。顶点处理单元504是可编程执行单元,所述可编程执行单元执行顶点着色器程序,从而照明和变换如顶点着色器程序所指定的顶点数据。顶点处理单元504读取高速缓存、本地或系统存储器中存储的用于处理顶点数据的数据,并且可以编程为将顶点数据从基于对象的坐标表示变换为世界空间坐标空间或归一化设备坐标空间。
图元组装器506的第一实例从顶点处理单元50接收顶点属性。图元组装器506根据需要读取所存储的顶点属性并构造图形图元以由曲面细分控制处理单元508进行处理。图形图元包括如各种图形处理应用编程接口(API)所支持的三角形、线段、点、补片等等。
曲面细分控制处理单元508将输入顶点视为几何补片的控制点。这些控制点从来自补片的输入表示(例如,补片的基础)变换为适用于由曲面细分评估处理单元512进行表面评估的表示。曲面细分控制处理单元508还可以计算几何补片的边缘的曲面细分因子。曲面细分因子适用于单个边缘,并量化与边缘相关的依赖于视图的细节等级。曲面细分单元510被配置成接收补片的边缘的曲面细分因子并将补片细分为多个几何图元诸如线、三角形或四边形图元,所述多个几何图元被传输到曲面细分评估处理单元512。曲面细分评估处理单元512对细分的补片的参数化坐标进行操作以生成与几何图元相关的每个顶点的表面表示和顶点属性。
图元组装器514的第二实例从曲面细分评估处理单元512接收顶点属性,根据需要读取所存储的顶点属性,并构造图形图元以由几何处理单元516处理。几何处理单元516是可编程执行单元,所述可编程执行单元执行几何着色器程序,以变换如几何着色器程序所指定的从图元组装器514所接收的图形图元。在一个实施例中,几何处理单元516被编程为将图形图元细分为一个或多个新的图形图元并且计算用于将新的图形图元栅格化的参数。
在一些实施例中,几何处理单元516可在几何流中添加或删除元素。几何处理单元516向图元组装器518输出指定新图形图元的参数和顶点。图元组装器518从几何处理单元516接收参数和顶点,并构建图形图元以供视口缩放、拣选和剪辑单元520进行处理。几何处理单元516读取存储在并行处理器存储器或系统存储器中的数据以用于处理几何数据。视口缩放、拣选和剪辑单元520执行剪辑、拣选和视口缩放,并且将已处理的图形图元输出到栅格器522。栅格器522可以执行深度拣选和其他基于深度的优化。栅格器522还对新图形图元执行扫描转换以生成片段并向片段段/像素处理单元524输出这些片段和关联的覆盖数据。栅格器522扫描转换新图形图元,并且将片段和覆盖数据输出到片段/像素处理单元524。
片段/像素处理单元524是被配置成执行片段着色器程序或像素着色器程序的可编程执行单元。片段/像素处理单元524变换从栅格器522所接收的片段或像素,如片段或像素着色器程序所指定的。例如,片段/像素处理单元524可以被编程为执行包括但不限于纹理映射、着色、混合、纹理校正和透视校正的操作,以产生输出到栅格操作单元526的着色片段或像素。片段/像素处理单元524可以读取并行处理器存储器或系统存储器中存储的数据,以在处理片段数据时使用。片段或像素着色器程序可以被配置成依据针对处理单元进行配置的采样速率以样本、像素、图块或其他粒度着色。
栅格操作单元526是执行包括但不限于模板印刷、z测试、混合等栅格操作的处理单元,并且将像素数据作为经处理图形数据输出以存储在图形存储器中(例如,如图1中的并行处理器存储器222,以在一个或多个显示设备110上进行显示或者由一个或多个处理器102或(多个)并行处理器112中的一个进一步处理。在一些实施例中,栅格操作单元526被配置成压缩被写入到存储器的z或颜色数据并解压缩从存储器读取的z或颜色数据。
图6示出了采用计算优化(计算)机制的计算设备600的一个实施例。计算设备600(例如,智能可穿戴设备、虚拟现实(VR)设备、头戴式显示器(HMD)、移动计算机、物联网(IoT)设备、膝上型计算机、台式计算机、服务器计算机等)可以与图1的数据处理系统100相同,并因此为了简洁、清楚和易于理解,以上参考图1-5所阐述的许多细节不在下文中作进步讨论或重复。如所示出的,在一个实施例中,计算设备600被示作主控(host)计算机制610。
如所示出的,在一个实施例中,计算机制610可以由图形驱动器616来主控(host)。然而,在其他实施例中,可以仅在GPU 614中主控计算机制610。在又一实施例中,计算机制610可以由中央处理单元(“CPU”或“应用处理器”)612的固件来主控或者是中央处理单元(“CPU”或“应用处理器”)612的固件的一部分。为了简洁、清楚并易于理解,贯穿本文档的其余部分,计算机制610可以作为图形驱动器616的一部分而被讨论;然而,实施例不限于此。
在再一实施例中,计算机制610可作为软件或固件逻辑由操作系统606来主控。在又进一步的实施例中,计算机制610可以由计算设备600的多个部件(诸如图形驱动器616、GPU 614、GPU固件、CPU 612、CPU固件、操作系统606等中的一个或多个)部分地且同时地主控。可以构想,计算机制610或其部件中的一个或多个可以被实现为硬件、软件和/或固件。
贯穿本文档,术语“用户”可以被可互换地称为“观看者”、“观察者”、“人”、“个人”、“终端用户”和/或诸如此类。应当注意,贯穿本文档,类似“图形域”之类的术语可与“图形处理单元”、“图形处理器”互换地引用,或简单来说与“GPU”互换地引用,并且类似地,“GPU域”或“主机域”可与“计算机处理单元”、“应用处理器”互换地引用,或简单来说与“CPU”互换地引用。
计算设备600可包括任何数量与类型的通信设备,诸如大型计算系统(诸如,服务器计算机、台式计算机等等),并可进一步包括机顶盒(例如,基于互联网的有线电视机顶盒等)、基于全球定位系统(GPS)的设备等。计算设备600可包括用作通信设备的移动计算设备,诸如包括智能手机的蜂窝电话、个人数字助理(PDA)、平板计算机、膝上型计算机、电子阅读器、智能电视、电视平台、可穿戴设备(诸如,眼镜、手表、手链、智能卡、首饰、服装制品等)、媒体播放器等。例如,在一个实施例中,计算设备600可包括采用对集成电路(“IC”)进行主控的计算机平台的移动计算设备,这种计算机平台诸如为芯片上系统(“SoC”或“SOC”),在单个芯片上集成了计算设备600的各种硬件和/或软件部件。
如所示,在一个实施例中,计算设备600可以包括任何数量和类型的硬件和/或软件部件,诸如(但不限于)GPU 614、图形驱动器(也称为“GPU驱动器”、“图形驱动器逻辑”、“驱动器逻辑”、用户模式驱动器(UMD)、UMD、用户模式驱动器框架(UMDF)、UMDF,或简称为“驱动器”)616、CPU 612、存储器608、网络设备、驱动器等,以及诸如触摸屏、触摸面板、触摸板、虚拟或常规键盘、虚拟或常规鼠标、端口、连接器等之类的输入/输出(I/O)源604。
计算设备600可包括操作系统(OS)606,其用作计算机设备600的硬件和/或物理资源与用户之间的接口。可以构想,CPU 612可包括一个或多个处理器,诸如图1的(多个)处理器102,而GPU 614可包括一个或多个图形处理器(或多处理器)。
值得注意的是,贯穿本文档,可互换地使用像“节点”、“计算节点”、“服务器”、“服务器设备”、“云计算机”、“云服务器”、“云服务器计算机”、“机器”、“主机”、“设备”、“计算设备”、“计算机”、“计算系统”等之类的术语。应当进一步注意的是,贯穿本文档,可互换地使用像“应用”、“软件应用”、“程序”、“软件程序”、“包”、“软件包”等之类的术语。同样,贯穿本文档,可互换地使用像“作业(job)”、“输入”、“请求”、“消息”等之类的术语。
可以构想并且如参考图1-5进一步描述的,如上描述的图形流水线的某些过程在软件中实现,而其余则在硬件中实现。可在图形协处理器设计中实现图形流水线,其中,CPU612被设计成与可被包括在CPU 612中或与CPU 612共置(co-located)的GPU 614一起工作。在一个实施例中,GPU 614可采用用于执行与图形渲染相关的常规功能的任何数量与类型的常规软件与硬件逻辑,以及用于执行任何数量与类型的指令的新型软件与硬件逻辑。
如前文提及的,存储器608可包括包含应用数据库的随机存取存储器(RAM),该应用数据库具有对象信息。存储器控制器中枢(诸如,图1的存储器中枢105)可访问RAM中的数据并将其转发到GPU 614以用于图形流水线处理。RAM可包括双数据速率的RAM(DDR RAM)、扩展数据输出RAM(EDO RAM)等。CPU 612与硬件图形流水线交互以共享图形流水线功能。
经处理的数据被存储在硬件图形流水线中的缓冲区中,且状态信息被存储在存储器608中。所得的图像随后被传递到I/O源604,诸如,用于显示图像的显示部件。可以构想,显示设备可以是各种类型的,诸如,阴极射线管(CRT)、薄膜晶体管(TFT)、液晶显示器(LCD)、有机发光二极管(OLED)阵列等,以用于向用户显示信息。
存储器608包括预先分配的缓冲器(例如,帧缓冲器)区域;然而,本领域普通技术人员应当理解,实施例不限于此,且可以使用对于较低级图形流水线而言是可访问的任何存储器。计算设备600可进一步包括如在图1中所提到的输入/输出(I/O)控制中枢(ICH)107以作为一个或多个I/O源604等。
CPU 612可包括用于执行指令的一个或多个处理器,以便执行计算系统实现的无论什么软件例程。指令经常涉及在数据上执行的某类操作。数据与指令两者都可被存储在系统存储器608以及任何相关联的高速缓存中。高速缓存通常设计成具有比系统存储器608更短的延迟时间;例如,高速缓存可能被集成到与(多个)处理器相同的(多个)硅芯片上,并且/或者高速缓存用较快的静态RAM(SRAM)单元来构造,同时系统存储器608可用较慢的动态RAM(DRAM)单元来构造。通过趋向于在高速缓存中而不是在系统存储器608中存储更为频繁使用的指令和数据,改善了计算设备600的整体性能效率。可以构想,在一些实施例中,GPU 614可作为CPU 612的一部分(诸如,物理CPU封装的一部分)而存在,在此情况下,存储器608可由CPU 612与GPU 614共享或保持分离。
可使系统存储器608对于计算设备600内的其他部件而言可用。例如,在软件程序实现方式中,从各种接口(例如,键盘与鼠标、打印机端口、局域网(LAN)端口、调制解调器端口等)接收至计算设备600的任何数据(例如,输入图形数据)或从计算机设备600的内部存储元件(例如,硬盘驱动器)检索到的任何数据,在被一个或多个处理器操作之前经常被临时地排队到系统存储器608中。类似地,软件程序所确定的应当通过计算系统接口之一从计算设备600发送到外部实体或应当存储到内部存储元件的数据,在其被发送或存储之前,经常在系统存储器608中被临时地排队。
进一步地,例如,ICH可用于确保此类数据在系统存储器608与其适当的对应计算系统接口(以及内部存储设备,如果计算系统是如此设计的话)之间正确地传递并可在其自身与所观察到的I/O源/设备604之间具有双向点到点链路。类似地,MCH可用于管理CPU 612与GPU 614、接口与内部存储元件之间对于系统存储器608访问的多种竞争请求,这些请求可能在时间上彼此紧接地出现。
I/O源604可包括一个或多个I/O设备,该I/O设备被实现用于将数据传递到计算设备600(例如,网络适配器)和/或传递来自计算设备600的数据;或者,对于大规模非易失性存储而言,在计算设备1100内(例如硬盘驱动器)传递数据。包括字母数字及其他键的用户输入设备可用于将信息和命令选择传递到GPU 614。另一类型的用户输入设备是光标控件(诸如鼠标、跟踪球、触摸屏、触板或光标方向键),用于将方向信息和命令选择传递到GPU614,并用于控制显示设备上的光标移动。计算机设备600的相机和话筒阵列可用于观察手势,记录音频和视频以及接收和传输可视化和音频命令。
计算设备600可进一步包括用于提供对诸如LAN、广域网(WAN)、城域网(MAN)、个域网(PAN)、蓝牙、云网络、移动网络(例如,第三代(3G)、第四代(4G)等)、内联网、因特网等之类的网络的访问的(多个)网络接口。(多个)网络接口可包括例如具有天线(其可表示一个或多个天线)的无线网络接口。(多个)网络接口还可包括例如用于经由网络缆线与远程设备进行通信的有线网络接口,该网络缆线可以是例如以太网缆线、同轴电缆、光纤电缆、串行缆线或并行缆线。
(多个)网络接口可以例如通过符合IEEE 802.11b和/或IEEE802.11g标准来提供对LAN的访问,并且/或者无线网络接口可以例如通过符合蓝牙标准来提供对个域网的访问。还可以支持其他无线网络接口和/或协议,包括先前和后续版本的标准。除经由无线LAN标准的通信之外,或代替经由无线LAN标准的通信,(多个)网络接口还可以使用例如时分多址(TDMA)协议、全球移动通信系统(GSM)协议、码分多址(CDMA)协议和/或任何其他类型的无线通信协议来提供无线通信。
(多个)网络接口可包括一个或多个通信接口,诸如,调制解调器、网络接口卡,或其他公知的接口设备,诸如,那些用于耦合到以太网、令牌环网或其他类型的旨在例如提供支持LAN或WAN的通信链路的物理有线或无线附连的设备。以此方式,可经由常规网络架构(包括例如,内联网或因特网)将计算机系统耦合到多个外围设备、客户机、控制面、控制台或服务器。
应当理解,对于某些实现,比上文所描述的示例具有更少或更多配备的系统可以是优选的。因此,取决于诸如价格约束、性能要求、技术改进或其他情况之类的众多因素,计算设备600的配置在各实现之间可有所不同。电子设备或计算机系统600的示例可包括(不限于),移动设备、个人数字助理、移动计算设备、智能电话、蜂窝电话、手持设备、单向寻呼机、双向寻呼机、消息传送设备、计算机、个人计算机(PC)、台式计算机、膝上型计算机、笔记本计算机、手持式计算机、平板计算机、服务器、服务器阵列或服务器场(server farm)、web服务器、网络服务器、互联网服务器、工作站、小型计算机、大型计算机(main framecomputer)、超级计算机、网络家电(appliance)、web家电、分布式计算系统、多处理器系统、基于处理器的系统、消费电子产品、可编程消费电子产品、电视机、数字电视、机顶盒、无线接入点、基站、订户站、移动订户中心、无线电网络控制器、路由器、集线器、网关、桥接器、交换机、机器或其组合。
实施例可以被实现为下列各项中的任何一项或其组合:使用母板互连的一个或多个微芯片或集成电路、硬连线逻辑、由存储器设备存储并由微处理器执行的软件、固件、专用集成电路(ASIC)和/或现场可编程门阵列(FPGA)。术语“逻辑”作为示例可以包括软件、硬件和/或软件和硬件的组合。
可提供例如作为计算机程序产品的诸实施例,该计算机程序产品可以包括具有存储于其上的机器可执行指令的一种或多种机器可读介质,当由诸如计算机、计算机的网络,或其他电子设备之类的一个或多个机器执行这些机器可执行指令时,这些指令可导致一个或多个机器实施根据本文中所描述的诸实施例的操作。机器可读介质可包括但不限于,软盘、光盘、CD-ROM(紧致盘-只读存储器),以及磁光盘、ROM、RAM、EPROM(可擦除可编程只读存储器)、EEPROM(电可擦可编程只读存储器)、磁卡或光卡,闪存,或适于存储机器可执行指令的其他任何类型的介质/机器可读介质。
此外,实施例还可以被作为计算机程序产品下载,其中,经由通信链路(例如,调制解调器和/或网络连接),通过被具体化在载波或其他传播介质中和/或由载波或其他传播介质所调制的一个或多个数据信号,可将程序从远程计算机(例如,服务器)传递到请求方计算机(例如,客户机)。
在常规GPU中,计算单元包括相同的执行单元(EU),这些执行单元被复制以产生EU的阵列。相同的配置要求EU具有用于各种用法的所有潜在特征的超集(superset)。然而,从微架构角度来看,不同的用法指定不同核基础结构。例如,3D应用要求系统中的采用较小线程寄存器空间(约128)的大量线程,而媒体应用要求采用较大寄存器空间的少量线程。类似地,不同的计算应用将具有其自己的独特要求。
根据一个实施例,GPU 614被配置成包括具有不同类型的执行单元的处理单元。图7A示出了GPU 614的一个实施例。如图7A中所示,GPU 614包括处理单元700(例如,700(a)–700(n))。根据一个实施例,处理器700中的每一个包括不同类型的EU。在这样的实施例中,处理器700(a)可包括EU 705(例如,705(a)–705(n)),该EU 705包括第一类型,而处理器700(b)可包括EU 706(例如,706(a)–706(n)),该EU 706包括第二类型。类似地,处理器700(c)可包括EU 707(例如,705(a)–705(n)),该EU 707包括第三类型。
在其他实施例中,处理单元700可以是相同的,但有着不同类型的EU。例如,EU 705(0)、706(0)、706(0)包括第一类型,而705(1)、706(1)、706(1)和705(n)、706(n)、706(n)分别包括第二类型和第三类型。EU类型可以在可被处理的线程的数量上不同,在每线程的寄存器数量上不同,或者在任何其他处理特性上不同。例如,3D应用可要求较大数量的线程和较小的线程寄存器空间(例如,约128),而媒体应用可要求采用较大寄存器空间的较小数量的线程。因此,可以实现不同的EU类型来执行那些应用。
在其他实施例中,EU可以是相同类型的,但是被配置有不同的能力。在这样的实施例中,每个EU类型(或者配置)被设计用于特定深度的学习使用模型(例如,训练、卷积、偏移、整流(rectified)线性单元(ReLU)、池化(pooling)等)。
在应用期间,当GPU 614被调用时,计算机制610选择要被实现以用于执行工作负荷的EU。在一个实施例中,计算机制610可包括编译器,该编译器在应用的执行之前静态地选择EU,使得EU配置在特定应用的寿命期间保持相同。在其他实施例中,计算机制610可被实现为针对GPU614执行的每次调用最佳地配置EU的线程分派器。在又一实施例中,线程分派器可在分派期间动态地改变每线程组的EU配置。
根据一个实施例,计算机制610还可将软件提示传送给GPU614。在这样的实施例中,这些提示可指示GPU 614将要关闭或绕过(bypass)较高功率核,如果处理要求较不处理密集的EU的话。因此,计算机制提供了计算的益处和功率益处,这些益处实现将线程分派给特定的EU,而不被需要的EU被转变成较低功率状态。
还可实现GPU 614以在深度学习应用中处理矩阵操作。这样的矩阵应用需要将大量的数据从存储器转移到GPU 614。根据一个实施例,处理单元700可被包括在存储器内以消除这样的数据转移。图7B示出了包括处理单元700的存储器750的一个实施例。
在一个实施例中,存储器750是高带宽存储器(HBM)。在这样的实施例中,HBM被包括在与GPU 614相同的封装中。因此,处理单元700可以被物理地附连到HBM控制器760。虽然在本文中作为HBM被讨论,但是其他实施例可实现具有高性能RAM接口的不同类型的存储器设备。
如在图7B中所示,存储器750包括信道752(0,1,…N)。在一个实施例中,每个存储器信道752包括处理单元700,使得处理单元700(a)被包括在信道0内,处理单元700(b)被包括在信道1内,并且处理单元700(n)被包括在信道N内。在进一步的实施例中,处理单元700还可以包括不同类型的EU,如以上所讨论的。
在又一实施例中,计算机制610可以高效地执行矩阵-向量(例如,矩阵到向量和向量到矩阵)变换。图7C示出了2D阵列(M+1)x(M+1)的示例性线性变换。矩阵-向量变换在当前GPU中是计算密集的,因为要求在操作期间必须移动大量数据以执行变换。根据一个实施例,计算机制610实现GPU 614内的寄存器堆,以高效地执行矩阵到向量的变换和向量到矩阵的变换。
在这样的实施例中,计算机制610修改寄存器堆的寄存器内容,而不需要实际移动数据。因此,矩阵/向量数据被存储在连续的寄存器块中。在一个实施例中,用于执行操作的指令包括源和目的地。在此实施例中,源包括寄存器地址开始界限(limit)、阵列的跨度、元件的数量以及元件大小。一旦执行操作,结果就被存储在目的地寄存器中。可采用任何数据类型(例如,4位、8位、16位)或者采用机器学习算法来实现利用寄存器堆的变换。虽然以上以寄存器堆进行了描述,但是可根据其他实施例在共享本地存储器(SLM)中执行变换。
机器学习概述
机器学习算法是可以基于一组数据来学习的算法。机器学习算法的实施例可以被设计成对数据集内的高阶抽象进行建模。例如,图像识别算法可以用于确定给定的输入属于若干种类别中的哪一种;回归算法可以在给定输入的情况下输出数值;并且模式识别算法可以用于生成翻译文本或执行文本至语音和/或语音识别。
一种示例类型的机器学习算法是神经网络。存在许多类型的神经网络;一种简单类型的神经网络是前馈网络。可将前馈网络实现为无环图,其中节点布置在层中。通常,前馈网络拓扑包括输入层和输出层,输入层和输出层通过至少一个隐藏层分开。隐藏层将由输入层接收到的输入变换为对在输出层中生成输出有用的表示。网络节点经由边缘全连接至相邻层中的节点,但每个层内的节点之间不存在边缘。在前馈网络的输入层的节点处接收的数据经由激活函数被传播(即,“前馈”)至输出层的节点,所述激活函数基于系数(“权重”)来计算网络中的每个连续层的节点的状态,所述系数分别与连接这些层的边缘中的每一个相关联。取决于由执行的算法所表示的特定模型,来自神经网络算法的输出可以采用各种形式。
在可以使用机器学习算法来对具体问题进行建模之前,使用训练数据集来训练所述算法。训练神经网络涉及:选择网络拓扑;使用表示被网络建模的问题的一组训练数据;以及调节权重,直到网络模型针对训练数据集的所有实例表现为具有最小误差。例如,在用于神经网络的监督式学习训练过程期间,将由网络响应于表示训练数据集中的实例的输入所产生的输出与所述实例的“正确”的已标记输出相比较;计算表示所述输出与已标记输出之间的差异的误差信号;以及当将误差信号向后传播穿过网络的层时,调节与所述连接相关联的权重以最小化所述误差。当从训练数据集的实例中生成的每个输出的误差被最小化时,网络被视为“已经过训练”。
机器学习算法的准确度会受到用于训练所述算法的数据集的质量的很大影响。训练过程可以是计算密集型的,并且在常规通用处理器上可能需要大量的时间。因此,使用并行处理硬件来训练许多类型的机器学习算法。这对于优化神经网络的训练是特别有用的,因为在调节神经网络中的系数时执行的计算本身自然地适于并行实现方式。具体地,许多机器学习算法和软件应用已被适配成在通用图形处理装置内使用并行处理硬件。
图8是机器学习软件堆叠800的广义图。机器学习应用802可以被配置成使用训练数据集来训练神经网络或使用已训练的深度神经网络来实现机器智能。机器学习应用802可以包括神经网络和/或专用软件的训练和推断功能,所述功能可以用于在部署之前训练神经网络。机器学习应用802可以实现任何类型的机器智能,包括但不限于:图像识别、映射和定位、自主导航、语音合成、医学成像或语言翻译。
可以经由机器学习框架804来实现针对机器学习应用802的硬件加速。机器学习框架804可以提供机器学习图元(primitive)库。机器学习图元是机器学习算法通常执行的基本操作。在没有机器学习框架804的情况下,将需要机器学习算法的开发者创建和优化与机器学习算法相关联的主要计算逻辑,然后在开发出新的并行处理器时重新优化所述计算逻辑。相反,机器学习应用可以被配置成使用由机器学习框架804提供的图元来执行必要的计算。示例性图元包括张量卷积、激活函数和池化,它们是在训练卷积神经网络(CNN)时执行的计算操作。机器学习框架804还可以提供图元以用于实现由许多机器学习算法执行的基本线性代数子程序,比如矩阵和向量运算。
机器学习框架804可以处理从机器学习应用802接收的输入数据,并生成至计算框架806的适当输入。计算框架806可以使提供给GPGPU驱动器808的底层指令抽象化,以使得机器学习框架804能够经由GPGPU硬件810来利用硬件加速而无需机器学习框架804非常熟悉GPGPU硬件810的架构。另外,计算框架806可以跨越多种类型和各代GPGPU硬件810来实现针对机器学习框架804的硬件加速。
GPGPU机器学习加速
图9展示根据实施例的高度并行的通用图形处理单元900。在一个实施例中,通用处理单元(GPGPU)900可以被配置成在处理与训练深度神经网络相关联的这种类型的计算工作负荷中特别高效。另外,GPGPU 900可以直接链接至GPGPU的其他实例以用于创建多GPU集群,从而改进特别深的神经网络的训练速度。
GPGPU 900包括主机接口902以用于实现与主机处理器的连接。在一个实施例中,主机接口902是PCI Express接口。然而,主机接口还可以是供应方特定的通信接口或通信组构。GPGPU 900从主机处理器接收命令,并使用全局调度器904以将与那些命令相关联的执行线程分布至一组计算集群906A至906H。计算集群906A至906H共享高速缓存存储器908。高速缓存存储器908可以充当计算集群906A至906H内的高速缓存存储器中的高级高速缓存。
GPGPU 900包括存储器914A至914B,所述存储器经由一组存储器控制器912A至912B与计算集群906A至906H耦合。在各种实施例中,存储器914A至914B可以包括各种类型的存储器装置,包括动态随机存取存储器(DRAM)或图形随机存取存储器(比如,同步图形随机存取存储器(SGRAM),包括图形双数据速率(GDDR)存储器)。在一个实施例中,存储器单元224A至224N还可包括3D堆叠式存储器,包括但不限于高带宽存储器(HBM)。
在一个实施例中,每个计算集群GPLAB06A-H包括一组图形多处理器,比如图4A的图形多处理器400。计算集群的图形多处理器包括多种类型的整数和浮点逻辑单元,这些单元可以在一系列精度(包括适合于机器学习计算的精度)下执行计算操作。例如且在一个实施例中,计算集群906A至906H中的每一者的浮点单元的至少一个子集可以被配置成执行16位或32位浮点运算,而浮点单元的一不同子集可以被配置成执行64位浮点运算。
GPGPU 900的多个实例可以被配置成作为计算集群来操作。由计算集群用于同步和数据交换的通信机制跨实施例变化。在一个实施例中,GPGPU 900的多个实例通过主机接口902来通信。在一个实施例中,GPGPU900包括使GPGPU 900与GPU链路910耦合的I/O中枢908,所述GPU链路实现至GPGPU的其他实例的直接连接。在一个实施例中,GPU链路910耦合至专用GPU-GPU桥,所述GPU-GPU桥实现GPGPU 900的多个实例之间的通信和同步。在一个实施例中,GPU链路910与高速互连耦合,以用于将数据传输和接收至其他GPGPU或并行处理器。在一个实施例中,GPGPU 900的多个实例位于单独的数据处理系统中并且经由网络装置来通信,所述网络装置可经由主机接口902来访问。在一个实施例中,除主机接口902之外或作为主机接口的替代例,GPU链路910也可以被配置成使得能够连接至主机处理器。
虽然GPGPU 900的所展示配置可以被配置成训练神经网络,但是一个实施例提供了GPGPU 900的替代性配置,其可以被配置成用于部署在高性能或低功率推断用平台内。在推断配置中,GPGPU 900包括相对于训练配置更少的计算集群906A至906H。另外,与存储器914A至914B相关联的存储器技术可在推断和训练配置之间有所不同。在一个实施例中,GPGPU 900的推断配置可以支持推断特定的指令。例如,推断配置可以提供对一个或多个8位整数点积指令的支持,这些指令通常在用于已部署神经网络的推断操作期间使用。
图10展示根据实施例的多GPU计算系统1000。多GPU计算系统1000可以包括处理器1002,所述处理器经由主机接口开关1004耦合至多个GPGPU 1006A至D。在一个实施例中,主机接口开关1004是将处理器1002耦合至PCI Express总线的PCI Express开关装置,处理器1002可以通过所述PCI Express总线与这组GPGPU 1006A至D通信。多个GPGPU 1006A至1006D中的每一个可以是图9的GPGPU 900的实例。GPGPU 1006A至D可以经由一组高速点对点GPU-GPU链路1016互连。高速GPU-GPU链路可以经由专用GPU链路(比如,如图9中的GPU链路910)连接至GPGPU 1006A至1006D中的每一个。P2P GPU链路1016使得GPGPU 1006A至D中的每一个之间能够直接通信,而无需通过主机接口总线(处理器1002连接至所述主机接口总线)来通信。在GPU-GPU业务针对P2P GPU链路的情况下,主机接口总线仍然可用于系统存储器访问或与多GPU计算系统1000的其他实例通信(例如,经由一个或多个网络装置)。虽然在所展示的实施例中GPGPU 1006A至D经由主机接口开关1004连接至处理器1002,但是在一个实施例中,处理器1002包括对P2P GPU链路1016的直接支持并且可以直接连接至GPGPU1006A至1006D。
机器学习神经网络实现方式
由本文描述的实施例提供的计算架构可以被配置成执行特别适合于训练和部署用于机器学习的神经网络的这些类型的并行处理。可以将神经网络一般化为具有图表关系的函数的网络。如本领域中众所周知的,存在机器学习中所使用的多种类型的神经网络实现方式。一种示例性类型的神经网络是如先前描述的前馈网络。
第二种示例性类型的神经网络是卷积神经网络(CNN)。CNN是用于处理具有已知的、网格状拓扑的数据(比如,图像数据)的专用前馈神经网络。因此,CNN通常用于计算机视觉和图像识别应用,但它们也可用于其他类型的模式识别,比如语音和语言处理。CNN输入层中的节点被组织为一组“滤波器”(受视网膜中发现的感受野启发的特征检测器),并且每一组滤波器的输出被传播至网络的连续层中的节点。用于CNN的计算包括将卷积数学运算应用于每个滤波器以产生所述滤波器的输出。卷积是由两个函数执行以产生第三个函数的一种专门的数学运算,所述第三个函数是两个原始函数中的一个的修改版本。在卷积网络术语中,关于卷积的第一个函数可以被称为输入,而第二个函数可以被称为卷积核。输出可被称为特征图。例如,至卷积层的输入可以是多维数据阵列,其定义输入图像的各种颜色分量。卷积核可以是多维参数阵列,其中通过针对神经网络的训练过程来适配所述参数。
递归神经网络(RNN)是一类前馈神经网络,其包括层之间的反馈连接。RNN使得能够通过跨神经网络的不同部分共享参数数据来对序列数据进行建模。RNN的架构包括循环。这些循环表示变量的当前值在未来的时间对其自身值的影响,因为来自RNN的输出数据的至少一部分被用作反馈以用于处理序列中的后续输入。由于语言数据可被组成的可变本质,这个特征使RNN变得对语言处理特别有用。
下文描述的图呈现了示例性前馈、CNN和RNN网络,以及描述了用于分别训练和部署那些类型的网络中的每一种的通用过程。将理解,这些描述就本文描述的任何特定实施例而论是示例性且非限制性的,并且一般说来可以通常将所展示的概念应用于深度神经网络和机器学习技术。
上文描述的示例性神经网络可以用于执行深度学习。深度学习是使用深度神经网络进行的机器学习。与仅包括单个隐藏层的浅层神经网络相反,深度学习中使用的深度神经网络是由多个隐藏层组成的人工神经网络。更具深度的神经网络通常训练起来更具计算密集性。然而,网络的附加隐藏层实现了多步模式识别,所述多步模式识别相对于浅层机器学习技术导致减少的输出误差。
深度学习中使用的深度神经网络通常包括前端网络以用于执行耦合至表示数学模型的后端网络的特征识别,所述数学模型可以基于提供给所述模型的特征表示来执行操作(例如,目标分类、语音识别等)。深度学习使得能够执行机器学习,而无需针对所述模型执行手工特征工程。相反,深度神经网络可以基于输入数据内的统计结构或相关性来学习特征。所学习的特征可以提供给数学模型,所述数学模型可以将所检测的特征映射至输出。由网络使用的数学模型通常专用于待执行的特定任务,并且不同的模型将用于执行不同的任务。
一旦将神经网络结构化,就可以将学习模型应用于网络以将网络训练成执行特定任务。学习模型描述如何在模型内调节权重以减少网络的输出误差。反向传播误差是一种用于训练神经网络的常用方法。向网络呈现输入向量以供处理。使用损失函数将网络的输出与期望的输出相比较,并且为输出层中的每个神经元计算误差值。然后,向后传播这些误差值,直到每个神经元具有粗略地表示其对原始输出的贡献的相关联误差值。然后,网络可以使用算法(比如,随机梯度下降算法)从那些误差中学习,以更新神经网络的权重。
图11A和11B展示示例性卷积神经网络。图11A展示CNN内的各个层。如图11A中所示,用于对图像处理进行建模的示例性CNN可以接收输入1102,所述输入描述输入图像的红、绿和蓝(RGB)分量。输入1102可以由多个卷积层(例如,卷积层1104、卷积层1106)处理。可选地,来自所述多个卷积层的输出可由一组全连接层1108处理。全连接层中的神经元具有至前一层中的所有激活函数的完全连接,如先前针对前馈网络所描述的。来自全连接层1108的输出可以用于从网络中生成输出结果。可以使用矩阵乘法而非卷积来计算全连接层1108内的激活函数。并非所有的CNN实现方式都使用全连接层1108。例如,在一些实现方式中,卷积层1106可以生成CNN的输出。
卷积层被稀疏地连接,这不同于全连接层1108中发现的传统神经网络配置。传统神经网络层被全连接,使得每个输出单元与每个输入单元相互作用。然而,卷积层被稀疏地连接,这是因为感受野的卷积的输出(而非感受野中的每个节点的相应状态值)被输入至后续层的节点,如所展示。与卷积层相关联的核执行卷积运算,所述卷积运算的输出被发送至下一个层。在卷积层内执行的降维是使得CNN能够进行缩放以处理大图像的一个方面。
图11B展示在CNN的卷积层内的示例性计算阶段。可以在卷积层1114的三个阶段中处理至CNN的卷积层的输入1112。这三个阶段可以包括卷积阶段1116、检测器阶段1118和池化阶段1120。然后,卷积层1114可以将数据输出至连续的卷积层。网络的最后一个卷积层可以生成输出特征图数据或提供至全连接层的输入,例如以生成至CNN的输入的分类值。
在卷积阶段1116中并行执行若干个卷积,以产生一组线性激活函数。卷积阶段1116可以包括仿射变换,所述仿射变换是可以被指定为线性变换外加平移的任何变换。仿射变换包括旋转、平移、缩放和这些变换的组合。卷积阶段计算连接至输入中特定区域的函数的输出(例如,神经元),所述特定区域可以被确定为与神经元相关联的本地区域。神经元计算神经元的权重与本地输入(神经元连接至所述本地输入)中的区域之间的点积。来自卷积阶段1116的输出定义由卷积层1114的连续阶段处理的一组线性激活函数。
线性激活函数可以由检测器阶段1118处理。在检测器阶段1118中,每个线性激活函数由非线性激活函数处理。非线性激活函数增加整体网络的非线性性质,而不影响卷积层的感受野。可使用若干种类型的非线性激活函数。一个具体的类型是修正线性单元(ReLU),其使用被定义为f(x)=max(0,x)的激活函数,使得激活函数被阈值化为零。
池化阶段1120使用池化函数,所述池化函数用附近输出的概括统计数值来代替卷积层1106的输出。池化函数可以用于将平移不变性引入到神经网络中,使得至输入的轻微平移不改变池化输出。本地平移的不变性在输入数据的特征存在性比特征的精确位置更加重要的情况下可以是有用的。可以在池化阶段1120期间使用各种类型的池化函数,包括最大池化、平均池化和L2范数池化。另外,一些CNN实现方式不包括池化阶段。相反,这样的实现方式代用附加的卷积阶段,所述附加的卷积阶段相对于先前的卷积阶段具有增大的步幅。
然后,来自卷积层1114的输出可以由下一个层1122处理。下一个层1122可以是附加的卷积层或是全连接层1108中的一者。例如,图11A的第一卷积层1104可以输出至第二卷积层1106,而第二卷积层可以输出至全连接层1108中的第一层。
图12展示了示例性递归神经网络1200。在递归神经网络(RNN)中,网络的先前状态影响网络的当前状态的输出。可以使用各种各样的函数以各种各样的方式来建立RNN。RNN的使用通常围绕使用数学模型以基于先前的输入序列来预测未来。例如,RNN可用于执行统计语言建模以在给定先前的字序列的情况下预测即将来临的字。可以将所展示的RNN 1200描述为具有以下各项:输入层1202,其接收输入向量;隐藏层1204,用于实现递归函数;反馈机制1205,用于实现先前状态的‘存储器’;以及输出层1206,用于输出结果。RNN 1200基于时间步长来操作。经由反馈机制1205基于先前的时间步长来影响RNN在给定的时间步长的状态。针对给定的时间步长,由先前状态和在当前时间步长的输入来定义隐藏层1204的状态。在第一时间步长的初始输入(x1)可以由隐藏层1204处理。第二输入(x2)可以由隐藏层1204使用在处理初始输入(x1)期间所确定的状态信息来处理。可以将给定的状态计算为t=f(Uxt+Wst-1),其中,U和W是参数矩阵。函数f通常为非线性,比如双曲正切函数(Tanh)或修正函数f(x)=max(0,x)的变体。然而,隐藏层1204中使用的特定数学函数可以取决于RNN1200的特定实现方式细节而变化。
除所描述的基本CNN和RNN网络之外,还可实现那些网络的变化。一个示例RNN变体是长短期记忆(LSTM)RNN。LSTM RNN能够学习对于处理更长的语言序列来说可有必要的长期依赖。CNN的变体是卷积深度置信网络,所述卷积深度置信网络具有类似于CNN的结构并且以类似于深度置信网络的方式受训练。深度置信网络(DBN)是由随机性(随机)变量的多个层组成的生成式神经网络。可以使用贪婪式无监督式学习来逐层训练DBN。然后,DBN的学习权重可以用于通过确定用于神经网络的一组最佳初始权重来提供预训练神经网络。
图13展示深度神经网络的训练和部署。一旦已针对任务将给定的网络结构化,就使用训练数据集1302来训练神经网络。已开发出各种训练框架1304以用于实现对训练过程的硬件加速。例如,图8的机器学习框架804可被配置为训练框架1304。训练框架604可以跟未训练的神经网络1306挂钩,并且使得能够使用本文描述的并行处理资源来训练未训练的神经网以生成已训练的神经网1308。
为了开始训练过程,可随机地或通过使用深度置信网络进行预训练来选择初始权重。然后,以监督或无监督的方式来执行训练循环。
监督式学习是一种学习方法,其中将训练作为仲裁操作来执行,比如当训练数据集1302包括输入(其与所述输入的期望输出成对)时,或在训练数据集包括具有已知的输出的输入并且神经网络的输出被手动地分级的情况下。网络处理输入,并且将所得输出与一组预期或期望的输出相比较。然后,通过系统反向传播误差。训练框架1304可以进行调节,以调节控制未训练的神经网络1306的权重。训练框架1304可以提供工具以用于监测未训练的神经网络1306在多大程度上收敛于适合基于已知的输入数据生成正确的答案的模型。当调节网络的权重以改善由神经网络生成的输出时,反复地出现训练过程。训练过程可以继续,直到神经网络达到与已训练的神经网1308相关联的统计上期望的准确度。然后,可以部署已训练的神经网络1308以实现任何数量的机器学习操作。
无监督式学习是一种学习方法,其中网络试图使用未标记数据来训练其自身。因此,针对无监督式学习,训练数据集1302将包括输入数据而无任何关联的输出数据。未训练的神经网络1306可以学习未标记输入内的分组,并且可以确定个别输入如何与整体数据集相关。无监督式训练可以用于生成自组织映射,所述自组织映射是能够执行在数据降维中有用的操作的一种类型的已训练神经网络1307。无监督式训练还可以用于执行异常检测,所述异常检测允许识别输入数据集中偏离数据正常模式的数据点。
还可采用监督式和无监督式训练的变化。半监督式学习是一项技术,其中训练数据集1302包括相同分布的已标记数据和未标记数据的混合。增量学习是监督式学习的变体,其中连续地使用输入数据以用于进一步训练模型。增量学习使得已训练的神经网络1308能够适配于新数据1312,而不忘记在初始训练期间根植在网络内的知识。
不管是监督式还是无监督式,用于特别深的神经网络的训练过程对于单个计算节点而言可能是过于计算密集的。可以使用计算节点的分布式网络而非使用单个计算节点来加速训练过程。
图14是展示分布式学习的框图。分布式学习是训练模型,其使用多个分布式计算节点来执行神经网络的监督式或无监督式训练。所述分布式计算节点可以各自包括一个或多个主机处理器以及通用处理节点中的一者或多者,比如如图9中的高度并行的通用图形处理单元900。如所展示,分布式学习可以执行模型并行性1402、数据并行化1404或模型和数据并行化1404的组合。
在模型并行性1402中,分布式系统中的不同计算节点可以针对单个网络的不同部分执行训练计算。例如,可以由分布式系统的不同处理节点来训练神经网络的每个层。模型并行性的益处包括能够缩放到特别大的模型。分裂与神经网络的不同层相关联的计算使得能够训练超大神经网络,其中所有层的权重将不纳入(fit into)单个计算节点的存储器中。在一些实例中,模型并行性在执行大型神经网络的无监督式训练中可以是特别有用的。
在数据并行化1404中,分布式网络的不同节点具有模型的完整实例,并且每个节点接收数据的不同部分。然后,组合来自不同节点的结果。虽然用于数据并行化的不同方法是有可能的,但是数据并行训练方法都需要一项组合结果并使每个节点之间的模型参数同步的技术。用于组合数据的示例性方法包括参数求平均和基于更新的数据并行化。参数求平均训练在训练数据的子集上的每个节点,并且将全局参数(例如,权重、偏差)设定至来自每个节点的参数的平均值。参数求平均使用保持参数数据的中心参数服务器。基于更新的数据并行化类似于参数求平均,除了以下情况之外:传递模型的更新而非将来自节点的参数传递到参数服务器。另外,可以以分散的方式执行基于更新的数据并行化,其中更新被压缩并且在节点之间传递。
例如,可以在分布式系统中实现经组合的模型和数据并行化1406,在所述分布式系统中,每个计算节点包括多个GPU。每个节点可以具有模型的完整实例,其中每个节点内的单独GPU用于训练模型的不同部分。
分布式训练相对于单个机器上的训练具有增加的开销。然而,本文描述的并行处理器和GPGPU可以各自实现各项技术以用于减少分布式训练的开销,包括用于实现高带宽GPU-GPU数据传递和加速的远程数据同步的技术。
示例性机器学习应用
可以应用机器学习以解决多项技术问题,包括但不限于计算机视觉、自主驾驶和导航、语音识别以及语言处理。计算机视觉传统上已是机器学习应用的最活跃研究领域之一。计算机视觉的应用范围为从重现人类视觉能力(比如,识别人脸)到创建新类别的视觉能力。例如,计算机视觉应用可以被配置成从视频中可见的物体中所诱导的振动来识别声波。并行处理器加速的机器学习使得能够使用明显大于先前可行的训练数据集的训练数据集来训练计算机视觉应用,并且使得能够使用低功率并行处理器来部署推断用系统。
并行处理器加速的机器学习具有自主驾驶应用,包括车道和道路标志识别、障碍回避、导航和驾驶控制。加速的机器学习技术可以用于基于数据集来训练驱动模型,所述数据集定义对特定训练输入的适当响应。本文描述的并行处理器可以使得能够快速训练用于自主驾驶解决方案的日益复杂的神经网络,并且使得能够将低功率推断用处理器部署在适合于集成到自主车辆中的移动平台中。
并行处理器加速的深度神经网络已实现用于自动语音识别(ASR)的机器学习方法。ASR包括创建在给定的输入声序列的情况下计算最可能的语言序列的函数。使用深度神经网络的加速的机器学习已实现代替先前用于ASR的隐马尔可夫模型(HMM)和高斯混合模型(GMM)。
并行处理器加速的机器学习还可以用于加速自然语言处理。自动学习程序可以使用统计推断算法以产生对于误差的或不熟悉的输入具有鲁棒性的模型。示例性自然语言处理器应用包括人类语言之间的自动机器翻译。
可以将用于机器学习的并行处理平台划分为训练平台和部署平台。训练平台通常高度并行,并且包括优化以用于加速多GPU单节点训练和多节点多GPU训练。适合于训练的示例性并行处理器包括高度并行的通用图形处理单元和多GPU计算系统。相反,部署的机器学习平台通常包括适合于用在比如相机、自主机器人和自主车辆的产品中的低功率并行处理器。
图15展示适合于使用训练模型执行推断的示例性推断用芯片上系统(SOC)1500。SOC 1500可以集成多个处理部件,包括媒体处理器1502、视觉处理器1504、GPGPU 1506和多核处理器1508。SOC 1500可以另外包括片上存储器1505,所述片上存储器可以实现可由所述处理部件中的每一个访问的共享片上数据池。所述处理部件可以针对低功率操作被优化,以用于使得能够部署至各种各样的机器学习平台(包括自主车辆和自主机器人)。例如,可以将SOC 1500的一种实现方式用作用于自主车辆的主控制系统的一部分。在SOC 1500被配置成用于自主车辆中的情况下,SOC被设计和配置成用于符合部署管辖权的相关功能安全标准。
在操作期间,媒体处理器1502和视觉处理器1504可以一致地工作以加速计算机视觉操作。媒体处理器1502可以使得能够对多个高分辨率(例如,4K、8K)视频流进行低延迟解码。可以将已解码的视频流写入到片上存储器1505中的缓冲器。然后,视觉处理器1504可以解析已解码的视频,并且对已解码视频的帧执行初步处理操作以准备使用已训练的图像识别模型来处理帧。例如,视觉处理器1504可以加速用于CNN(用于对高分辨率视频数据执行图像识别)的卷积运算,而后端模型计算由GPGPU 1506执行。
多核处理器1508可以包括控制逻辑,以用于有助于数据传递的排序和同步以及由媒体处理器1502和视觉处理器1504执行的共享存储器操作。多核处理器1508还可以充当应用处理器,以用于执行可以使用GPGPU1506的推断计算能力的软件应用。例如,可以于在多核处理器1508上执行的软件中实现导航和驾驶逻辑的至少一部分。这样的软件可以直接将计算工作负荷发布给GPGPU 1506,或可以将计算工作负荷发布给多核处理器1508,所述多核处理器可以将那些操作的至少一部分卸载到GPGPU 1506。
GPGPU 1506可以包括计算集群,比如高度并行的通用图形处理单元700内的计算集群906A至906H的低功率配置。GPGPU 1506内的计算集群可以支持被显式地地优化以用于对已训练的神经网络执行推断计算的指令。例如,GPGPU 1506可以支持用于执行低精度计算(比如,8位和4位整数向量运算)的指令。
附加的示例性图形处理系统
上文描述的实施例的细节可以被包括在下文描述的图形处理系统和装置内。图16至图29的图形处理系统和装置展示了可以实现上文描述的技术中的任一项和全部的替代性系统和图形处理硬件。
附加的示例性图形处理系统概览
图16是根据实施例的处理系统1600的框图。在各实施例中,系统1600包括一个或多个处理器1602以及一个或多个图形处理器1608,并且可以是单处理器台式系统、多处理器工作站系统或具有大量处理器1602或处理器核1607的服务器系统。在一个实施例中,系统1600是被纳入到用于在移动设备、手持式设备或嵌入式设备中使用的芯片上系统(SoC)集成电路内的处理平台。
系统1600的实施例可以包括或并入基于服务器的游戏平台、游戏控制台,包括游戏与媒体控制台、移动游戏控制台、手持式游戏控制台、或在线游戏控制台。在一些实施例中,系统1600是移动电话、智能电话、平板计算设备或移动互联网设备。数据处理系统1600还可包括可穿戴设备(诸如智能手表可穿戴设备、智能眼镜设备、增强现实设备、或虚拟现实设备)、与所述可穿戴设备耦合、或者集成在所述可穿戴设备中。在一些实施例中,数据处理系统1600是电视或机顶盒设备,所述电视或机顶盒设备具有一个或多个处理器1602以及由一个或多个图形处理器1608生成的图形界面。
在一些实施例中,一个或多个处理器1602每个包括用于处理指令的一个或多个处理器核1607,所述指令在被执行时执行系统和用户软件的操作。在一些实施例中,一个或多个处理器核1607中的每个处理器核被配置成用于处理特定的指令集1609。在一些实施例中,指令集1609可以促进复杂指令集计算(CISC)、精简指令集计算(RISC)、或经由超长指令字(VLIW)的计算。多个处理器核1607可以各自处理不同的指令集1609,所述指令集可以包括用于促进对其他指令集进行仿真的指令。处理器核1607还可以包括其他处理设备,如数字信号处理器(DSP)。
在一些实施例中,处理器1602包括高速缓存存储器1604。取决于架构,处理器1602可以具有单个内部高速缓存或内部高速缓存的多个级。在一些实施例中,在处理器1602的各部件当中共享高速缓存存储器。在一些实施例中,处理器1602还使用外部高速缓存(例如,3级(L3)高速缓存或末级高速缓存(LLC))(未示出),可以使用已知的高速缓存一致性技术来在处理器核1607当中共享外部高速缓存。另外地,寄存器堆1606包括在处理器1602中,所述处理器可以包括用于存储不同类型的数据的不同类型的寄存器(例如,整数寄存器、浮点寄存器、状态寄存器、和指令指针寄存器)。一些寄存器可以是通用寄存器,而其他寄存器可以特定于处理器1602的设计。
在一些实施例中,处理器1602耦合至处理器总线1610,所述处理器总线用于在处理器1602与系统1600内的其他部件之间传输通信信号,例如地址、数据、或控制信号。在一个实施例中,系统1600使用示例性‘中枢’系统架构,包括存储器控制器中枢1616和输入输出(I/O)控制器中枢1630。存储器控制器中枢1616促进存储器设备与系统1600的其他部件之间的通信,而I/O控制器中枢(ICH)1630经由本地I/O总线提供与I/O设备的连接。在一个实施例中,存储器控制器中枢1616的逻辑集成在处理器内。
存储器设备1620可以是动态随机存取存储器(DRAM)设备、静态随机存取存储器(SRAM)设备、闪存设备、相变存储器设备、或具有合适的性能用作处理存储器的某个其他存储器设备。在一个实施例中,存储器设备1620可作为系统1600的系统存储器进行操作,以存储数据1622和指令1621,以供在一个或多个处理器1602执行应用或进程时使用。存储器控制器中枢1616还与可选的外部图形处理器1612耦合,所述可选的外部图形处理器可以与处理器1602中的一个或多个图形处理器1608通信,从而执行图形和媒体操作。
在一些实施例中,ICH 1630使得外围部件经由高速I/O总线连接至存储器设备1620和处理器1602。I/O外围装置包括但不限于:音频控制器1646、固件接口1628、无线收发机1626(例如,Wi-Fi、蓝牙)、数据存储设备1624(例如,硬盘驱动器、闪存等)、以及用于将传统(例如,个人系统2(PS/2))设备耦合至所述系统的传统I/O控制器1640。一个或多个通用串行总线(USB)控制器1642连接多个输入设备,例如键盘和鼠标1644组合。网络控制器1634还可以耦合至ICH 1630。在一些实施例中,高性能网络控制器(未示出)耦合至处理器总线1610。应当理解,所示出的系统1600是示例性的而非限制性的,因为还可以使用以不同方式配置的其他类型的数据处理系统。例如,I/O控制器中枢1630可以集成在一个或多个处理器1602内,或者存储器控制器中枢1616和I/O控制器中枢1630可以集成在分立式外部图形处理器(诸如外部图形处理器1612)内。
图17是处理器1700的实施例的框图,所述处理器具有一个或多个处理器核1702A至1702N、集成存储器控制器1714、以及集成图形处理器1708。图17的具有与此处任何其他附图中的元件相同的参考号(或名称)的那些元件可采用与在本文中其他地方描述的方式相类似的任何方式进行操作或起作用,但不限于这些。处理器1700可包括多达且包括由虚线框表示的附加核1702N的附加核。处理器核1702A至1702N各自包括一个或多个内部高速缓存单元1704A至1704N。在一些实施例中,每个处理器核还可以访问一个或多个共享的高速缓存单元1706。
内部高速缓存单元1704A至1704N和共享高速缓存单元1706表示处理器1700内部的高速缓存存储器层级结构。高速缓存存储器层级结构可以包括每个处理器核内的至少一级指令和数据高速缓存以及一级或多级共享中级高速缓存,诸如2级(L2)、3级(L3)、4级(L4)、或其他级的高速缓存,其中,最高级的高速缓存在外部存储器之前被分类为LLC。在一些实施例中,高速缓存一致性逻辑维持各高速缓存单元1706与1704A至1704N之间的一致性。
在一些实施例中,处理器1700还可以包括一组一个或多个总线控制器单元1716和系统代理核1710。一个或多个总线控制器单元1716管理一组外围总线,诸如一个或多个外围部件互连总线(例如,PCI、PCI Express)。系统代理核1710提供对各处理器部件的管理功能。在一些实施例中,系统代理核1710包括一个或多个集成存储器控制器1714用于管理对各外部存储器设备(未示出)的访问。
在一些实施例中,处理器核1702A至1702N中的一个或多个包括对同步多线程的支持。在这种实施例中,系统代理核1710包括用于在多线程处理过程中协调和操作核1702A至1702N的部件。另外,系统代理核1710还可以包括功率控制单元(PCU),所述功率控制单元包括用于调节处理器核1702A至1702N的功率状态的逻辑和部件以及图形处理器1708。
在一些实施例中,另外,处理器1700还包括用于执行图形处理操作的图形处理器1708。在一些实施例中,图形处理器1708耦合至共享高速缓存单元1706集以及系统代理核1710,所述系统代理核包括一个或多个集成存储器控制器1714。在一些实施例中,显示控制器1711与图形处理器1708耦合以便将图形处理器输出驱动到一个或多个耦合的显示器。在一些实施例中,显示控制器1711可以是经由至少一个互连与图形处理器耦合的单独模块,或者可以集成在图形处理器1708或系统代理核1710内。
在一些实施例中,基于环的互连单元1712用于耦合处理器1700的内部部件。然而,可以使用替代性互连单元,比如点到点互连、切换式互连、或其他技术,包括本领域众所周知的技术。在一些实施例中,图形处理器1708经由I/O链路1713与环形互连1712耦合。
示例性I/O链路1713表示多个I/O互连中的多个品种中的至少一种,包括促进各处理器部件与高性能嵌入式存储器模块1718(比如eDRAM模块)之间的通信的封装体I/O互连。在一些实施例中,处理器核1702A至1702N中的每个处理器核以及图形处理器1708将嵌入式存储器模块1718用作共享末级高速缓存。
在一些实施例中,处理器核1702A至1702N是执行相同指令集架构的均质核。在另一实施例中,处理器核1702A至1702N在指令集架构(ISA)方面是异构的,其中,处理器核1702A至1702N中的一者或多者执行第一指令集,而其他核中的至少一者执行所述第一指令集的子集或不同的指令集。在一个实施例中,处理器核1702A至1702N就微架构而言是同质的,其中,具有相对较高功耗的一个或多个核与具有较低功耗的一个或多个功率核耦合。另外,处理器1700可以实现在一个或多个芯片上或者被实现为具有除其他部件之外的所展示的部件的SoC集成电路。
图18是图形处理器1800的框图,所述图形处理器可以是分立式图形处理单元、或者可以是与多个处理核集成的图形处理器。在一些实施例中,图形处理器经由到图形处理器上的寄存器的映射I/O接口并且利用被放置在处理器存储器中的命令与存储器进行通信。在一些实施例中,图形处理器1800包括用于访问存储器的存储器接口1814。存储器接口1814可以是到本地存储器、一个或多个内部高速缓存、一个或多个共享外部高速缓存、和/或到系统存储器的接口。
在一些实施例中,图形处理器1800还包括显示控制器1802,所述显示控制器用于将显示输出数据驱动到显示设备1820。显示控制器1802包括用于显示器的一个或多个重叠平面的硬件以及多层视频或用户接口元件的组成。在一些实施例中,图形处理器1800包括用于编码、解码、或者向、从或在一个或多个媒体编码格式之间进行媒体代码转换的视频编解码器引擎1806,包括但不限于:运动图像专家组(MPEG)(诸如MPEG-2)、高级视频编码(AVC)格式(诸如H.264/MPEG-4AVC)、以及电影&电视工程师协会(SMPTE)421M/VC-1、和联合图像专家组(JPEG)格式(诸如JPEG、以及运动JPEG(MJPEG)格式)。
在一些实施例中,图形处理器1800包括用于执行二维(2D)栅格器操作包括例如位边界块传递的块图像传递(BLIT)引擎1804。然而,在一个实施例中,使用图形处理引擎(GPE)1810的一个或多个部件执行2D图形操作。在一些实施例中,GPE 1810是用于执行图形操作的计算引擎,所述图形操作包括三维(3D)图形操作和媒体操作。
在一些实施例中,GPE 1810包括用于执行3D操作的3D流水线1812,比如使用作用于3D图元形状(例如,矩形、三角形等)的处理功能来渲染三维图像和场景。3D流水线1812包括可编程且固定的功能元件,所述可编程且固定的功能元件在到3D/媒体子系统1815的元件和/或生成的执行线程内执行各种任务。虽然3D流水线1812可以用于执行媒体操作,但是GPE 1810的实施例还包括媒体流水线1816,所述媒体流水线具体地用于执行媒体操作,诸如视频后处理和图像增强。
在一些实施例中,媒体流水线1816包括固定功能或可编程逻辑单元以便代替、或代表视频编解码器引擎1806来执行一种或多种专门的媒体操作,比如视频解码加速、视频解交织、以及视频编码加速。在一些实施例中,另外,媒体流水线1816还包括线程生成单元以便生成用于在3D/媒体子系统1815上执行的线程。所生成的线程对3D/媒体子系统1815中所包括的一个或多个图形执行单元执行对媒体操作的计算。
在一些实施例中,3D/媒体子系统1815包括用于执行3D流水线1812和媒体流水线1816生成的线程的逻辑。在一个实施例中,流水线向3D/媒体子系统1815发送线程执行请求,所述3D/媒体子系统包括用于仲裁并将各请求分派到可用的线程执行资源的线程分派逻辑。执行资源包括用于处理3D和媒体线程的图形执行单元阵列。在一些实施例中,3D/媒体子系统1815包括用于线程指令和数据的一个或多个内部高速缓存。在一些实施例中,所述子系统还包括共享存储器(包括寄存器和可寻址存储器)以便在线程之间共享数据并用于存储输出数据。
图形处理引擎
图19是根据一些实施例的图形处理器的图形处理引擎1910的框图。在一个实施例中,图形处理引擎(GPE)1910是图18所示的GPE 1810的一个版本。图19的具有与此处任何其他附图中的元件相同的参考号(或名称)的那些元件可采用与在本文中其他地方描述的方式相类似的任何方式进行操作或起作用,但不限于这些。例如,展示了图18的3D流水线1812和媒体流水线1816。媒体流水线1816在GPE 1910的一些实施例中是可选的,并且可以不显式地地包括在GPE 1910内。例如以及在至少一个实施例中,单独的媒体和/或图像处理器被耦合至GPE 1910。
在一些实施例中,GPE 1910与命令流转化器1903耦合或包括所述命令流转化器,所述命令流转化器向3D流水线1812和/或媒体流水线1816提供命令流。在一些实施例中,命令流转化器1903与存储器耦合,所述存储器可以是系统存储器、或内部高速缓存存储器和共享高速缓存存储器中的一个或多个高速缓存存储器。在一些实施例中,命令流转化器1903从存储器接收命令并将这些命令发送至3D流水线1812和/或媒体流水线1816。所述命令是从存储用于3D流水线1812和媒体流水线1816的环形缓冲器获取的指示。在一个实施例中,另外,环形缓冲器还可以包括存储多批多命令的批命令缓冲器。用于3D流水线1812的命令还可以包括对在存储器中存储的数据的引用,诸如但不限于用于3D流水线1812的顶点和几何数据和/或用于媒体流水线1816的图像数据和存储器对象。3D流水线1812和媒体流水线1816通过经由各自流水线内的逻辑执行操作或者通过将一个或多个执行线程分派至执行单元阵列1914来处理所述命令。
在各种实施例中,3D流水线1812可以通过处理指令并将执行线程分派给图形核阵列1914来执行一个或多个着色器程序,诸如顶点着色器、几何着色器、像素着色器、片段着色器、计算着色器或其他着色器程序。图形核阵列1914提供统一的执行资源块。图形核阵列1914内的多用途执行逻辑(例如,执行单元)包括对各种3D API着色器语言的支持,并且可以执行与多个着色器相关联的多个同时执行线程。
在一些实施例中,图形核阵列1914还包括用于执行诸如视频和/或图像处理的媒体功能的执行逻辑。在一个实施例中,除了图形处理操作之外,执行单元还包括可编程以执行并行通用计算操作的通用逻辑。通用逻辑可以与图16的(多个)处理器核1607或图17中的核1702A至1702N内的通用逻辑并行地或结合地执行处理操作。
由在图形核阵列1914上执行的线程生成的输出数据可以将数据输出到统一返回缓冲器(URB)1918中的存储器。URB 1918可以存储多个线程的数据。在一些实施例中,URB1918可以用于在图形核阵列1914上执行的不同线程之间发送数据。在一些实施例中,URB1918可以另外用于图形核阵列上的线程与共享功能逻辑1920内的固定功能逻辑之间的同步。
在一些实施例中,图形核阵列1914是可缩放的,使得所述阵列包括可变数量的图形核,这些图形核各自具有基于GPE 1910的目标功率和性能等级的可变数量的执行单元。在一个实施例中,执行资源是动态可缩放的,从而可以根据需要启用或禁用执行资源。
图形核阵列1914与共享功能逻辑1920耦合,所述共享功能逻辑包括在图形核阵列中的图形核之间共享的多个资源。共享功能逻辑1920内的共享功能是向图形核阵列1914提供专用补充功能的硬件逻辑单元。在各种实施例中,共享功能逻辑1920包括但不限于采样器1921、数学1922和线程间通信(ITC)1923逻辑。另外,一些实施例实现共享功能逻辑1920内的一个或多个高速缓存1925。在给定的专用功能的需求不足以包含在图形核阵列1914中的情况下实现共享功能。相反,所述专用功能的单个实例被实现为共享功能逻辑1920中的独立实体并且在图形核阵列1914内的执行资源之间共享。在图形核阵列1914之间共享并包括在图形核阵列1914内的精确的一组功能在各实施例之间变化。
图20是图形处理器2000的另一个实施例的框图。图20的具有与此处任何其他附图中的元件相同的参考号(或名称)的那些元件可采用与在本文中其他地方描述的方式相类似的任何方式进行操作或起作用,但不限于这些。
在一些实施例中,图形处理器2000包括环形互连2002、流水线前端2004、媒体引擎2037、以及图形核2080A至2080N。在一些实施例中,环形互连2002将图形处理器耦合至其他处理单元,包括其他图形处理器或者一个或多个通用处理器核。在一些实施例中,图形处理器是集成在多核处理系统内的多个处理器之一。
在一些实施例中,图形处理器2000经由环形互连2002接收多批命令。传入命令由流水线前端2004中的命令流转化器2003来解译。在一些实施例中,图形处理器2000包括用于经由(多个)图形核2080A至2080N执行3D几何处理和媒体处理的可缩放执行逻辑。对于3D几何处理命令,命令流转化器2003将命令供应至几何流水线2036。针对至少一些媒体处理命令,命令流转化器2003将命令供应至视频前端2034,所述视频前端与媒体引擎2037耦合。在一些实施例中,媒体引擎2037包括用于视频和图像后处理的视频质量引擎(VQE)2030以及用于提供硬件加速的媒体数据编码和解码的多格式编码/解码(MFX)2033引擎。在一些实施例中,几何流水线2036和媒体引擎2037各自生成执行线程,所述执行线程用于由至少一个图形核2080A提供的线程执行资源。
在一些实施例中,图形处理器2000包括可扩展线程执行资源表征模块核2080A至2080N(有时被称为核分片),各个可扩展线程执行资源表征模块核具有多个子核2050A至550N、2060A至2060N(有时被称为核子分片)。在一些实施例中,图形处理器2000可以具有任意数量的图形核2080A至2080N。在一些实施例中,图形处理器2000包括图形核2080A,所述图形核至少具有第一子核2050A和第二子核2060A。在其他实施例中,图形处理器是具有单个子核(例如,2050A)的低功率处理器。在一些实施例中,图形处理器2000包括多个图形核2080A至2080N,所述图形核各自包括一组第一子核2050A至2050N和一组第二子核2060A至2060N。所述一组第一子核2050A至2050N中的每个子核至少包括第一组执行单元2052A至2052N和媒体/纹理采样器2054A至2054N。所述一组第二子核2060A至2060N中的每个子核至少包括第二组执行单元2062A至2062N和采样器2064A至2064N。在一些实施例中,每个子核2050A至2050N、2060A至2060N共享一组共享资源2070A至2070N。在一些实施例中,所述共享资源包括共享高速缓存存储器和像素操作逻辑。其他共享资源也可以包括在图形处理器的各实施例中。
执行单元
图21展示了线程执行逻辑2100,所述线程执行逻辑包括在GPE的一些实施例中采用的处理元件阵列。图21的具有与此处任何其他附图中的元件相同的参考号(或名称)的那些元件可采用与在本文中其他地方描述的方式相类似的任何方式进行操作或起作用,但不限于这些。
在一些实施例中,线程执行逻辑2100包括着色器处理器2102、线程分派器2104、指令高速缓存2106、包括多个执行单元2108A至2108N的可扩展执行单元阵列、采样器2110、数据高速缓存2112、以及数据端口2114。在一个实施例中,可缩放执行单元阵列可以通过基于工作负荷的计算需求来启用或禁用一个或多个执行单元(例如,执行单元2108A,2108B,2108C,2108D,一直到2108N-1和2108N中的任一个)来动态地缩放。在一个实施例中,所包括的部件经由互连结构而互连,所述互连结构链接到部件中的每个部件。在一些实施例中,线程执行逻辑2100包括通过指令高速缓存2106、数据端口2114、采样器2110、以及执行单元阵列2108A至2108N中的一者或多者到存储器(如系统存储器或高速缓存存储器)的一个或多个连接件。在一些实施例中,每个执行单元(例如,2108A)是能够执行多个同步硬件线程同时针对每个线程并行地处理多个数据元素的独立可编程通用计算单元。在各种实施例中,执行单元2108A至2108N的阵列是可缩放的以包括任意数量的单独执行单元。
在一些实施例中,执行单元2108A至2108N主要用于执行着色器程序。着色器处理器2102可以处理各种着色器程序并且经由线程分派器2104分派与着色器程序相关联的执行线程。在一个实施例中,线程分派器包括用于对来自图形和媒体流水线的线程发起请求进行仲裁并且在一个或多个执行单元2108A至2108N上实例化所请求的线程的逻辑。例如,几何流水线(例如,图20的2036)可以将顶点处理、曲面细分或几何处理线程分派至线程执行逻辑2100(图21)进行处理。在一些实施例中,线程分派器2104还可处理来自执行着色器程序的运行时间线程生成请求。
在一些实施例中,执行单元2108A至2108N支持指令集(所述指令集包括对许多标准3D图形着色器指令的原生支持),从而使得以最小的转换执行来自图形库(例如,Direct3D和OpenGL)的着色器程序。这些执行单元支持顶点和几何处理(例如,顶点程序、几何程序、顶点着色器)、像素处理(例如,像素着色器、片段着色器)以及通用处理(例如,计算和媒体着色器)。执行单元2108A至2108N中的每一个都能够执行多发布单指令多数据(SIMD),并且多线程操作能够在面对较高等待时间的存储器访问时实现高效的执行环境。每个执行单元内的每个硬件线程都具有专用的高带宽寄存器堆和相关的独立线程状态。对于具有整数、单精度浮点运算和双精度浮点运算、SIMD分支功能、逻辑运算、超越运算和其他混杂运算的流水线,执行是每个时钟的多发布。在等待来自存储器或共享功能之一的数据时,执行单元2108A至2108N内的依赖性逻辑使等待线程休眠,直到所请求的数据已返回。当等待线程正在休眠时,硬件资源可能会专门用于处理其他线程。例如,在与顶点着色器操作相关联的延迟期间,执行单元可以执行像素着色器、片段着色器或包括不同顶点着色器的另一种类型的着色器程序的操作。
执行单元2108A至2108N中的每个执行单元在数据元素阵列上进行操作。数据元素的数量是“执行大小”、或指令的信道数。执行通道是执行数据元素访问、掩蔽、和指令内的流控制的逻辑单元。通道的数量可以与针对特定图形处理器的物理算术逻辑单元(ALU)或浮点单元(FPU)的数量无关。在一些实施例中,执行单元2108A至2108N支持整数和浮点数据类型。
执行单元指令集包括SIMD指令。各种数据元素可作为压缩数据类型存储在寄存器中,并且执行单元将基于元素的数据大小来处理各种元素。例如,当在256位宽的向量上进行操作时,所述256位的向量存储在寄存器中,并且所述执行单元作为四个单独64位压缩数据元素(四倍字长(QW)大小的数据元素)、八个单独32位压缩数据元素(双倍字长(DW)大小的数据元素)、十六个单独16位压缩数据元素(字长(W)大小的数据元素)、或三十二个单独8位数据元素(字节(B)大小的数据元素)在所述向量上进行操作。然而,不同的向量宽度和寄存器大小是可能的。
一个或多个内部指令高速缓存(例如,2106)包括在所述线程执行逻辑2100中以便高速缓存所述执行单元的线程指令。在一些实施例中,一个或多个数据高速缓存(例如,2112)被包括用于高速缓存在线程执行过程中的线程数据。在一些实施例中,采样器2110被包括用于为3D操作提供纹理采样并且为媒体操作提供媒体采样。在一些实施例中,采样器2110包括专门的纹理或媒体采样功能,以便在向执行单元提供采样数据之前在采样过程中处理纹理或媒体数据。
在执行过程中,图形和媒体流水线经由线程生成和分派逻辑向线程执行逻辑2100发送线程发起请求。一旦一组几何对象已经被处理并被栅格化成像素数据,则着色器处理器2102内的像素处理器逻辑(例如,像素着色器逻辑、片段着色器逻辑等)被调用以便进一步计算输出信息并且使得结果被写入到输出表面(例如,色彩缓冲器、深度缓冲器、模板印刷缓冲器等)。在一些实施例中,像素着色器或片段着色器计算各顶点属性的值,所述各顶点属性跨栅格化对象被内插。在一些实施例中,着色器处理器2102内的像素处理器逻辑然后执行应用编程接口(API)供应的像素或片段着色器程序。为了执行着色器程序,着色器处理器2102经由线程分派器2104将线程分派至执行单元(例如,2108A)。在一些实施例中,像素着色器2102使用采样器2110中的纹理采样逻辑来访问存储器中所存储的纹理图中的纹理数据。对纹理数据和输入几何数据的算术运算计算每个几何片段的像素颜色数据,或丢弃一个或多个像素而不进行进一步处理。
在一些实施例中,数据端口2114提供存储器访问机制,供线程执行逻辑2100将经处理的数据输出至存储器以便在图形处理器输出流水线上进行处理。在一些实施例中,数据端口2114包括或耦合至一个或多个高速缓存存储器(例如,数据高速缓存2112)从而经由数据端口高速缓存数据以供存储器访问。
图22是展示了根据一些实施例的图形处理器指令格式2200的框图。在一个或多个实施例中,图形处理器执行单元支持具有多种格式的指令的指令集。实线框展示了通常包括在执行单元指令中的部件,而虚线包括可选的部件或仅包括在指令子集中的部件。在一些实施例中,所描述和展示的指令格式2200是宏指令,因为它们是供应至执行单元的指令,这与从指令解码产生的微操作相反(一旦所述指令被处理)。
在一些实施例中,图形处理器执行单元原生地支持采用128位指令格式2210的指令。64位紧凑指令格式2230可用于基于所选指令、多个指令选项和操作数数量的一些指令。原生128位指令格式2210提供对所有指令选项的访问,而一些选项和操作限制在64位格式2230中。64位格式2230中可用的原生指令根据实施例而不同。在一些实施例中,使用索引字段2213中的一组索引值将指令部分地压缩。执行单元硬件基于索引值来参考一组压缩表,并使用压缩表输出来重构采用128位指令格式2210的原生指令。
针对每种格式,指令操作码2212限定执行单元要执行的操作。执行单元跨每个操作数的多个数据元素来并行地执行每条指令。例如,响应于添加指令,执行单元跨每个颜色通道执行同步添加操作,所述颜色通道表示纹理元素或图片元素。默认地,执行单元跨操作数的所有数据通道执行每条指令。在一些实施例中,指令控制字段2214使能控制某些执行选项,诸如通道选择(例如,预测)以及数据通道排序(例如,混合)。针对采用128位指令格式2210的指令,执行大小字段2216限制了将并行执行的数据通道的数量。在一些实施例中,执行大小字段2216不可用于64位紧凑指令格式2230。
一些执行单元指令具有多达三个操作数,包括两个源操作数(src0 2220、src12222)和一个目的地2218。在一些实施例中,执行单元支持双目的地指令,其中这些目的地之一是隐式的。数据操作指令可以具有第三源操作数(例如,SRC2 2224),其中,指令操作码2212确定源操作数的数量。指令的最后的源操作数可以是利用所述指令传递的即时(例如,硬编码)值。
在一些实施例中,128位指令格式2210包括访问/地址模式字段2226,所述访问/地址模式信息例如限定了是使用直接寄存器寻址模式还是间接寄存器寻址模式。当使用直接寄存器寻址模式时,直接由指令中的位来提供一个或多个操作数的寄存器地址。
在一些实施例中,128位指令格式2210包括访问/地址模式字段2226,所述访问/地址模式字段指定指令的地址模式和/或访问模式。在一个实施例中,访问模式用于限定针对指令的数据访问对齐。一些实施例支持访问模式,包括16字节对齐访问模式和1字节对齐访问模式,其中,访问模式的字节对齐确定了指令操作数的访问对齐。例如,当在第一模式中时,指令可以使用字节对齐寻址以用于源操作数和目的地操作数,并且当在第二模式中时,指令可以使用16字节对齐寻址以用于所有的源操作数和目的地操作数。
在一个实施例中,访问/地址模式字段2226的地址模式部分确定指令是使用直接寻址还是间接寻址。当使用直接寄存器寻址模式时,指令中的位直接提供一个或多个操作数的寄存器地址。当使用间接寄存器寻址模式时,可以基于指令中的地址寄存器值和地址立即数字段来计算一个或多个操作数的寄存器地址。
在一些实施例中,基于操作码2212位字段对指令进行分组从而简化操作码解码2240。针对8位的操作码,第4、5、和6位允许执行单元确定操作码的类型。所示出的精确操作码分组仅是示例性的。在一些实施例中,移动和逻辑操作码组2242包括数据移动和逻辑指令(例如,移动(mov)、比较(cmp))。在一些实施例中,移动和逻辑组2242共享五个最高有效位(MSB),其中移动(mov)指令采用0000xxxxb的形式,而逻辑指令采用0001xxxxb的形式。流控制指令组2244(例如,调用(call)、跳(jmp))包括采用0010xxxxb形式(例如,0x20)的指令。混杂指令组2246包括指令的混合,包括采用0011xxxxb形式(例如,0x30)的同步指令(例如,等待(wait)、发送(send))。并行数学指令组2248包括采用0100xxxxb形式(例如,0x40)的按分量的算术指令(例如,加(add)、乘(mul))。并行数学组2248跨数据通道并行地执行算术运算。向量数学组2250包括采用0101xxxxb形式(例如,0x50)的算术指令(例如,dp4)。向量数学组对向量操作数执行算术运算,诸如点积运算。
图形流水线
图23是图形处理器2300的另一个实施例的框图。图23的具有与此处任何其他附图中的元件相同的参考号(或名称)的那些元件可采用与在本文中其他地方描述的方式相类似的任何方式进行操作或起作用,但不限于这些。
在一些实施例中,图形处理器2300包括图形流水线2320、媒体流水线2330、显示引擎2340、线程执行逻辑2350、以及渲染输出流水线2370。在一些实施例中,图形处理器2300是包括一个或多个通用处理核的多核处理系统内的图形处理器。图形处理器受到至一个或多个控制寄存器(未示出)的寄存器写入的控制或者经由环形互连2302经由发布至图形处理器2300的命令被控制。在一些实施例中,环形互连2302将图形处理器2300耦合至其他处理部件,比如其他图形处理器或通用处理器。来自环形互连2302的命令通过命令流转化器2303被解译,所述命令流转化器将指令供应至图形流水线2320或媒体流水线2330的单独部件。
在一些实施例中,命令流转化器2303引导顶点获取器2305的操作,所述顶点获取器从存储器读取顶点数据并执行由命令流转化器2303所提供的顶点处理命令。在一些实施例中,顶点获取器2305将顶点数据提供给顶点着色器2307,所述顶点着色器对每个顶点执行坐标空间变换和照明操作。在一些实施例中,顶点获取器2305和顶点着色器2307通过经由线程分派器2331向执行单元2352A至2352B分派执行线程来执行顶点处理指令。
在一些实施例中,执行单元2352A至2352B是具有用于执行图形和媒体操作的指令集的向量处理器阵列。在一些实施例中,执行单元2352A至2352B具有附接的L1高速缓存2351,所述高速缓存专用于每个阵列或在阵列之间共享。高速缓存可以被配置为数据高速缓存、指令高速缓存、或单个高速缓存,所述单个高速缓存被分区为包含不同分区中的数据和指令。
在一些实施例中,图形流水线2320包括用于执行3D对象的硬件加速曲面细分的曲面细分部件。在一些实施例中,可编程的外壳着色器811配置曲面细分操作。可编程域着色器817提供对曲面细分输出的后端评估。曲面细分器2313在外壳着色器2311的方向上进行操作并且包含专用逻辑,所述专用逻辑用于基于粗糙几何模型来生成详细的几何对象集合,所述粗糙几何模型作为输入被提供至图形流水线2320。在一些实施例中,如果未使用曲面细分,则可以对曲面细分部件(例如,外壳着色器2311、曲面细分器2313、域着色器2317)进行旁路。
在一些实施例中,完整的几何对象可以由几何着色器2319经由被分派至所述执行单元2352A至2352B的一个或多个线程来处理、或者可以直接行进至剪辑器2329。在一些实施例中,几何着色器在整个几何对象(而非顶点或者如图形流水线的先前级中的顶点补片)上进行操作。如果禁用曲面细分,则几何着色器2319从顶点着色器2307接收输入。在一些实施例中,几何着色器2319可由几何着色器程序编程以便在曲面细分单元被禁用时执行几何曲面细分。
在栅格化之前,剪辑器2329处理顶点数据。剪辑器2329可以是固定功能的剪辑器或者具有剪辑和几何着色器功能的可编程剪辑器。在一些实施例中,渲染输出流水线2370中的栅格器和深度测试部件2373分派像素着色器以将几何对象转换成其每像素表示。在一些实施例中,像素着色器逻辑包括在线程执行逻辑2350中。在一些实施例中,应用可对栅格器和深度测试部件2373进行旁路并且经由流出单元2323访问未栅格化的顶点数据。
图形处理器2300具有互连总线、互连结构、或某个其他的互连机制,所述互连机制允许数据和消息在所述图形处理器的主要部件之中传递。在一些实施例中,执行单元2352A至2352B和(多个)相关联的高速缓存2351、纹理和媒体采样器2354、以及纹理/采样器高速缓存2358经由数据端口2356进行互连,以便执行存储器访问并且与处理器的渲染输出流水线部件进行通信。在一些实施例中,采样器2354、高速缓存2351、2358以及执行单元2352A至2352B各自具有单独的存储器访问路径。
在一些实施例中,渲染输出流水线2370包含栅格器和深度测试部件2373,所述栅格器和深度测试部件将基于顶点的对象转换为相关联的基于像素的表示。在一些实施例中,栅格器逻辑包括用于执行固定功能三角形和线栅格化的窗口器/掩蔽器单元。相关联的渲染高速缓存2378和深度高速缓存2379在一些实施例中也是可用的。像素操作部件2377对数据进行基于像素的操作,然而在一些实例中,与2D操作(例如,利用混合的位块图像传递)相关联的像素操作由2D引擎2341执行、或者在显示时间由显示控制器2343使用重叠显示平面来代替。在一些实施例中,共享的L3高速缓存2375可用于所有的图形部件,从而允许在无需使用主系统存储器的情况下共享数据。
在一些实施例中,图形处理器媒体流水线2330包括媒体引擎2337和视频前端2334。在一些实施例中,视频前端2334从命令流转化器2303接收流水线命令。在一些实施例中,媒体流水线2330包括单独的命令流转化器。在一些实施例中,视频前端2334在将所述命令发送至媒体引擎2337之前处理媒体命令。在一些实施例中,媒体引擎2337包括用于生成线程以用于经由线程分派器2331分派至线程执行逻辑2350的线程生成功能。
在一些实施例中,图形处理器2300包括显示引擎2340。在一些实施例中,显示引擎2340在处理器2300外部并且经由环形互连2302、或某个其他互连总线或机构与图形处理器耦合。在一些实施例中,显示引擎2340包括2D引擎2341和显示控制器2343。在一些实施例中,显示引擎2340包含能够独立于3D流水线而操作的专用逻辑。在一些实施例中,显示控制器2343与显示设备(未示出)耦合,所述显示设备可以是系统集成显示设备(如在膝上型计算机中)、或者经由显示设备连接器附接的外部显示设备。
在一些实施例中,图形流水线2320和媒体流水线2330可被配置成用于基于多个图形和媒体编程接口执行操作并且并非专用于任何一种应用编程接口(API)。在一些实施例中,图形处理器的驱动器软件将专用于特定图形或媒体库的API调度转换成可由图形处理器处理的命令。在一些实施例中,为全部来自Khronos Group的开放图形库(OpenGL)、开放计算语言(OpenCL)和/或Vulkan图形和计算API提供了支持。在一些实施例中,也可以为微软公司的Direct3D库提供支持。在一些实施例中,可以支持这些库的组合。还可以为开源计算机视觉库(OpenCV)提供支持。如果可做出从未来API的流水线到图形处理器的流水线的映射,则具有兼容3D流水线的未来API也将受到支持。
图形流水线编程
图24A是展示了根据一些实施例的图形处理器命令格式2400的框图。图24B是展示了根据实施例的图形处理器命令序列2410的框图。图24A中的实线框展示了通常包括在图形命令中的部件,而虚线包括是可选的或者仅包括在所述图形命令的子集中的部件。图24A的示例性图形处理器命令格式2400包括用于标识命令的目标客户端2402、命令操作代码(操作码)2404、以及用于命令的相关数据2406的数据字段。一些命令中还包括子操作码2405和命令大小2408。
在一些实施例中,客户端2402限定了处理命令数据的图形设备的客户端单元。在一些实施例中,图形处理器命令解析器检查每个命令的客户端字段以便调整对命令的进一步处理并将命令数据路由至合适的客户端单元。在一些实施例中,图形处理器客户端单元包括存储器接口单元、渲染单元、2D单元、3D单元、和媒体单元。每个客户端单元具有对命令进行处理的相应处理流水线。一旦命令被客户端单元接收到,客户端单元就读取操作码2404以及子操作码2405(如果存在的话)从而确定要执行的操作。客户端单元使用数据字段2406内的信息来执行命令。针对一些命令,期望显式地的命令大小2408来限定命令的大小。在一些实施例中,命令解析器基于命令操作码自动地确定命令中的至少一些命令的大小。在一些实施例中,经由双倍字长的倍数对命令进行对齐。
图24B中的流程图示出了示例性图形处理器命令序列2410。在一些实施例中,以图形处理器的实施例为特征的数据处理系统的软件或固件使用所示出的命令序列的版本来启动、执行并终止图形操作集合。仅出于示例性目的示出并描述了样本命令序列,如实施例并不限于这些特定命令或者此命令序列。而且,所述命令可以作为一批命令以命令序列被发布,从而使得图形处理器将以至少部分同时的方式处理命令序列。
在一些实施例中,图形处理器命令序列2410可以以流水线转储清除命令2412开始以便使得任一活跃图形流水线完成针对所述流水线的当前未决命令。在一些实施例中,3D流水线2422和媒体流水线2424不同时进行操作。执行流水线转储清除以使得活动图形流水线完成任何未决命令。响应于流水线转储清除,用于图形处理器的命令解析器将停止命令处理直到活跃绘画引擎完成未决操作并且使得相关的读高速缓存失效。可选地,渲染高速缓存中被标记为‘脏’的任何数据可以被转储清除到存储器中。在一些实施例中,流水线转储清除命令2412可以用于流水线同步或者用在将图形处理器置于低功率状态之前。
在一些实施例中,当命令序列需要图形处理器在流水线之间显式地地切换时,使用流水线选择命令2413。在一些实施例中,在发布流水线命令之前在执行情境中仅需要一次流水线选择命令2413,除非所述情境要发布针对两条流水线的命令。在一些实施例中,在经由流水线选择命令2413的流水线切换之前正好需要流水线转储清除命令2412。
在一些实施例中,流水线控制命令2414配置用于操作的图形流水线并且用于对3D流水线2422和媒体流水线2424进行编程。在一些实施例中,流水线控制命令2414配置活跃流水线的流水线状态。在一个实施例中,流水线控制命令2414用于流水线同步并且用于在处理一批命令之前清除来自活跃流水线内的一个或多个高速缓存存储器中的数据。
在一些实施例中,返回缓冲器状态命令2416用于配置返回缓冲器的集合以供相应的流水线写入数据。一些流水线操作需要分配、选择、或配置一个或多个返回缓冲器,在处理过程中所述操作将中间数据写入所述一个或多个返回缓冲器中。在一些实施例中,图形处理器还使用一个或多个返回缓冲器以便存储输出数据并且执行跨线程通信。在一些实施例中,返回缓冲器状态2416包括选择返回缓冲器的大小和数量以用于流水线操作集合。
命令序列中的剩余命令基于用于操作的活跃流水线而不同。基于流水线判定2420,所述命令序列被定制用于以3D流水线状态2430开始的3D流水线2422、或者在媒体流水线状态2440处开始的媒体流水线2424。
用于3D流水线状态2430的命令包括用于顶点缓冲器状态、顶点元素状态、常量颜色状态、深度缓冲器状态、以及有待在处理3D图元命令之前配置的其他状态变量的3D状态设置命令。这些命令的值至少部分地基于使用中的特定3D API来确定。在一些实施例中,3D流水线状态2430命令还能够选择性地禁用或旁路掉特定流水线元件(如果将不使用那些元件的话)。
在一些实施例中,3D图元2432命令用于提交待由3D流水线处理的3D图元。经由3D图元2432命令传递给图形处理器的命令和相关联参数将被转发到所述图形流水线中的顶点获取功能。顶点获取功能使用3D图元2432命令数据来生成多个顶点数据结构。所述顶点数据结构被存储在一个或多个返回缓冲器中。在一些实施例中,3D图元2432命令用于经由顶点着色器对3D图元执行顶点操作。为了处理顶点着色器,3D流水线2422将着色器执行线程分派至图形处理器执行单元。
在一些实施例中,经由执行2434命令或事件触发3D流水线2422。在一些实施例中,寄存器写入触发命令执行。在一些实施例中,经由命令序列中的‘前进’(‘go’)或‘拣选’(‘kick’)命令来触发执行。在一个实施例中,使用流水线同步命令来触发命令执行以便通过图形流水线转储清除命令序列。3D流水线将针对3D图元来执行几何处理。一旦完成操作,则对所产生的几何对象进行栅格化,并且像素引擎对所产生的像素进行着色。对于这些操作,还可以包括用于控制像素着色和像素后端操作的附加命令。
在一些实施例中,当执行媒体操作时,图形处理器命令序列2410跟随在媒体流水线2424路径之后。一般地,针对媒体流水线2424进行编程的具体用途和方式取决于待执行的媒体或计算操作。在媒体解码过程中,特定的媒体解码操作可以被卸载到所述媒体流水线。在一些实施例中,还可对媒体流水线进行旁路,并且可使用由一个或多个通用处理核提供的资源来整体地或部分地执行媒体解码。在一个实施例中,媒体流水线还包括用于通用图形处理器单元(GPGPU)操作的元件,其中,所述图形处理器用于使用计算着色器程序来执行SIMD向量运算,所述计算着色器程序与渲染图形图元不是显式地相关的。
在一些实施例中,以与3D流水线2422相似的方式对媒体流水线2424进行配置。将用于配置媒体流水线状态2440的一组命令分派或放置到命令队列中,在媒体对象命令2442之前。在一些实施例中,媒体流水线状态命令2440包括用于配置媒体流水线元件的数据,所述媒体流水线元件将用于处理媒体对象。这包括用于在媒体流水线内配置视频解码和视频编码逻辑的数据,诸如编码或解码格式。在一些实施例中,媒体流水线状态命令2440还支持将一个或多个指针用于包含一批状态设置的“间接”状态元件。
在一些实施例中,媒体对象命令2442将指针供应至媒体对象以用于由媒体流水线进行处理。媒体对象包括存储器缓冲器,所述存储器缓冲器包含待处理的视频数据。在一些实施例中,在发布媒体对象命令2442之前,所有的媒体流水线状态必须是有效的。一旦流水线状态被配置并且媒体对象命令2442被排队,则经由执行2444命令或等效的执行事件(例如,寄存器写入)来触发媒体流水线2424。然后可以通过由3D流水线2422或媒体流水线2424提供的操作对来自媒体流水线2424的输出进行后处理。在一些实施例中,以与媒体操作类似的方式来配置和执行GPGPU操作。
图形软件架构
图25展示了根据一些实施例的数据处理系统2500的示例性图形软件架构。在一些实施例中,软件架构包括3D图形应用2510、操作系统2520、以及至少一个处理器2530。在一些实施例中,处理器2530包括图形处理器2532以及一个或多个通用处理器核2534。图形应用2510和操作系统2520各自在数据处理系统的系统存储器2550中执行。
在一些实施例中,3D图形应用2510包含一个或多个着色器程序,所述一个或多个着色器程序包括着色器指令2512。着色器语言指令可以采用高级着色器语言,诸如高级着色器语言(HLSL)或OpenGL着色器语言(GLSL)。所述应用还包括可执行指令2514,所述可执行指令采用适合用于由通用处理器核2534执行的机器语言。所述应用还包括由顶点数据限定的图形对象2516。
在一些实施例中,操作系统2520是来自微软公司的
Figure BDA0002473544740000701
操作系统、专用UNIX式操作系统、或使用Linux内核变体的开源UNIX式操作系统。操作系统2520可以支持图形API 2522,诸如Direct3D API、OpenGL API或Vulkan API。当Direct3D API正在使用时,操作系统2520使用前端着色器编译器2524以将HLSL中的任何着色器指令2512编译成较低级的着色器语言。所述编译可以是即时(JIT)编译,或者所述应用可执行着色器预编译。在一些实施例中,在对3D图形应用2510进行编译的过程中,将高级着色器编译成低级着色器。在一些实施例中,着色器指令2512以中间形式提供,诸如由Vulkan API使用的标准便携式中间表示(SPIR)的版本。
在一些实施例中,用户模式图形驱动器2526包含后端着色器编译器2527,所述后端着色器编译器用于将着色器指令2512转换成硬件专用的表示。当在使用OpenGL API时,将采用GLSL高级语言的着色器指令2512传递至用户模式图形驱动器2526以用于编译。在一些实施例中,用户模式图形驱动器2526使用操作系统内核模式功能2528来与内核模式图形驱动器2529进行通信。在一些实施例中,内核模式图形驱动器2529与图形处理器2532进行通信以便分派命令和指令。
IP核实现
至少一个实施例的一个或多个方面可以由存储在机器可读介质上的代表性代码实现,所述机器可读介质表示和/或限定集成电路诸如处理器内的逻辑。例如,机器可读介质可以包括表示处理器内的各个逻辑的指令。当由机器读取时,所述指令可以使机器制造用于执行本文所述的技术的逻辑。这类表示(称为“IP核”)是集成电路的逻辑的可重复使用单元,所述可重复使用单元可以作为对集成电路的结构进行描述的硬件模型而存储在有形、机器可读介质上。可以将硬件模型供应至在制造集成电路的制造机器上加载硬件模型的各消费者或制造设施。可以制造集成电路,从而使得电路执行与本文所述的实施例中的任一实施例相关联地描述的操作。
图26是展示了根据实施例的可以用于制造集成电路以执行操作的IP核开发系统2600的框图。IP核开发系统2600可以用于生成可并入到更大的设计中或用于构建整个集成电路(例如,SOC集成电路)的模块化、可重复使用设计。设计设施2630可采用高级编程语言(例如,C/C++)生成对IP核设计的软件仿真2610。软件仿真2610可用于使用仿真模型2612来设计、测试并验证IP核的行为。仿真模型2612可以包括功能、行为和/或时序仿真。然后可由仿真模型2612来创建或合成寄存器传输级(RTL)设计2615。RTL设计2615是对硬件寄存器之间的数字信号的流动进行建模的集成电路(包括使用建模的数字信号执行的相关联逻辑)的行为的抽象。除了RTL设计2615之外,还可以创建、设计或合成逻辑电平或晶体管电平处的较低层次设计。由此,初始设计和仿真的具体细节可以发生变化。
可以由设计设施将RTL设计2615或等效方案进一步合成为硬件模型2620,所述硬件模型可以采用硬件描述语言(HDL)或物理设计数据的某种其他表示。可以进一步仿真或测试HDL以验证IP核设计。可使用非易失性存储器2640(例如,硬盘、闪存、或任何非易失性存储介质)来存储IP核设计以用于递送至第3方制造设施2665。可替代地,可以通过有线连接2650或无线连接2660来传输(例如,经由互联网)IP核设计。制造设施2665然后可以制造至少部分地基于IP核设计的集成电路。所制造的集成电路可被配置用于执行根据本文所述的至少一个实施例的操作。
示例性芯片上系统集成电路
图27至图29展示了根据本文所述的各种实施例的可以使用一个或多个IP核来制造的示例性集成电路和相关图形处理器。除了所展示的之外,还可以包括其他逻辑和电路,包括附加的图形处理器/核、外围接口控制器或通用处理器核。
图27是展示了根据实施例的可以使用一个或多个IP核来制造的示例性芯片上系统集成电路2700的框图。示例性集成电路2700包括一个或多个应用处理器2705(例如,CPU)、至少一个图形处理器2710,并且另外还可以包括图像处理器2715和/或视频处理器2720,其中的任一项都可以是来自相同或多个不同设计设施的模块化IP核。集成电路2700包括外围或总线逻辑,包括USB控制器2725、UART控制器2730、SPI/SDIO控制器2735和I2S/I2C控制器2740。另外,集成电路还可以包括显示设备2745,所述显示设备耦合至高清晰度多媒体接口(HDMI)控制器2750和移动行业处理器接口(MIPI)显示界面2755中的一项或多项。可以由闪存子系统2760(包括闪存和闪存控制器)来提供存储。可以经由存储器控制器2765来提供存储器接口以访问SDRAM或SRAM存储器设备。另外,一些集成电路还包括嵌入式安全引擎2770。
图28是展示了根据实施例的可以使用一个或多个IP核来制造的芯片上系统集成电路的示例性图形处理器2810的框图。图形处理器2810可以是图27的图形处理器2710的变体。图形处理器2810包括顶点处理器2805和一个或多个片段处理器2815A至2815N(例如,2815A,2815B,2815C,2815D,一直到2815N-1和2815N)。图形处理器2810可以经由单独的逻辑执行不同的着色器程序,使得顶点处理器2805被优化以执行顶点着色器程序的操作,而一个或多个片段处理器2815A至2815N执行片段(例如,像素)着色操作以用于片段或像素着色器程序。顶点处理器2805执行3D图形流水线的顶点处理阶段并生成图元和顶点数据。(多个)片段处理器2815A至2815N使用由顶点处理器2805生成的图元和顶点数据来产生显示在显示设备上的帧缓冲器。在一个实施例中,(多个)片段处理器2815A至2815N被优化以执行OpenGL API中提供的片段着色器程序,这些片段着色器程序可以用于执行与Direct 3DAPI中提供的像素着色器程序相似的操作。
另外,图形处理器2810还包括一个或多个存储器管理单元(MMU)2820A至2820B、一个或多个高速缓存2825A至2825B和(多个)电路互连2830A至2830B。一个或多个MMU 2820A至2820B为集成电路2810包括为顶点处理器2805和/或一个或多个片段处理器2815A至2815N提供虚拟到物理地址映射,除了存储在一个或多个高速缓存2825A至2825B中的顶点或图像/纹理数据之外,所述虚拟到物理地址映射还可以引用存储在存储器中的顶点或图像/纹理数据。在一个实施例中,一个或多个MMU 2825A至2825B可以与系统内的其他MMU包括与图27的一个或多个应用处理器2705、图像处理器2715和/或视频处理器2720相关联的一个或多个MMU同步,使得每个处理器2705至2720可以参与共享或统一的虚拟存储器系统。根据实施例,一个或多个电路互连2830A至2830B使得图形处理器2810能够经由SoC的内部总线或经由直接连接来与SoC内的其他IP核交互。
图29是展示了根据实施例的可以使用一个或多个IP核来制造的芯片上系统集成电路的附加示例性图形处理器2910的框图。图形处理器2910可以是图27的图形处理器2710的变体。图形处理器2910包括图28的集成电路2800的一个或多个MMU 2820A至2820B、高速缓存2825A至2825B和电路互连2830A至2830B。
图形处理器2910包括一个或多个着色器核2915A至2915N(例如,2915A、2915B、2915C、2915D、2915E、2915F、一直到2915N-1和2915N),所述一个或多个着色器核提供统一的着色器核架构,其中单个核或类型或核可以执行所有类型的可编程着色器代码包括着色器程序代码以实现顶点着色器、片段着色器和/或计算着色器。存在的着色器核的确切数量可以在实施例和实现中变化。另外,图形处理器2910还包括核间任务管理器2905,所述核间任务管理器充当用于将执行线程分派给一个或多个着色器核2915A至2915N的线程分派器和用于加快分块操作以进行基于图块的渲染的分块单元2918,其中场景的渲染操作在图像空间中被细分,例如以利用场景内的本地空间一致性或优化内部高速缓存的使用。
一些实施例涉及示例1,示例1包括一种用于促进计算优化的装置,所述装置包括多个处理单元,所述多个处理单元各自包括多个执行单元(EU),其中,所述多个EU包括第一EU类型和第二EU类型。
示例2包括示例1的主题,其中,所述多个处理单元包括第一处理单元和第二处理单元,所述第一处理单元包括第一类型的多个EU,所述第二处理单元包括第二类型的多个EU。
示例3包括示例1和2的主题,其中,所述多个处理单元包括第一处理单元和第二处理单元,所述第一处理单元包括第一类型的第一组EU和第二类型的第二组EU,第二处理单元包括第一类型的第三组EU和第二类型的第四组EU。
示例4包括示例1-3的主题,进一步包括计算逻辑,所述计算逻辑用于选择要被实现以用于执行工作负荷的EU。
示例5包括示例1-4的主题,其中,所述计算逻辑选择所述第一类型的EU以处理第一类型的应用工作负荷,并且选择所述第二类型的EU以处理第二类型的应用工作负荷。
示例6包括示例1-5的主题,进一步包括存储器,其中,所述多个处理单元被包括在所述存储器中。
示例7包括示例1-6的主题,其中,所述存储器包括高带宽存储器(HBM)。
示例7包括示例1-7的主题,其中,所述HBM包括第一存储器信道和被包括在所述第一存储器信道中的第一处理单元。
示例9包括示例1-8的主题,进一步包括寄存器堆,所述寄存器堆被实现以用于执行矩阵-向量变换。
示例10包括示例1-9的主题,进一步包括共享本地存储器(SLM),所述共享本地存储器被实现以用于执行矩阵-向量变换。
一些实施例涉及示例11,示例11包括图形处理器,所述图形处理器包括:多个处理单元,所述处理单元各自包括:多个执行单元(EU),其中,所述多个EU包括:第一EU类型和第二EU类型;包括第一组执行单元(EU)的第一处理单元以及包括第二组EU的第二处理单元,其中,所述第一和第二组EU由第一EU类型和第二EU类型构成。
示例12包括示例11的主题,其中,所述第一组EU包括第一类型的多个EU,并且所述第二组EU包括第二类型的多个EU。
示例13包括示例11和12的主题,其中,所述第一和第二组EU各自包括第一类型的一个或多个EU和第二类型的一个或多个EU。
示例14包括示例11-13的主题,进一步包括计算逻辑,所述计算逻辑用于选择要被实现以用于执行工作负荷的EU。
示例15包括示例11-14的主题,其中,所述计算逻辑选择所述第一类型的EU以处理第一类型的应用工作负荷,并且选择所述第二类型的EU以处理第二类型的应用工作负荷。
示例16包括示例11-15的主题,进一步包括存储器,其中,所述多个处理单元被包括在所述存储器中。
示例17包括示例11-16的主题,其中,所述存储器包括高带宽存储器(HBM)。
示例18包括示例11-17的主题,其中,所述HBM包括第一存储器信道和被包括在所述第一存储器信道中的第一处理单元。
示例19包括示例11-18的主题,进一步包括寄存器堆,所述寄存器堆被实现以用于执行矩阵-向量变换。
示例20包括示例11-19的主题,进一步包括共享本地存储器(SLM),所述共享本地存储器被实现以用于执行矩阵-向量变换。
前述说明和附图应当被认为是说明性的,而不是限制性的。本领域技术人员将理解,可对本文中所描述的实施例作出各种修改和改变,而不背离如所附权利要求中所阐述的本发明的更宽泛精神和范围。

Claims (45)

1.一种图形处理单元GPU,包括一个或多个多处理器,所述一个或多个多处理器中的至少一个多处理器包括:
寄存器堆,用于存储操作数;
第一组处理核,用于对第一组操作数执行矩阵数学运算;以及
第二组处理核,用于对第二组操作数执行通用图形处理单元GPGPU操作。
2.如权利要求1所述的GPU,进一步包括:分派单元,用于分派第一指令以供在所述第一组处理核处执行并且将第二指令分派给所述第二组处理核。
3.如权利要求1所述的GPU,其中,所述第二组处理核包括:
用于对操作数进行操作以执行浮点运算的处理核;以及
用于对操作数进行操作以执行整数运算的处理核。
4.如权利要求1所述的GPU,其中,用于对操作数进行操作以执行浮点运算的处理核包括:
用于对32位浮点FP32操作数进行操作的处理核;以及
用于对64位浮点FP64操作数进行操作的处理核。
5.如权利要求4所述的GPU,其中,所述寄存器堆存储所述第一组操作数、用于执行整数运算的操作数、所述FP32操作数和所述FP64操作数。
6.如权利要求2所述的GPU,其中,所述一个或多个多处理器中的所述至少一个多处理器进一步包括:指令高速缓存,用于存储所述第一指令和所述第二指令。
7.如权利要求1所述的GPU,其中,所述多维矩阵数学运算包括深度学习矩阵操作。
8.一种用于促进在图形处理单元GPU处对数据的处理的方法,包括:
在所述GPU处的第一组处理核处接收第一组操作数;
在所述GPU处的第二组处理核处接收第二组操作数;
在所述第一组处理核处对所述第一组操作数执行多维矩阵数学运算;以及
在所述第二组处理核处对所述第二组操作数执行通用图形处理单元GPGPU操作。
9.如权利要求8所述的方法,其中,在所述第二组处理核处执行所述GPGPU操作包括:
在实现为执行浮点运算的处理核处对操作数进行操作;以及
在实现为执行整数运算的处理核处对操作数进行操作。
10.如权利要求9所述的方法,在实现为执行浮点运算的处理核处对操作数进行操作包括:
在32位浮点FP32处理核处对FP32操作数进行操作;以及
在64位浮点FP64处理核处对FP64操作数进行操作。
11.如权利要求8所述的方法,其中,所述多维矩阵数学运算包括深度学习矩阵操作。
12.一种多处理器,包括:
寄存器堆,用于存储操作数;以及
多个处理核,包括:
第一组处理核,用于对第一组操作数执行深度学习多维矩阵数学运算;以及
第二组处理核,用于对第二组操作数执行通用图形处理单元GPGPU操作。
13.如权利要求12所述的多处理器,进一步包括:分派单元,用于分派第一指令以供在所述第一组处理核处执行并且用于将第二指令分派给所述第二组处理核。
14.如权利要求12所述的多处理器,其中,所述第二组处理核包括:
用于对操作数进行操作以执行浮点运算的处理核;以及
用于对操作数进行操作以执行整数运算的处理核。
15.如权利要求14所述的多处理器,其中,用于对操作数进行操作以执行浮点运算的处理核包括:
用于对32位浮点FP32操作数进行操作的处理核;以及
用于对64位浮点FP64操作数进行操作的处理核。
16.如权利要求15所述的多处理器,其中,所述寄存器堆存储所述第一组操作数、用于执行整数运算的操作数、所述FP32操作数和所述FP64操作数。
17.如权利要求13所述的多处理器,进一步包括:指令高速缓存,用于存储所述第一指令和所述第二指令。
18.一种芯片上系统SoC,包括:
芯片上存储器;以及
图形处理单元GPU,包括一个或多个多处理器,所述一个或多个多处理器中的至少一个多处理器包括:
寄存器堆,用于存储操作数;
第一组处理核,用于对第一组操作数执行矩阵数学运算;以及
第二组处理核,用于对第二组操作数执行通用图形处理单元GPGPU操作。
19.如权利要求18所述的SoC,进一步包括:分派单元,用于分派第一指令以供在所述第一组处理核处执行并且将第二指令分派给所述第二组处理核。
20.如权利要求18所述的SoC,其中,所述第二组处理核包括:
用于对操作数进行操作以执行浮点运算的处理核;以及
用于对操作数进行操作以执行整数运算的处理核。
21.如权利要求18所述的SoC,其中,用于对操作数进行操作以执行浮点运算的处理核包括:
用于对32位浮点FP32操作数进行操作的处理核;以及
用于对64位浮点FP64操作数进行操作的处理核。
22.如权利要求21所述的SoC,其中,所述寄存器堆存储所述第一组操作数、用于执行整数运算的操作数、所述FP32操作数和所述FP64操作数。
23.如权利要求19所述的SoC,其中,所述一个或多个多处理器中的所述至少一个多处理器进一步包括:指令高速缓存,用于存储所述第一指令和所述第二指令。
24.如权利要求18所述的SoC,其中,所述多维矩阵数学运算包括深度学习矩阵操作。
25.如权利要求18所述的SoC,进一步包括耦合至所述GPU的中央处理单元CPU。
26.如权利要求18所述的SoC,进一步包括耦合至所述GPU的媒体处理器。
27.如权利要求18所述的SoC,进一步包括耦合至所述GPU的视觉处理器。
28.一种处理系统,包括:
存储器控制器;以及
处理器,耦合至所述存储器控制器,所述处理器包括图形处理单元GPU,所述GPU包括一个或多个多处理器,所述一个或多个多处理器中的至少一个多处理器包括:
寄存器堆,用于存储操作数;
第一组处理核,用于对第一组操作数执行矩阵数学运算;以及
第二组处理核,用于对第二组操作数执行通用图形处理单元GPGPU操作。
29.如权利要求28所述的处理系统,进一步包括:分派单元,用于分派第一指令以供在所述第一组处理核处执行并且将第二指令分派给所述第二组处理核。
30.如权利要求28所述的处理系统,其中,所述第二组处理核包括:
用于对操作数进行操作以执行浮点运算的处理核;以及
用于对操作数进行操作以执行整数运算的处理核。
31.如权利要求28所述的处理系统,其中,用于对操作数进行操作以执行浮点运算的处理核包括:
用于对32位浮点FP32操作数进行操作的处理核;以及
用于对64位浮点FP64操作数进行操作的处理核。
32.如权利要求31所述的处理系统,其中,所述寄存器堆存储所述第一组操作数、用于执行整数运算的操作数、所述FP32操作数和所述FP64操作数。
33.如权利要求29所述的处理系统,其中,所述一个或多个多处理器中的所述至少一个多处理器进一步包括:指令高速缓存,用于存储所述第一指令和所述第二指令。
34.如权利要求28所述的处理系统,其中,所述多维矩阵数学运算包括深度学习矩阵操作。
35.如权利要求28所述的处理系统,进一步包括所述处理器中的处理器核。
36.如权利要求28所述的处理系统,进一步包括所述处理器中的高速缓存。
37.如权利要求28所述的处理系统,进一步包括所述处理器中的寄存器堆。
38.如权利要求28所述的处理系统,进一步包括耦合至所述处理器的处理器总线。
39.如权利要求28所述的处理系统,进一步包括耦合至所述处理器的存储器设备。
40.如权利要求28所述的处理系统,进一步包括耦合至所述处理器的外部图形处理器。
41.如权利要求28所述的处理系统,进一步包括耦合至所述处理器的输入输出I/O控制器中枢。
42.一种包括非瞬态机器可读存储介质的制品,所述非瞬态机器可读存储介质存储指令,其中所述指令如果由机器执行用于使所述机器执行操作,所述操作包括:
在图形处理单元GPU处的第一组处理核处接收第一组操作数;
在所述GPU处的第二组处理核处接收第二组操作数;
在所述第一组处理核处对所述第一组操作数执行多维矩阵数学运算;以及
在所述第二组处理核处对所述第二组操作数执行通用图形处理单元GPGPU操作。
43.如权利要求42所述的制品,其中,在所述第二组处理核处执行所述GPGPU操作包括:
在实现为执行浮点运算的处理核处对操作数进行操作;以及
在实现为执行整数运算的处理核处对操作数进行操作。
44.如权利要求43所述的制品,在实现为执行浮点运算的处理核处对操作数进行操作包括:
在32位浮点FP32处理核处对FP32操作数进行操作;以及
在64位浮点FP64处理核处对FP64操作数进行操作。
45.如权利要求42所述的制品,其中,所述多维矩阵数学运算包括深度学习矩阵操作。
CN202010356162.4A 2017-04-24 2018-04-23 用于深度神经网络的计算优化机制 Active CN111539518B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010356162.4A CN111539518B (zh) 2017-04-24 2018-04-23 用于深度神经网络的计算优化机制

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/494,886 US10417731B2 (en) 2017-04-24 2017-04-24 Compute optimization mechanism for deep neural networks
US15/494,886 2017-04-24
CN202010356162.4A CN111539518B (zh) 2017-04-24 2018-04-23 用于深度神经网络的计算优化机制
CN201810368545.6A CN108734274A (zh) 2017-04-24 2018-04-23 用于深度神经网络的计算优化机制

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201810368545.6A Division CN108734274A (zh) 2017-04-24 2018-04-23 用于深度神经网络的计算优化机制

Publications (2)

Publication Number Publication Date
CN111539518A true CN111539518A (zh) 2020-08-14
CN111539518B CN111539518B (zh) 2023-05-23

Family

ID=61768149

Family Applications (5)

Application Number Title Priority Date Filing Date
CN202111003293.5A Active CN113705789B (zh) 2017-04-24 2018-04-23 用于深度神经网络的计算优化机制
CN201810368545.6A Pending CN108734274A (zh) 2017-04-24 2018-04-23 用于深度神经网络的计算优化机制
CN202010356162.4A Active CN111539518B (zh) 2017-04-24 2018-04-23 用于深度神经网络的计算优化机制
CN202311809249.2A Pending CN117788262A (zh) 2017-04-24 2018-04-23 用于深度神经网络的计算优化机制
CN202311068912.8A Pending CN117035015A (zh) 2017-04-24 2018-04-23 用于深度神经网络的计算优化机制

Family Applications Before (2)

Application Number Title Priority Date Filing Date
CN202111003293.5A Active CN113705789B (zh) 2017-04-24 2018-04-23 用于深度神经网络的计算优化机制
CN201810368545.6A Pending CN108734274A (zh) 2017-04-24 2018-04-23 用于深度神经网络的计算优化机制

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN202311809249.2A Pending CN117788262A (zh) 2017-04-24 2018-04-23 用于深度神经网络的计算优化机制
CN202311068912.8A Pending CN117035015A (zh) 2017-04-24 2018-04-23 用于深度神经网络的计算优化机制

Country Status (4)

Country Link
US (6) US10417731B2 (zh)
EP (3) EP3654185A1 (zh)
CN (5) CN113705789B (zh)
TW (2) TWI760444B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10902547B2 (en) 2017-04-24 2021-01-26 Intel Corporation Compute optimization mechanism for deep neural networks
CN112434785A (zh) * 2020-10-22 2021-03-02 西安交通大学 一种面向超级计算机的分布式并行深度神经网络性能评测方法
US11222392B2 (en) 2017-04-24 2022-01-11 Intel Corporation Compute optimization mechanism for deep neural networks

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017074377A1 (en) * 2015-10-29 2017-05-04 Intel Corporation Boosting local memory performance in processor graphics
US10409319B2 (en) * 2017-04-17 2019-09-10 Intel Corporation System, apparatus and method for providing a local clock signal for a memory array
US10360002B2 (en) * 2017-06-06 2019-07-23 Informatica Llc Method, apparatus, and computer-readable medium for generating an alternative implementation of a program on one or more engines
KR20200029510A (ko) * 2017-08-08 2020-03-18 삼성전자주식회사 네트워크에서 메모리 요구 사항을 결정하는 방법 및 장치
US10977338B1 (en) 2018-04-20 2021-04-13 Perceive Corporation Reduced-area circuit for dot product computation
US11481612B1 (en) 2018-04-20 2022-10-25 Perceive Corporation Storage of input values across multiple cores of neural network inference circuit
US11783167B1 (en) 2018-04-20 2023-10-10 Perceive Corporation Data transfer for non-dot product computations on neural network inference circuit
JP7001004B2 (ja) * 2018-06-25 2022-01-19 日本電信電話株式会社 分散深層学習システム、分散深層学習方法、およびコンピューティングインタコネクト装置
CN109165729A (zh) * 2018-08-22 2019-01-08 中科物栖(北京)科技有限责任公司 神经网络的调度方法及系统
US11347297B1 (en) 2019-01-23 2022-05-31 Perceive Corporation Neural network inference circuit employing dynamic memory sleep
TWI708195B (zh) * 2019-01-29 2020-10-21 台灣大哥大股份有限公司 基於類神經網路之基地台涵蓋異常的查測系統和方法
US20220121421A1 (en) 2019-03-15 2022-04-21 Intel Corporation Multi-tile memory management
TWI717716B (zh) * 2019-04-01 2021-02-01 陳膺任 防偽元件驗證方法及系統
US11941533B1 (en) 2019-05-21 2024-03-26 Perceive Corporation Compiler for performing zero-channel removal
CN110099017B (zh) * 2019-05-22 2021-09-28 东南大学 基于深度神经网络的混合量化系统的信道估计方法
CN110502278B (zh) * 2019-07-24 2021-07-16 瑞芯微电子股份有限公司 基于RiscV扩展指令的神经网络协处理器及其协处理方法
CN110516795B (zh) * 2019-08-28 2022-05-10 北京达佳互联信息技术有限公司 一种为模型变量分配处理器的方法、装置及电子设备
CN110837891B (zh) * 2019-10-23 2022-05-17 南京大学 基于simd架构的自组织映射方法及系统
TWI727509B (zh) * 2019-11-20 2021-05-11 瑞昱半導體股份有限公司 具有省電模式且能夠在省電模式盡量省電的通訊裝置
CN111191778B (zh) * 2019-12-31 2021-11-30 深圳云天励飞技术股份有限公司 深度学习网络处理方法、装置与编译器
KR102455310B1 (ko) * 2020-05-08 2022-10-18 한국전자통신연구원 콘볼루션 신경망 양자화 추론 장치 및 방법
US11809908B2 (en) 2020-07-07 2023-11-07 SambaNova Systems, Inc. Runtime virtualization of reconfigurable data flow resources
TWI744057B (zh) * 2020-10-27 2021-10-21 國立成功大學 深度偽造影片檢測系統及其方法
TWI809335B (zh) * 2020-12-11 2023-07-21 中華電信股份有限公司 個人化語音辨識方法及語音辨識系統
US11237880B1 (en) * 2020-12-18 2022-02-01 SambaNova Systems, Inc. Dataflow all-reduce for reconfigurable processor systems
CN112613390B (zh) * 2020-12-18 2022-11-22 小视科技(江苏)股份有限公司 多gpu集群并行人脸搜索方法与系统
US11182221B1 (en) 2020-12-18 2021-11-23 SambaNova Systems, Inc. Inter-node buffer-based streaming for reconfigurable processor-as-a-service (RPaaS)
US11392740B2 (en) 2020-12-18 2022-07-19 SambaNova Systems, Inc. Dataflow function offload to reconfigurable processors
CN112598122B (zh) * 2020-12-23 2023-09-05 北方工业大学 一种基于可变电阻式随机存储器的卷积神经网络加速器
TWI768709B (zh) * 2021-01-19 2022-06-21 福邦科技國際股份有限公司 雙影像融合方法與裝置
US11782760B2 (en) 2021-02-25 2023-10-10 SambaNova Systems, Inc. Time-multiplexed use of reconfigurable hardware
US11899589B2 (en) 2021-06-22 2024-02-13 Samsung Electronics Co., Ltd. Systems, methods, and devices for bias mode management in memory systems
TWI779923B (zh) * 2021-11-11 2022-10-01 南亞科技股份有限公司 圖案驗證系統及其操作方法
US11809640B2 (en) * 2021-12-09 2023-11-07 Htc Corporation Method for detecting movement of ring controller, ring controller, and computer readable medium
CN114358269B (zh) * 2022-03-01 2024-04-12 清华大学 神经网络处理组件及多神经网络处理方法
US20240127107A1 (en) * 2022-10-14 2024-04-18 Microsoft Technology Licensing, Llc Program accelerators with multidimensional nested command structures

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101605270A (zh) * 2009-07-16 2009-12-16 清华大学 生成深度图的方法和装置
US20110072243A1 (en) * 2009-09-24 2011-03-24 Xiaogang Qiu Unified Collector Structure for Multi-Bank Register File
CN102609978A (zh) * 2012-01-13 2012-07-25 中国人民解放军信息工程大学 基于cuda架构的gpu加速锥束ct图像重建的方法
CN103679789A (zh) * 2013-12-09 2014-03-26 北京大学 基于数据流图的并行绘制与可视化方法及系统
US20140375658A1 (en) * 2013-06-25 2014-12-25 Ati Technologies Ulc Processor Core to Graphics Processor Task Scheduling and Execution
US20150205757A1 (en) * 2014-01-22 2015-07-23 Nvidia Corporation System, method, and computer program product for executing casting-arithmetic instructions
CN104951278A (zh) * 2014-03-28 2015-09-30 英特尔公司 用于执行多个乘法操作的方法和装置
CN105204820A (zh) * 2014-06-26 2015-12-30 英特尔公司 用于提供通用gf(256)simd加密算术功能的指令和逻辑
US20160062947A1 (en) * 2014-08-29 2016-03-03 Nvidia Corporation Performing multi-convolution operations in a parallel processing system
CN105787287A (zh) * 2016-05-06 2016-07-20 广州爱九游信息技术有限公司 一种生成榜单数据的系统、设备、装置及方法
US20160239706A1 (en) * 2015-02-13 2016-08-18 Qualcomm Incorporated Convolution matrix multiply with callback for deep tiling for deep convolutional neural networks
US20160364828A1 (en) * 2015-06-12 2016-12-15 Intel Corporation Facilitating dynamic runtime transformation of graphics processing commands for improved graphics performance at computing devices
US20170061569A1 (en) * 2015-09-02 2017-03-02 Intel Corporation Compiler optimization to reduce the control flow divergence
CN107003989A (zh) * 2014-12-19 2017-08-01 英特尔公司 用于人工神经网络中的分布式与协作计算的方法和装置

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6624818B1 (en) * 2000-04-21 2003-09-23 Ati International, Srl Method and apparatus for shared microcode in a multi-thread computation engine
US7873812B1 (en) 2004-04-05 2011-01-18 Tibet MIMAR Method and system for efficient matrix multiplication in a SIMD processor architecture
US7339592B2 (en) * 2004-07-13 2008-03-04 Nvidia Corporation Simulating multiported memories using lower port count memories
US7747070B2 (en) 2005-08-31 2010-06-29 Microsoft Corporation Training convolutional neural networks on graphics processing units
US7728841B1 (en) 2005-12-19 2010-06-01 Nvidia Corporation Coherent shader output for multiple targets
US8884972B2 (en) 2006-05-25 2014-11-11 Qualcomm Incorporated Graphics processor with arithmetic and elementary function units
US8869147B2 (en) 2006-05-31 2014-10-21 Qualcomm Incorporated Multi-threaded processor with deferred thread output control
US7761694B2 (en) * 2006-06-30 2010-07-20 Intel Corporation Execution unit for performing shuffle and other operations
US9665970B2 (en) * 2006-09-19 2017-05-30 Imagination Technologies Limited Variable-sized concurrent grouping for multiprocessing
US8345053B2 (en) 2006-09-21 2013-01-01 Qualcomm Incorporated Graphics processors with parallel scheduling and execution of threads
US8421794B2 (en) 2007-03-23 2013-04-16 Qualcomm Incorporated Processor with adaptive multi-shader
US8310491B2 (en) 2007-06-07 2012-11-13 Apple Inc. Asynchronous notifications for concurrent graphics operations
US8325184B2 (en) 2007-09-14 2012-12-04 Qualcomm Incorporated Fragment shader bypass in a graphics processing unit, and apparatus and method thereof
US8106914B2 (en) 2007-12-07 2012-01-31 Nvidia Corporation Fused multiply-add functional unit
US20090160863A1 (en) 2007-12-21 2009-06-25 Michael Frank Unified Processor Architecture For Processing General and Graphics Workload
US8633936B2 (en) 2008-04-21 2014-01-21 Qualcomm Incorporated Programmable streaming processor with mixed precision instruction execution
US8698823B2 (en) * 2009-04-08 2014-04-15 Nvidia Corporation System and method for deadlock-free pipelining
ES2662670T3 (es) * 2009-06-29 2018-04-09 L'oreal S.A. Composición que comprende un poliol y un polímero modificado polar soluble en aceite
US9501285B2 (en) * 2010-05-27 2016-11-22 International Business Machines Corporation Register allocation to threads
EP2577288B1 (en) * 2010-06-07 2013-11-20 Commissariat à l'Énergie Atomique et aux Énergies Alternatives System for analyzing a gas mixture including at least one chromatography column
JP4818450B1 (ja) * 2010-06-30 2011-11-16 株式会社東芝 グラフィクスプロセッシングユニットおよび情報処理装置
GB2489526A (en) 2011-04-01 2012-10-03 Schlumberger Holdings Representing and calculating with sparse matrixes in simulating incompressible fluid flows.
US8468507B2 (en) 2011-06-10 2013-06-18 Microsoft Corporation Binding executable code at runtime
US20130162661A1 (en) 2011-12-21 2013-06-27 Nvidia Corporation System and method for long running compute using buffers as timeslices
US9524168B2 (en) * 2011-12-23 2016-12-20 Intel Corporation Apparatus and method for shuffling floating point or integer values
US9727388B2 (en) 2011-12-29 2017-08-08 Intel Corporation Migrating threads between asymmetric cores in a multiple core processor
US20130226535A1 (en) 2012-02-24 2013-08-29 Jeh-Fu Tuan Concurrent simulation system using graphic processing units (gpu) and method thereof
US9019289B2 (en) 2012-03-07 2015-04-28 Qualcomm Incorporated Execution of graphics and non-graphics applications on a graphics processing unit
US9652815B2 (en) 2012-09-11 2017-05-16 Nvidia Corporation Texel data structure for graphics processing unit programmable shader and method of operation thereof
US9329900B2 (en) * 2012-12-28 2016-05-03 Intel Corporation Hetergeneous processor apparatus and method
FR3000623B1 (fr) * 2012-12-28 2018-04-27 Sunna Design Procede de pilotage dynamique d'un equipement electrique
US9250901B2 (en) * 2013-03-12 2016-02-02 Intel Corporation Execution context swap between heterogeneous functional hardware units
US9384168B2 (en) 2013-06-11 2016-07-05 Analog Devices Global Vector matrix product accelerator for microprocessor integration
US10331450B2 (en) * 2013-06-26 2019-06-25 Intel Corporation Method and apparatus to process SHA-2 secure hashing algorithm
US20150179142A1 (en) 2013-12-20 2015-06-25 Nvidia Corporation System, method, and computer program product for reduced-rate calculation of low-frequency pixel shader intermediate values
US20150177821A1 (en) 2013-12-20 2015-06-25 Broadcom Corporation Multiple Execution Unit Processor Core
ES2738319T3 (es) 2014-09-12 2020-01-21 Microsoft Technology Licensing Llc Sistema informático para entrenar redes neuronales
US9659123B2 (en) * 2014-10-17 2017-05-23 21, Inc. Systems and methods for flexibly optimizing processing circuit efficiency
US9958932B2 (en) * 2014-11-20 2018-05-01 Apple Inc. Processor including multiple dissimilar processor cores that implement different portions of instruction set architecture
US9928034B2 (en) * 2014-12-17 2018-03-27 Nvidia Corporation Work-efficient, load-balanced, merge-based parallelized consumption of sequences of sequences
US9721190B2 (en) 2014-12-19 2017-08-01 Google Inc. Large-scale classification in neural networks using hashing
US9632979B2 (en) * 2015-06-01 2017-04-25 Intel Corporation Apparatus and method for efficient prefix sum operation
US11061672B2 (en) 2015-10-02 2021-07-13 Via Alliance Semiconductor Co., Ltd. Chained split execution of fused compound arithmetic operations
US10528864B2 (en) 2016-08-11 2020-01-07 Nvidia Corporation Sparse convolutional neural network accelerator
US10891538B2 (en) 2016-08-11 2021-01-12 Nvidia Corporation Sparse convolutional neural network accelerator
US11379941B2 (en) 2016-09-22 2022-07-05 Advanced Micro Devices, Inc. Primitive shader
US10776684B1 (en) * 2016-11-02 2020-09-15 National Technology & Engineering Solutions Of Sandia, Llc Mixed core processor unit
US10261786B2 (en) 2017-03-09 2019-04-16 Google Llc Vector processing unit
US10424069B2 (en) * 2017-04-07 2019-09-24 Nvidia Corporation System and method for optical flow estimation
US10417731B2 (en) 2017-04-24 2019-09-17 Intel Corporation Compute optimization mechanism for deep neural networks

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101605270A (zh) * 2009-07-16 2009-12-16 清华大学 生成深度图的方法和装置
US20110072243A1 (en) * 2009-09-24 2011-03-24 Xiaogang Qiu Unified Collector Structure for Multi-Bank Register File
CN102609978A (zh) * 2012-01-13 2012-07-25 中国人民解放军信息工程大学 基于cuda架构的gpu加速锥束ct图像重建的方法
US20140375658A1 (en) * 2013-06-25 2014-12-25 Ati Technologies Ulc Processor Core to Graphics Processor Task Scheduling and Execution
CN103679789A (zh) * 2013-12-09 2014-03-26 北京大学 基于数据流图的并行绘制与可视化方法及系统
US20150205757A1 (en) * 2014-01-22 2015-07-23 Nvidia Corporation System, method, and computer program product for executing casting-arithmetic instructions
CN104951278A (zh) * 2014-03-28 2015-09-30 英特尔公司 用于执行多个乘法操作的方法和装置
CN105204820A (zh) * 2014-06-26 2015-12-30 英特尔公司 用于提供通用gf(256)simd加密算术功能的指令和逻辑
US20160062947A1 (en) * 2014-08-29 2016-03-03 Nvidia Corporation Performing multi-convolution operations in a parallel processing system
CN107003989A (zh) * 2014-12-19 2017-08-01 英特尔公司 用于人工神经网络中的分布式与协作计算的方法和装置
US20160239706A1 (en) * 2015-02-13 2016-08-18 Qualcomm Incorporated Convolution matrix multiply with callback for deep tiling for deep convolutional neural networks
US20160364828A1 (en) * 2015-06-12 2016-12-15 Intel Corporation Facilitating dynamic runtime transformation of graphics processing commands for improved graphics performance at computing devices
US20170061569A1 (en) * 2015-09-02 2017-03-02 Intel Corporation Compiler optimization to reduce the control flow divergence
CN105787287A (zh) * 2016-05-06 2016-07-20 广州爱九游信息技术有限公司 一种生成榜单数据的系统、设备、装置及方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
梁因等: ""基于图论的潜通路分块分析方法"" *

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10902547B2 (en) 2017-04-24 2021-01-26 Intel Corporation Compute optimization mechanism for deep neural networks
US11222392B2 (en) 2017-04-24 2022-01-11 Intel Corporation Compute optimization mechanism for deep neural networks
US11334962B2 (en) 2017-04-24 2022-05-17 Intel Corporation Compute optimization mechanism for deep neural networks
US11562461B2 (en) 2017-04-24 2023-01-24 Intel Corporation Compute optimization mechanism for deep neural networks
US11593910B2 (en) 2017-04-24 2023-02-28 Intel Corporation Compute optimization mechanism for deep neural networks
US11922535B2 (en) 2017-04-24 2024-03-05 Intel Corporation Compute optimization mechanism for deep neural networks
CN112434785A (zh) * 2020-10-22 2021-03-02 西安交通大学 一种面向超级计算机的分布式并行深度神经网络性能评测方法

Also Published As

Publication number Publication date
TWI790721B (zh) 2023-01-21
US20210241417A1 (en) 2021-08-05
TW201839607A (zh) 2018-11-01
EP3396546A1 (en) 2018-10-31
US11334962B2 (en) 2022-05-17
US11922535B2 (en) 2024-03-05
US10902547B2 (en) 2021-01-26
EP3654185A1 (en) 2020-05-20
US20230260072A1 (en) 2023-08-17
CN117035015A (zh) 2023-11-10
CN117788262A (zh) 2024-03-29
US20220335562A1 (en) 2022-10-20
CN108734274A (zh) 2018-11-02
CN113705789A (zh) 2021-11-26
US20180308200A1 (en) 2018-10-25
CN111539518B (zh) 2023-05-23
US11348198B2 (en) 2022-05-31
US10417731B2 (en) 2019-09-17
US20180308208A1 (en) 2018-10-25
EP3964958A1 (en) 2022-03-09
US20210350499A1 (en) 2021-11-11
CN113705789B (zh) 2024-01-16
TWI760444B (zh) 2022-04-11
US11593910B2 (en) 2023-02-28
TW202145009A (zh) 2021-12-01

Similar Documents

Publication Publication Date Title
US11334962B2 (en) Compute optimization mechanism for deep neural networks
US11315007B2 (en) Neural network scheduling mechanism
US11080811B2 (en) Compute optimization mechanism
US20210397925A1 (en) Convolutional neural network optimization mechanism
CN112561048B (zh) 在推断期间中对图形处理器的协调和增加利用
EP3396600A1 (en) Neural network optimization mechanism
CN112116098A (zh) 机器学习稀疏计算机制
US10417734B2 (en) Compute optimization mechanism for deep neural networks
US20180314934A1 (en) Scheduling configuration for deep learning networks
US20220076118A1 (en) Real time context dependent deep learning

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant