CN111258838B - 验证组件生成方法、装置、存储介质及验证平台 - Google Patents

验证组件生成方法、装置、存储介质及验证平台 Download PDF

Info

Publication number
CN111258838B
CN111258838B CN202010052368.8A CN202010052368A CN111258838B CN 111258838 B CN111258838 B CN 111258838B CN 202010052368 A CN202010052368 A CN 202010052368A CN 111258838 B CN111258838 B CN 111258838B
Authority
CN
China
Prior art keywords
register
configuration
information
verification component
field
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202010052368.8A
Other languages
English (en)
Other versions
CN111258838A (zh
Inventor
沈晓
赵磊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanjing Semidrive Technology Co Ltd
Original Assignee
Nanjing Semidrive Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanjing Semidrive Technology Co Ltd filed Critical Nanjing Semidrive Technology Co Ltd
Priority to CN202010052368.8A priority Critical patent/CN111258838B/zh
Publication of CN111258838A publication Critical patent/CN111258838A/zh
Application granted granted Critical
Publication of CN111258838B publication Critical patent/CN111258838B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • G06F11/263Generation of test inputs, e.g. test vectors, patterns or sequences ; with adaptation of the tested hardware for testability with external testers

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Quality & Reliability (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Debugging And Monitoring (AREA)

Abstract

本申请实施例公开了一种验证组件生成方法、装置、存储介质及验证平台,属于测试技术领域。所述方法包括:获取被测器件对应的寄存器描述表格,所述寄存器描述表格中包括所述被测器件中各个寄存器的配置信息,所述配置信息用于生成各个寄存器的配置值,所述配置值是根据所述被测器件的被测功能确定的;获取预设的验证组件模板;将所述寄存器描述表格中的配置信息填充到所述验证组件模板中,得到验证组件,所述验证组件用于验证所述被测器件中的所述被测功能。本申请实施例可以降低对该配置信息的理解难度,便于检查该配置信息的准确性,也便于检查配置信息是否遗漏或重复;还可以提高验证组件的生成效率和准确性。

Description

验证组件生成方法、装置、存储介质及验证平台
技术领域
本申请实施例涉及测试技术领域,特别涉及一种验证组件生成方法、装置、存储介质及验证平台。
背景技术
被测器件的功能由该被测器件中所包含的寄存器的配置值决定,所以,在测试被测器件时,验证人员可以编写不同的测试用例,通过各个测试用例对该被测器件中的寄存器配置各种合法的配置值,再检查该被测器件的功能是否符合预期。
通常,每个被测器件对应于一个寄存器描述表格,该寄存器描述表格用于描述该被测器件中各个寄存器的硬件结构信息。比如,寄存器描述表格中包括寄存器名称和寄存器地址。解析脚本可以根据寄存器描述表格生成寄存器模型,该寄存器模型用来对寄存器名称和寄存器地址进行转换,这样,在配置寄存器时只需要使用寄存器名称,而无需关心寄存器地址,从而方便对寄存器进行读写操作。除了寄存器模型之外,其余用于实现测试的验证组件需要验证人员手工编写。
验证人员在编写验证组件之前,需要收集测试被测功能时需要获取的寄存器的配置信息,而配置信息需要用表示其身份的语法结构进行包装,从而增加了对该配置信息的理解难度,不便于检查该配置信息的准确性,也不便于检查配置信息是否遗漏或重复。在收集到配置信息之后,验证人员需要根据配置信息编写验证组件,大多是重复性地针对某种特定验证语言的语法结构转化工作,大量重复性的编写工作浪费了验证人员大量的精力和时间,导致验证组件的生成效率较低。另外,不同的验证人员进行语法结构转化工作时的风格不统一,导致团队间很难对验证组件进行审核,从而影响验证组件的准确性。
发明内容
本申请实施例提供了一种验证组件生成方法、装置、存储介质及验证平台,用于解决收集的属性信息的准确性不高,容易有遗漏或重复,验证组件的生成效率和准确性低的问题。所述技术方案如下:
一方面,提供了一种验证组件生成方法,所述方法包括:
获取被测器件对应的寄存器描述表格,所述寄存器描述表格中包括所述被测器件中各个寄存器的配置信息,所述配置信息用于生成各个寄存器的配置值,所述配置值是根据所述被测器件的被测功能确定的;
获取预设的验证组件模板;
将所述寄存器描述表格中的配置信息填充到所述验证组件模板中,得到验证组件,所述验证组件用于验证所述被测器件中的所述被测功能。
一方面,提供了一种验证组件生成装置,所述装置包括:
获取模块,用于获取被测器件对应的寄存器描述表格,所述寄存器描述表格中包括所述被测器件中各个寄存器的配置信息,所述配置信息用于生成各个寄存器的配置值,所述配置值是根据所述被测器件的被测功能确定的;
所述获取模块,还用于获取预设的验证组件模板;
生成模块,用于将所述寄存器描述表格中的配置信息填充到所述验证组件模板中,得到验证组件,所述验证组件用于验证所述被测器件中的所述被测功能。
一方面,提供了一种计算机可读存储介质,所述存储介质中存储有至少一条指令、至少一段程序、代码集或指令集,所述至少一条指令、所述至少一段程序、所述代码集或指令集由处理器加载并执行以实现如上所述的验证组件生成方法。
一方面,提供了一种验证平台,所述验证平台包括处理器和存储器,所述存储器中存储有至少一条指令,所述指令由所述处理器加载并执行以实现如上所述的验证组件生成方法。
本申请实施例提供的技术方案的有益效果至少包括:
通过将配置信息设置在寄存器描述表格中,这样,配置信息不需要用表示其身份的语法结构进行包装,从而降低了对该配置信息的理解难度,便于检查该配置信息的准确性,也便于检查配置信息是否遗漏或重复。在获取到配置信息之后,可以将配置信息填充到预设的验证组件模板中,从而得到验证组件,这样,可以自动完成针对某种特定验证语言的语法结构转化,而无需验证人员消耗大量的精力和时间去进行大量重复性的编写工作,提高了验证组件的生成效率。另外,自动生成的验证组件的风格是统一的,便于团队间对验证组件进行审核,从而提高了验证组件的准确性。
附图说明
为了更清楚地说明本申请实施例中的技术方案,下面将对实施例描述中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本申请的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他的附图。
图1是本申请一个实施例提供的验证组件生成方法的方法流程图;
图2是本申请一个实施例提供的验证组件的生成示意图;
图3是本申请一个实施例提供的验证组件的集成方式的示意图;
图4是本申请另一实施例提供的验证平台的结构示意图。
具体实施方式
为使本申请实施例的目的、技术方案和优点更加清楚,下面将结合附图对本申请实施方式作进一步地详细描述。
请参考图1,其示出了本申请一个实施例提供的验证组件生成方法的方法流程图,该验证组件生成方法可以应用于验证平台中。该验证组件生成方法,可以包括:
步骤101,获取被测器件对应的寄存器描述表格,该寄存器描述表格中包括被测器件中各个寄存器的配置信息,该配置信息用于生成各个寄存器的配置值,该配置值是根据被测器件的被测功能确定的。
被测器件中配置有至少一个寄存器,且被测器件的被测功能可以由全部或部分寄存器的配置值决定。比如,被测器件中配置有四个寄存器,且第一个寄存器的配置值为a,第二个寄存器的配置值为b,第三个寄存器的配置值为c,第四个寄存器的配置值为d对应于被测功能1;第一个寄存器的配置值为e,第二个寄存器的配置值为f对应于被测功能2等等。
本实施例中,验证人员可以确定需要验证被测器件的各个被测功能,对于每个被测功能,确定决定该被测功能的各个寄存器的配置值,再根据各个寄存器的配置值生成配置信息,将该配置信息添加到寄存器描述表格中。即,配置信息用于生成实现被测功能的各个寄存器的配置值。
相关技术中的寄存器描述表格中包括寄存器名称和寄存器地址,本实施例中的寄存器描述表格除了包括寄存器名称和寄存器地址之外,还可以包括上文中提到的配置信息,配置信息的详细内容详见下文中的描述,此处不作赘述。
需要说明的是,在将配置信息添加到寄存器描述表格中时,可以确定配置信息在寄存器描述表格中的格式化描述形式,再按照该格式化描述形式将配置信息添加到寄存器描述表格中。
步骤102,获取预设的验证组件模板。
验证组件模板可以是根据特定验证语言设计的,本实施例不对特定验证语言进行限定。
本实施例中,验证人员可以预先在验证平台上编写验证组件模块,或者,验证平台可以获取导入的验证组件模板,本实施例不限定验证组件模板的获取方式。
本实施例中的验证组件模板可以包括至少一种模板,每种模板可以生成一种验证组件,本实施例不限定验证组件的数量以及验证组件的具体内容。
步骤103,将寄存器描述表格中的配置信息填充到验证组件模板中,得到验证组件,该验证组件用于验证被测器件中的被测功能。
本实施例中,验证平台中的自动化解析脚本可以将寄存器描述表格中的配置信息填充到验证组件模板中,并利用配置信息对验证组件模板进行配置,从而得到验证组件。
综上所述,本申请实施例提供的验证组件生成方法,通过将配置信息设置在寄存器描述表格中,这样,配置信息不需要用表示其身份的语法结构进行包装,从而降低了对该配置信息的理解难度,便于检查该配置信息的准确性,也便于检查配置信息是否遗漏或重复。在获取到配置信息之后,可以将配置信息填充到预设的验证组件模板中,从而得到验证组件,这样,可以自动完成针对某种特定验证语言的语法结构转化,而无需验证人员消耗大量的精力和时间去进行大量重复性的编写工作,提高了验证组件的生成效率。另外,自动生成的验证组件的风格是统一的,便于团队间对验证组件进行审核,从而提高了验证组件的准确性。
本实施例中引入了随机测试和覆盖率收集的验证方法。在上述验证方法中,同一个测试用例中寄存器的配置值并不固定,而是在一定的约束条件下随机产生。每一次运行测试用例时都会随机产生不同的配置值,随机产生的配置值会被标记到寄存器功能覆盖率模型里,这样,可以通过检查标记到功能覆盖率模型里的数据,来判断测试用例集随机产生的配置值是否对寄存器合法配置空间进行了足够的覆盖。其中,测试用例集对寄存器合法配置空间进行了足够的覆盖意味着对被测器件的被测功能进行了的充分测试。
针对引入了随机测试和覆盖率收集的验证方法,验证人员可以确定生成的验证组件需要包含以下功能:
1)将寄存器的配置值写入文件,并将该文件传输给外部程序;或者,读取外部程序提供的文件,根据该文件确定寄存器的配置值。
2)随机产生合法的寄存器的配置值。
3)定义寄存器的合法配置空间,并将其划分为多个分区。
4)将随机产生的寄存器的配置值标记到寄存器的合法配置空间中与其对应的分区中。
5)将随机产生的寄存器的配置值配置进被测器件的寄存器中。
6)为具有模式控制功能的寄存器定义枚举类型。
在确定了验证组件的功能后,可以设计验证平台中的验证组件。目前有多种验证语言和验证方法学被引入芯片的验证,其中使用最广泛的是基于System Verilog(SV)验证语言的UVM(Universal Verification Methodology,通用验证方法)验证方法学。本实施例以验证平台为UVM验证平台为例进行说明,但并不限定验证平台为UVM验证平台。
在UVM验证平台中,可以将上述功能划分到不同的验证组件中,则本实施例中的验证组件可以包括寄存器配置值管理器、寄存器配置操作序列、寄存器功能覆盖率模型和寄存器模型,下面分别对这四种验证组件进行说明。
1、寄存器配置值管理器(Reg_cfg_obj)
寄存器配置值管理器用于管理寄存器的配置值。比如,可以将功能1、2和6划分给寄存器配置值管理器,则寄存器配置值管理器可以存储寄存器的配置值、将寄存器的配置值写入文件、从文件中读入寄存器的配置值、为寄存器随机生成合法的配置值、为具有模式控制的寄存器定义枚举类型。
2、寄存器配置操作序列(Reg_config_seq)
寄存器配置操作序列用于将配置值按照指定顺序写入各个寄存器中。比如,可以将功能5划分给寄存器配置操作序列,则寄存器配置操作序列可以将期望的配置值按指定顺序配置进被测器件的寄存器中。
3、寄存器功能覆盖率模型(Reg_cov_model)
寄存器功能覆盖率模型用于将配置值标记到寄存器的合法配置空间中。比如,可以将功能3和4划分给寄存器功能覆盖率模型,则寄存器功能覆盖率模型可以定义寄存器的合法配置空间,并将其划分为不同的分区、将寄存器的配置值标记到合法配置空间中与其对应的分区中。
4、寄存器模块(Regmodel)
寄存器模块用于对寄存器名称和寄存器地址进行转换。
下面对寄存器描述表格进行说明。
本实施例中的寄存器描述表格中包括配置信息。在一种实现方式中,可以为每种配置信息在寄存器描述表格中增加一个属性列,并确定每一种配置信息的格式化描述形式,按照该格式化描述形式将每种配置信息添加到对应的属性列中。
需要说明的是,格式化描述形式需要易于解析。并且,由于每种配置信息都被添加到对应的属性列中,所以,格式化描述形式的设计可以大量的剥离掉各种验证语言中用来标识该配置信息的身份及用途的特定语法结构。另外,理论上,格式化描述形式可以不依赖于任何特定的验证语言,可以自行定义。出于实用性考虑,本实施例中的格式化描述形式以应用最为广泛的System Verilog验证语言为基础,并对其进行了进一步的简化,简化后的格式化描述形式能够被不同的团队理解。
本实施例中的寄存器描述表格中的属性列可以包括Order、Cname、enum、related_flds、rand、cross_rand、cov和cross_cov,请参考表一所示的一种寄存器描述表格,表一中以被测器件包括两个寄存器,且该寄存器描述表格包括上述属性列为例进行说明。由于表一中的列数较多,为了便于查看,下面将表一分为表一(1)和表一(2)这两个部分进行说明,在实际实现时,表一为一个完整的表格。
表一(1)
Figure BDA0002371631390000071
表一(2)
Figure BDA0002371631390000072
其中,Offset表示寄存器地址,Regname表示寄存器名称,其余属性列中的信息即为配置信息,详见下文中的解释。
在实际实现时,寄存器描述表格还可以包括其他数量的寄存器,且寄存器描述表格中的属性列的数量可以多于或少于上述提及的属性列的数量,本实施例不作限定。
下面以上述寄存器描述表格为例,对根据配置信息和验证组件模板生成各个验证组件的流程进行说明。
1、验证组件是寄存器配置值管理器
1)声明fld变量
寄存器配置值管理器包括随机生成函数,若要配置随机生成函数,则配置信息可以包括域field名称和field位宽,那么,步骤103可以包括:将field名称和field位宽填充到寄存器配置值管理器的模板中;确定寄存器的配置值的最小值,并根据field位宽确定寄存器的配置值的最大值;利用最小值和最大值配置随机生成函数的随机值生成区间,得到寄存器配置值管理器。
其中,配置值的最小值可以是固定的数值,也可以是根据某种计算方式计算得到的数值,本实施例不作限定。比如,在一种实现方式中,配置值的最小值可以是0。配置值的最大值可以是根据field位宽计算得到的数值。在一种实现方式中,可以先确定field位宽对应的最大值,再将配置值的最大值设置为小于或等于该最大值的一个数值。比如,field位宽为3,则该field位宽对应的最大值为8,可以设置配置值的最大值为小于或等于8的数值。
本实施例中,可以根据配置值的最小值和最大值确定一个取值区间,并将该取值区间作为随机生成函数的随机值生成区间,则随机生成函数每次生成的随机值属于该随机值生成区间。其中,随机值生成区间也可以称为随机范围约束。
根据上述内容可知,本实施例中的每个fld对应三个变量,一个变量用来存储配置值的最小值,一个变量用来存储配置值的最大值,一个变量用来存储随便生成函数生成的随机值(也即配置值)。
需要说明的是,配置信息中的field名称对应于表一(1)中的Fld_name,field位宽对应于表一(1)中的Width,以fld_A1为例,则生成的代码如下:
rand bit[3:0]fld_A;
bit[3:0]fld_A_min=0,fld_A_max=15;
constraint valid_range{fld_A inside{[fld_A_min:fld_A_max]};}
即,fld_A1的配置值为[0,15]之间的随机值。
2)输入输出函数
寄存器配置值管理器包括输入输出函数,其中,输入输出函数用于向文件句柄输出外部程序中使用的与field对应的变量的配置值,或者,输入输出函数用于从文件中获取外部程序中使用的与field对应的变量的配置值。
若要配置输入输出函数,则配置信息可以包括field名称和文件信息,那么,步骤103可以包括:将field名称和文件信息填充到寄存器配置值管理器的模板中;若文件信息为空,则指示外部程序中使用的变量名与field名称相同,利用field名称配置输入输出函数,得到寄存器配置值管理器;若文件信息非空且不为预定字符,则指示外部程序中使用的变量名与field名称不同,利用文件信息配置输入输出函数,得到寄存器配置值管理器。
其中,若外部程序中使用的变量名与field名称相同,则文件信息中可以不填写内容,即文件信息为空;若外部程序中使用的变量名与field名称不同,则在文件信息中填写外部程序中使用的变量名;若外部程序中不使用field对应的变量,则文件信息中填写预定字符,如NA。
需要说明的是,配置信息中的文件信息对应于表一(1)中的Cname,以fld_A1、fld_A2、fld_B1、fld_B2为例,则生成的代码如下:
Figure BDA0002371631390000091
Figure BDA0002371631390000101
即,一个外部程序使用的变量名为alg_a1,与对应的fld_A1不同;一个外部程序使用的变量名为fld_A2,与对应的fld_A2相同;一个外部程序使用的变量名为alg_b1,与对应的fld_B1不同;一个外部程序不使用与fld_B1对应的变量。
3)枚举类型声明
配置信息包括枚举类型信息,那么,步骤103可以包括:若枚举类型信息非空,则将枚举类型信息填充到寄存器配置值管理器的模板中;利用枚举类型信息配置寄存器配置值管理器中的变量,得到寄存器配置值管理器。
本实施例中的枚举类型用于对寄存器进行模式控制,且为模式控制声明枚举类型,可以显著地提高代码和测试用例的可读性和易维护性。
需要说明的是,配置信息中的枚举类型信息对应于表一(2)中的Enum,以fld_A2为例,则生成的代码如下:
Figure BDA0002371631390000102
4)fld约束条件声明
本实施例中,fld约束条件包括单约束条件和多约束条件,其中,单约束条件是指fld的约束条件不依赖于别的fld,多约束条件是指fld的约束条件依赖于别的fld。
配置信息包括field约束条件信息,且该field约束条件信息可以包括单约束条件和多约束条件,那么,步骤103可以包括:将field约束条件信息填充到寄存器配置值管理器的模板中;利用单约束条件或多约束条件配置寄存器配置值管理器中配置值的生成规则,得到寄存器配置值管理器。
若field约束条件信息为单约束条件,则配置信息对应于表一(2)中的Rand:LLL,其中,LLL是约束名,用来对该单约束条件进行开关。以fld_A1和fld_B1为例,则生成的代码如下:
constraint LLL{
Fld_A1 inside{[0:5]};
Fld_A1!=2;
Fld_B1 inside{1,3};
}
即,Fld_A1的配置值为0-5之间,且不等于2。
若field约束条件信息为多约束条件,则配置信息对应于表一(2)中的Cross_rand:MMM,属于同一属性列的多个约束条件可以统一进行开关。以fld_A1和fld_B1为例,则生成的代码如下:
constraint MMM{
fld_B1==1->fld_A1==3;
}
即,fld_B1的配置值为1时,fld_A1的配置值为3。
需要说明的第一点是,一个寄存器描述表格中可以包括多组field约束条件,每组field约束条件对应于一个属性列。
需要说明的第二点是,还可以在寄存器表格描述中增加related_flds的属性列,该related_flds用来标注当前fld还与哪些其他fld相关,不会被自动化解析脚本解析,不会用于生成验证组件。
2、验证组件是寄存器配置操作序列
配置信息包括寄存器配置顺序信息,那么,步骤103可以包括:将寄存器配置顺序信息填充到寄存器配置操作序列的模板中;配置寄存器配置操作序列按照寄存器配置顺序信息所指示的顺序依次写入各个寄存器的配置值,得到寄存器配置操作序列。
其中,每一条寄存器配置顺序信息对应于一个属性列,且每个属性列对应于一个配置函数。对于每条寄存器配置顺序信息,当存在寄存器配置顺序信息为NA的寄存器时,说明该寄存器不需要配置;当存在寄存器配置顺序信息不为NA的寄存器时,说明该寄存器需要配置,且可以根据该寄存器对应的寄存器配置顺序信息的数值确定该寄存器的配置顺序。比如,以order表示一个寄存器对应的寄存器配置顺序信息,则可以设置order值小的寄存器先配置,order值大的寄存器后配置。
以配置信息对应于表一(1)中的Order:XXX:NA为例,则生成的代码如下:
task XXX();
regmodel.Reg_B.fld_B1.predict(reg_cfg.fld_B1);
regmodel.Reg_B.fld_B2.predict(reg_cfg.fld_B2);
regmodel.Reg_B.write(Reg_B.get());
endtask
即,Reg_A对应的Order:XXX:NA的数值为空,则Reg_A对应的寄存器配置顺序信息为NA,不需要配置;Reg_B对应的Order:XXX:NA的数值为1,需要配置。其中,predict函数用于将fld_B1和fld_B2的值拼成32比特的配置值,且fld_B1和fld_B2的先后配置顺序不限;write函数用于将拼好的32比特的配置值写进寄存器中。
以配置信息对应于表一(1)中的Order:YYY:0为例,则生成的代码如下:
task YYY();
regmodel.Reg_B.fld_B1.predict(reg_cfg.fld_B1);
regmodel.Reg_B.fld_B2.predict(reg_cfg.fld_B2);
regmodel.Reg_B.write(Reg_B.get());
regmodel.Reg_A.fld_A1.predict(reg_cfg.fld_A1);
regmodel.Reg_A.fld_A2.predict(reg_cfg.fld_A2);
regmodel.Reg_A.write(Reg_A.get());
endtask
即,Reg_A对应的Order:YYY:0的数值为1,需要配置,且fld_A1和fld_A2的先后配置顺序不限;Reg_B对应的Order:YYY:0的数值为空,则Reg_B对应的寄存器配置顺序信息为0,需要配置,且fld_B1和fld_B2的先后配置顺序不限。由于Reg_B的order值0小于Reg_A的order值1,所以,最终的配置顺序为先配置Reg_B,再配置Reg_A。
3、验证组件是寄存器功能覆盖率模型
本实施例中,寄存器功能覆盖率模型可以定义单fld覆盖率和多fld覆盖率,其中,单fld覆盖率用来指定单一fld的配置值的测试覆盖率要求。比如,单一fld配置值的测试覆盖率要求可以包括,哪些配置值或区间需要覆盖到;哪些状态跳转需要覆盖到;对特定配置值进行采样和标记时是否有额外的采样条件需要满足等等。多fld覆盖率用来指定对多个fld的配置值进行组合后的测试覆盖率要求。
配置信息包括field覆盖率信息,且该field覆盖率信息包括单field覆盖率和多field覆盖率,那么,步骤103可以包括:将field覆盖率信息填充到寄存器功能覆盖率模型的模板中;配置寄存器功能覆盖率模型按照field覆盖率信息对寄存器中的合法配置空间进行分区划分,并配置每个分区的覆盖率要求,得到寄存器功能覆盖率模型。
当配置信息为单field覆盖率时,若该单field覆盖率为空,则表示将fld的合法取值空间均分为64个分区,每个分区都要覆盖到;若该单field覆盖率为NA,则表示fld的配置值覆盖率没有要求。其中,每个fld都有一个独立的采样控制开关,且一个寄存器描述表格只有一个单field覆盖率对应的属性列。
以配置信息对应于表一(2)中的Cov为例,则生成的代码如下:
Figure BDA0002371631390000131
/>
Figure BDA0002371631390000141
当配置信息为多field覆盖率时,若该多field覆盖率为空,则表示fld组合的配置值覆盖率没有要求。其中,每个fld组合都有一个独立的采样控制开关,且一个寄存器描述表格只有一个多field覆盖率对应的属性列。
以配置信息对应于表一(2)中的Cross_cov为例,则生成的代码如下:
C1:cross fld_A1,fld_B1 iff(C1_cov_en);
C2:cross fld_A1,fld_B2 iff(C2_cov_en);
需要说明的是,还可以在寄存器表格描述中增加related_flds的属性列,该related_flds用来标注当前fld还与哪些其他fld相关,不会被自动化解析脚本解析,不会用于生成验证组件。
4、验证组件是寄存器模型
UVM验证方法学中定义了专门的数据结构来完成寄存器名称与寄存器地址之间的转换,按照该数据结构编写寄存器模型的模板即可。
请参考图2,其示出了验证组件的生成示意图,即将寄存器描述表格和预设的验证组件模板输入自动化解析脚本,即可得到包含寄存器配置值管理器、寄存器配置操作序列、寄存器功能覆盖率模型和寄存器模型的验证组件。
本实施例提供了各个验证组件在验证平台中的一种集成方式,请参考图3,图3中的寄存器配置值管理器为Reg_cfg_obj、寄存器配置操作序列为Reg_config_seq、寄存器功能覆盖率模型为Reg_cov_model,寄存器模型为Regmodel。基于上述集成方式,下面对各个验证组件之间的协作方式进行说明。
1)Reg_cfg_obj实例1用来产生并存储寄存器的配置值。
2)Reg_config_seq用来将配置值配置进寄存器。Reg_config_seq包含一个Reg_cfg_obj句柄和一个Regmodel句柄,且其从Reg_cfg_obj中获取寄存器的配置值,从Regmodel中获取对应的寄存器地址,然后向Driver发起对指定寄存器地址的事务级写请求,由Driver将该事务级写请求转换成interface的信号级操作,通过该信号级操作将配置值配置进被测器件(DUT)中指定的寄存器中。
3)Reg_cov_model用来定义寄存器的合法配置空间,并将其划分为不同的分区。Reg_cov_model包含一个Reg_cfg_obj句柄,且其从Reg_cfg_obj中获取寄存器的配置值,并根据该配置值对配置空间内的各个分区进行标记,记录寄存器中合法配置空间的覆盖率。
其中,Reg_cov_model中的Reg_cfg_ojb句柄可以来自Reg_cfg_obj实例1。若当前的验证平台作为一个子模块被复用到更高级别的验证平台,则更高级别的验证平台可能不包含Reg_cfg_obj实例1此时,Reg_cov_model中的Reg_cfg_ojb句柄只能来自Reg_cfg_obj实例2。下面对Reg_cov_model从Reg_cfg_obj实例2中获取Reg_cfg_ojb句柄的流程进行说明。
Monitor监测interface的信号级操作,并将监测到的信号级操作转换为事务级操作。如果监测到写操作,通过Regmodel实例2对检测到的寄存器地址进行转化,可以得到该操作的寄存器名称,然后根据该寄存器名称和Monitor监测到的配置值对Reg_cfg_ojb实例2中对应的变量进行赋值。
请参考图4,其示出了本申请一个实施例提供的验证组件生成装置的结构框图,该验证组件生成装置可以应用于验证平台中。该验证组件生成装置,可以包括:
获取模块410,用于获取被测器件对应的寄存器描述表格,寄存器描述表格中包括被测器件中各个寄存器的配置信息,配置信息用于生成各个寄存器的配置值,配置值是根据被测器件的被测功能确定的;
获取模块410,还用于获取预设的验证组件模板;
生成模块420,用于将寄存器描述表格中的配置信息填充到验证组件模板中,得到验证组件,验证组件用于验证被测器件中的被测功能。
在一个可选的实施例中,验证组件包括寄存器配置值管理器、寄存器配置操作序列、寄存器功能覆盖率模型和寄存器模型;
寄存器配置值管理器用于管理寄存器的配置值;
寄存器配置操作序列用于将配置值按照指定顺序写入各个寄存器中;
寄存器功能覆盖率模型用于将配置值标记到寄存器的合法配置空间中;
寄存器模块用于对寄存器名称和寄存器地址进行转换。
在一个可选的实施例中,配置信息包括域field名称和field位宽,且寄存器配置值管理器包括随机生成函数,则生成模块420,还用于:
将field名称和field位宽填充到寄存器配置值管理器的模板中;
确定寄存器的配置值的最小值,并根据field位宽确定寄存器的配置值的最大值;
利用最小值和最大值配置随机生成函数的随机值生成区间,得到寄存器配置值管理器。
在一个可选的实施例中,配置信息包括field名称和文件信息,且寄存器配置值管理器包括输入输出函数,输入输出函数用于向文件句柄输出外部程序中使用的与field对应的变量的配置值,或者,输入输出函数用于从文件中获取外部程序中使用的与field对应的变量的配置值,则生成模块420,还用于:
将field名称和文件信息填充到寄存器配置值管理器的模板中;
若文件信息为空,则指示外部程序中使用的变量名与field名称相同,利用field名称配置输入输出函数,得到寄存器配置值管理器;
若文件信息非空且不为预定字符,则指示外部程序中使用的变量名与field名称不同,利用文件信息配置输入输出函数,得到寄存器配置值管理器。
在一个可选的实施例中,配置信息包括枚举类型信息,生成模块420,还用于:
若枚举类型信息非空,则将枚举类型信息填充到寄存器配置值管理器的模板中;
利用枚举类型信息配置寄存器配置值管理器中的变量,得到寄存器配置值管理器。
在一个可选的实施例中,配置信息包括field约束条件信息,field约束条件信息中包括单约束条件和多约束条件,生成模块420,还用于:
将field约束条件信息填充到寄存器配置值管理器的模板中;
利用单约束条件或多约束条件配置寄存器配置值管理器中配置值的生成规则,得到寄存器配置值管理器。
在一个可选的实施例中,配置信息包括寄存器配置顺序信息,生成模块420,还用于:
将寄存器配置顺序信息填充到寄存器配置操作序列的模板中;
配置寄存器配置操作序列按照寄存器配置顺序信息所指示的顺序依次写入各个寄存器的配置值,得到寄存器配置操作序列。
在一个可选的实施例中,配置信息包括field覆盖率信息,field覆盖率信息包括单field覆盖率和多field覆盖率,生成模块420,还用于:
将field覆盖率信息填充到寄存器功能覆盖率模型的模板中;
配置寄存器功能覆盖率模型按照field覆盖率信息对寄存器中的合法配置空间进行分区划分,并配置每个分区的覆盖率要求,得到寄存器功能覆盖率模型。
综上所述,本申请实施例提供的验证组件生成装置,通过将配置信息设置在寄存器描述表格中,这样,配置信息不需要用表示其身份的语法结构进行包装,从而降低了对该配置信息的理解难度,便于检查该配置信息的准确性,也便于检查配置信息是否遗漏或重复。在获取到配置信息之后,可以将配置信息填充到预设的验证组件模板中,从而得到验证组件,这样,可以自动完成针对某种特定验证语言的语法结构转化,而无需验证人员消耗大量的精力和时间去进行大量重复性的编写工作,提高了验证组件的生成效率。另外,自动生成的验证组件的风格是统一的,便于团队间对验证组件进行审核,从而提高了验证组件的准确性。
本申请一个实施例提供了一种计算机可读存储介质,所述存储介质中存储有至少一条指令、至少一段程序、代码集或指令集,所述至少一条指令、所述至少一段程序、所述代码集或指令集由处理器加载并执行以实现如上所述的验证组件生成方法。
本申请一个实施例提供了一种验证平台,所述验证平台包括处理器和存储器,所述存储器中存储有至少一条指令,所述指令由所述处理器加载并执行以实现如上所述的验证组件生成方法。
需要说明的是:上述实施例提供的验证组件生成装置在进行验证组件生成时,仅以上述各功能模块的划分进行举例说明,实际应用中,可以根据需要而将上述功能分配由不同的功能模块完成,即将验证组件生成装置的内部结构划分成不同的功能模块,以完成以上描述的全部或者部分功能。另外,上述实施例提供的验证组件生成装置与验证组件生成方法实施例属于同一构思,其具体实现过程详见方法实施例,这里不再赘述。
本领域普通技术人员可以理解实现上述实施例的全部或部分步骤可以通过硬件来完成,也可以通过程序来指令相关的硬件完成,所述的程序可以存储于一种计算机可读存储介质中,上述提到的存储介质可以是只读存储器,磁盘或光盘等。
以上所述并不用以限制本申请实施例,凡在本申请实施例的精神和原则之内,所作的任何修改、等同替换、改进等,均应包含在本申请实施例的保护范围之内。

Claims (10)

1.一种验证组件生成方法,其特征在于,所述方法包括:
获取被测器件对应的寄存器描述表格,所述寄存器描述表格中包括所述被测器件中各个寄存器的配置信息,所述配置信息用于生成各个寄存器的配置值,所述配置值是根据所述被测器件的被测功能确定的;
获取预设的验证组件模板;
将所述寄存器描述表格中的配置信息填充到所述验证组件模板中,得到验证组件,所述验证组件用于验证所述被测器件中的所述被测功能;
所述验证组件包括寄存器配置值管理器、寄存器配置操作序列、寄存器功能覆盖率模型和寄存器模型;
所述寄存器配置值管理器用于管理所述寄存器的配置值;
所述寄存器配置操作序列用于将所述配置值按照指定顺序写入各个寄存器中;
所述寄存器功能覆盖率模型用于将所述配置值标记到所述寄存器的合法配置空间中;
所述寄存器模型用于对寄存器名称和寄存器地址进行转换。
2.根据权利要求1所述的方法,其特征在于,所述配置信息包括域field名称和field位宽,且所述寄存器配置值管理器包括随机生成函数,则所述将所述寄存器描述表格中的配置信息填充到所述验证组件模板中,得到验证组件,包括:
将所述field名称和所述field位宽填充到所述寄存器配置值管理器的模板中;
确定所述寄存器的配置值的最小值,并根据所述field位宽确定所述寄存器的配置值的最大值;
利用所述最小值和所述最大值配置所述随机生成函数的随机值生成区间,得到所述寄存器配置值管理器。
3.根据权利要求1所述的方法,其特征在于,所述配置信息包括field名称和文件信息,且所述寄存器配置值管理器包括输入输出函数,所述输入输出函数用于向文件句柄输出外部程序中使用的与field对应的变量的配置值,或者,所述输入输出函数用于从文件中获取外部程序中使用的与field对应的变量的配置值,则所述将所述寄存器描述表格中的配置信息填充到所述验证组件模板中,得到验证组件,包括:
将所述field名称和所述文件信息填充到所述寄存器配置值管理器的模板中;
若所述文件信息为空,则指示外部程序中使用的变量名与所述field名称相同,利用所述field名称配置所述输入输出函数,得到所述寄存器配置值管理器;
若所述文件信息非空且不为预定字符,则指示外部程序中使用的变量名与所述field名称不同,利用所述文件信息配置所述输入输出函数,得到所述寄存器配置值管理器。
4.根据权利要求1所述的方法,其特征在于,所述配置信息包括枚举类型信息,所述将所述寄存器描述表格中的配置信息填充到所述验证组件模板中,得到验证组件,包括:
若所述枚举类型信息非空,则将所述枚举类型信息填充到所述寄存器配置值管理器的模板中;
利用所述枚举类型信息配置所述寄存器配置值管理器中的变量,得到所述寄存器配置值管理器。
5.根据权利要求1所述的方法,其特征在于,所述配置信息包括field约束条件信息,所述field约束条件信息中包括单约束条件和多约束条件,所述将所述寄存器描述表格中的配置信息填充到所述验证组件模板中,得到验证组件,包括:
将所述field约束条件信息填充到所述寄存器配置值管理器的模板中;
利用所述单约束条件或所述多约束条件配置所述寄存器配置值管理器中配置值的生成规则,得到所述寄存器配置值管理器。
6.根据权利要求1所述的方法,其特征在于,所述配置信息包括寄存器配置顺序信息,所述将所述寄存器描述表格中的配置信息填充到所述验证组件模板中,得到验证组件,包括:
将所述寄存器配置顺序信息填充到所述寄存器配置操作序列的模板中;
配置所述寄存器配置操作序列按照所述寄存器配置顺序信息所指示的顺序依次写入各个寄存器的配置值,得到所述寄存器配置操作序列。
7.根据权利要求1所述的方法,其特征在于,所述配置信息包括field覆盖率信息,所述field覆盖率信息包括单field覆盖率和多field覆盖率,所述将所述寄存器描述表格中的配置信息填充到所述验证组件模板中,得到验证组件,包括:
将所述field覆盖率信息填充到所述寄存器功能覆盖率模型的模板中;
配置所述寄存器功能覆盖率模型按照所述field覆盖率信息对所述寄存器中的合法配置空间进行分区划分,并配置每个分区的覆盖率要求,得到所述寄存器功能覆盖率模型。
8.一种验证组件生成装置,其特征在于,所述装置包括:
获取模块,用于获取被测器件对应的寄存器描述表格,所述寄存器描述表格中包括所述被测器件中各个寄存器的配置信息,所述配置信息用于生成各个寄存器的配置值,所述配置值是根据所述被测器件的被测功能确定的;
所述获取模块,还用于获取预设的验证组件模板;
生成模块,用于将所述寄存器描述表格中的配置信息填充到所述验证组件模板中,得到验证组件,所述验证组件用于验证所述被测器件中的所述被测功能;
所述验证组件包括寄存器配置值管理器、寄存器配置操作序列、寄存器功能覆盖率模型和寄存器模型;
所述寄存器配置值管理器用于管理所述寄存器的配置值;
所述寄存器配置操作序列用于将所述配置值按照指定顺序写入各个寄存器中;
所述寄存器功能覆盖率模型用于将所述配置值标记到所述寄存器的合法配置空间中;
所述寄存器模型用于对寄存器名称和寄存器地址进行转换。
9.一种计算机可读存储介质,其特征在于,所述存储介质中存储有至少一条指令、至少一段程序、代码集或指令集,所述至少一条指令、所述至少一段程序、所述代码集或指令集由处理器加载并执行以实现如权利要求1至7任一所述的验证组件生成方法。
10.一种验证平台,其特征在于,所述验证平台包括处理器和存储器,所述存储器中存储有至少一条指令,所述指令由所述处理器加载并执行以实现如权利要求1至7任一所述的验证组件生成方法。
CN202010052368.8A 2020-01-17 2020-01-17 验证组件生成方法、装置、存储介质及验证平台 Active CN111258838B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010052368.8A CN111258838B (zh) 2020-01-17 2020-01-17 验证组件生成方法、装置、存储介质及验证平台

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202010052368.8A CN111258838B (zh) 2020-01-17 2020-01-17 验证组件生成方法、装置、存储介质及验证平台

Publications (2)

Publication Number Publication Date
CN111258838A CN111258838A (zh) 2020-06-09
CN111258838B true CN111258838B (zh) 2023-05-23

Family

ID=70952286

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010052368.8A Active CN111258838B (zh) 2020-01-17 2020-01-17 验证组件生成方法、装置、存储介质及验证平台

Country Status (1)

Country Link
CN (1) CN111258838B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112231164B (zh) * 2020-12-11 2021-08-27 鹏城实验室 处理器验证方法、设备及可读存储介质

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101339545A (zh) * 2008-08-22 2009-01-07 华为技术有限公司 一种寄存器配置方法和装置
CN103036738A (zh) * 2012-12-11 2013-04-10 上海高清数字科技产业有限公司 一种验证系统及其验证方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5228546B2 (ja) * 2008-03-13 2013-07-03 日本電気株式会社 動作合成装置、および、プログラム
CN105068800B (zh) * 2015-07-31 2018-09-14 深圳市华星光电技术有限公司 一种下载配置代码的方法、系统及计时器/计数器控制寄存器

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101339545A (zh) * 2008-08-22 2009-01-07 华为技术有限公司 一种寄存器配置方法和装置
CN103036738A (zh) * 2012-12-11 2013-04-10 上海高清数字科技产业有限公司 一种验证系统及其验证方法

Also Published As

Publication number Publication date
CN111258838A (zh) 2020-06-09

Similar Documents

Publication Publication Date Title
CN112131829A (zh) 一种芯片寄存器的验证方法、系统及相关装置
US8127261B2 (en) System for quickly specifying formal verification environments
CN107451064B (zh) 自动测试方法、装置、计算机设备和可读存储介质
CN110990411B (zh) 数据结构的生成方法及装置、调用方法及装置
CN116681013B (zh) 网络芯片的仿真验证方法、平台、装置、设备及介质
CN111124870A (zh) 一种接口测试方法及装置
CN114357943A (zh) 一种通用高效Excel读取处理方法、工具、介质及设备
CN113887161A (zh) 一种片上网络设计实例的验证方法、装置、设备及介质
CN115983173A (zh) 寄存器模型生成方法、装置、计算机设备及存储介质
CN111258838B (zh) 验证组件生成方法、装置、存储介质及验证平台
CN114091383A (zh) 测试序列生成方法、装置、系统及相关设备
CN114970411A (zh) 一种仿真验证方法及系统
CN112560372B (zh) 一种芯片原型验证方法、装置、设备及介质
CN115543324B (zh) 交互界面的生成方法、装置、设备及介质
CN116701208A (zh) 一种芯片中内核模块的验证方法、系统、芯片和设备
CN115833964A (zh) 双模通信模块的性能测试方法和性能测试系统
CN115470152A (zh) 测试代码生成方法、测试代码生成装置以及存储介质
CN112463633B (zh) 一种片上存储器的地址译码校验方法、装置、设备及介质
CN115017869A (zh) 一种uvm寄存器模型快速生成方法及系统
CN111104328B (zh) 用例生成方法、装置、计算机设备和可读存储介质
CN114791885A (zh) 接口测试方法、装置、设备及介质
CN108334313A (zh) 用于大型soc研发的持续集成方法、装置及代码管理系统
CN112948266B (zh) 一种数据库语法测试系统、方法、装置、设备及存储介质
CN110348127B (zh) 一种测试用例的生成方法、装置、设备及存储介质
CN116932412B (zh) 可生成不同格式测试激励文件的共享平台和方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant