CN111123643A - 保护组合物和形成光致抗蚀剂图案的方法 - Google Patents

保护组合物和形成光致抗蚀剂图案的方法 Download PDF

Info

Publication number
CN111123643A
CN111123643A CN201911043986.XA CN201911043986A CN111123643A CN 111123643 A CN111123643 A CN 111123643A CN 201911043986 A CN201911043986 A CN 201911043986A CN 111123643 A CN111123643 A CN 111123643A
Authority
CN
China
Prior art keywords
group
pendant
groups
polymer
labile groups
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201911043986.XA
Other languages
English (en)
Other versions
CN111123643B (zh
Inventor
訾安仁
张庆裕
林进祥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN111123643A publication Critical patent/CN111123643A/zh
Application granted granted Critical
Publication of CN111123643B publication Critical patent/CN111123643B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/032Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with binders
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本公开涉及保护组合物和形成光致抗蚀剂图案的方法。一种方法包括在衬底边缘上方形成保护层并在衬底上方形成光致抗蚀剂层。去除保护层并将光致抗蚀剂层曝光于辐射。保护层由包括酸生成剂和具有悬挂的不耐酸基团的聚合物的组合物制成。悬挂的不耐酸基团包括极性官能团;不耐酸基团包括极性开关官能团;不耐酸基团,其中大于5%的悬挂的不耐酸基团具有以下结构:
Figure DDA0002253609650000011
其中,R1为C6‑C30烷基基团、环烷基基团、羟烷基基团、烷氧基基团、烷氧基烷基基团、乙酰基基团等;R2为C4‑C9烷基基团、环烷基基团、羟烷基基团、烷氧基基团、烷氧基烷基基团、乙酰基基团等;具有悬挂的不耐酸基团和悬挂的内酯基团的聚合物;或具有悬挂的不耐酸基团和悬挂的羧酸基团的聚合物。

Description

保护组合物和形成光致抗蚀剂图案的方法
技术领域
本公开一般地涉及保护组合物和形成光致抗蚀剂图案的方法。
背景技术
半导体集成电路(IC)产业发展迅速。IC材料和设计的技术进步产生了各代IC,每一代IC都比前一代IC更小、更复杂。然而,这些进步增加了加工和制造IC的复杂性,并且为了实现这些进步,需要在IC加工和制造中的类似开发。在集成电路发展的过程中,功能密度(即每个芯片区域互连器件的数量)普遍增加,而几何尺寸(即,使用制造过程可以创建的最小组件(或线路))则减少。这种缩放过程通常通过提高生产效率和降低相关成本来提供好处。这种缩放也增加了加工和制造IC的复杂性,并且为了实现这些进步,需要IC加工和制造中的类似开发。在一个示例中,实现了先进的光刻图案化技术,以在半导体晶圆上形成各种图案,例如栅极电极和金属线。光刻图案化技术包括在半导体晶圆表面涂覆抗蚀剂材料。
现有的抗蚀剂涂覆方法(例如,旋涂法)在晶圆的所有区域(包括晶圆的边缘)甚至在晶圆背侧表面上形成抗蚀剂材料。在涂覆过程和后续过程(例如,显影)期间,晶圆边缘和背侧表面的抗蚀剂材料会导致各种与污染相关的问题和忧虑,例如污染涂层卡盘或导轨。抗蚀剂材料在晶圆边缘的堆积会干扰晶圆边缘上的图案化稳定性,并在光刻工艺期间导致错误的校平读数。例如,抗蚀剂材料在斜面和背侧上的存在不仅增加了高热点的可能性,而且还可能污染后续加工工具。在其他示例中,现有的涂覆过程在晶圆边缘和斜面上具有高的抗蚀剂残留,这可能引起抗蚀剂剥落,并导致较差的成品率。为解决这些问题,采用或提出了各种方法,例如边缘焊道漂洗(edge bead rinse)、背侧漂洗和附加涂层。然而,不期望出现的隆起是由边缘焊道漂洗和背侧漂洗造成的,这是后续过程中潜在的缺陷源。在其他情况下,附加涂层进一步将污染引入晶圆和光刻系统,或对制造吞吐量具有附加的效率和效果影响。因此,在不存在上述缺点的情况下,可以提供系统及其使用方法。
极紫外光刻(EUVL)已被开发为在半导体晶圆上形成较小的半导体器件特征尺寸并提高器件密度。由于金属具有高的EUV吸收率,含金属光致抗蚀剂已被开发来提供改进的EUVL。来自底层衬底中的含金属光致抗蚀剂的金属吸收会污染底层衬底。期望一种有效的防止半导体器件的金属污染的技术。
发明内容
根据本公开的一个实施例,提供了一种制造半导体器件的方法,包括:在半导体衬底的第一主表面的边缘部分上方形成第一保护层;在所述半导体衬底的所述第一主表面上方形成光致抗蚀剂层;去除所述第一保护层;将所述光致抗蚀剂层选择性地曝光于光化辐射,其中,所述第一保护层由包括以下各项的组合物制成:酸生成剂;以及具有悬挂的不耐酸基团的聚合物,其中,该悬挂的不耐酸基团包括一个或多个极性官能团;具有悬挂的不耐酸基团的聚合物,其中,该悬挂的不耐酸基团包括一个或多个极性开关官能团;具有悬挂的不耐酸基团的聚合物,其中,大于5%的该悬挂的不耐酸基团具有以下结构:
Figure BDA0002253609630000021
其中,R1为C6-C30烷基基团、C6-C30环烷基基团、C6-C30羟烷基基团、C6-C30烷氧基基团、C6-C30烷氧基烷基基团、C6-C30乙酰基基团、C6-C30乙酰烷基基团、C6-C30羧基基团、C6-C30烷基羧基基团、C6-C30环烷基羧基基团、C6-C30饱和或不饱和烃环、或C6-C30杂环基团;R2为C4-C9烷基基团、C4-C9环烷基基团、C4-C9羟烷基基团、C4-C9烷氧基基团、C4-C9烷氧基烷基基团、C4-C9乙酰基基团、C4-C9乙酰烷基基团、C4-C9羧基基团、C4-C9烷基羧基基团、或C4-C9环烷基羧基基团;具有悬挂的不耐酸基团和悬挂的内酯基团的聚合物;或具有悬挂的不耐酸基团和悬挂的羧酸基团的聚合物。
根据本公开的另一实施例,提供了一种形成光致抗蚀剂图案的方法,包括:在衬底上方形成第一保护层;加热所述第一保护层;在所述第一保护层上方形成光致抗蚀剂层;去除所述第一保护层;将所述光致抗蚀剂层选择性地曝光于光化辐射中;在所述衬底上方形成第二保护层;对所述光致抗蚀剂层进行显影以在所述光致抗蚀剂层中形成图案;去除所述第二保护层,其中,所述第一保护层和所述第二保护层由包括以下各项的组合物制成:酸生成剂;以及具有悬挂的不耐酸基团的聚合物,其中,该悬挂的不耐酸基团包括一个或多个极性官能团;具有悬挂的不耐酸基团的聚合物,其中,该悬挂的不耐酸基团包括一个或多个极性开关官能团;具有悬挂的不耐酸基团的聚合物,其中,大于5%的该悬挂的不耐酸基团具有以下结构:
Figure BDA0002253609630000031
其中,R1为C6-C30烷基基团、C6-C30环烷基基团、C6-C30羟烷基基团、C6-C30烷氧基基团、C6-C30烷氧基烷基基团、C6-C30乙酰基基团、C6-C30乙酰烷基基团、C6-C30羧基基团、C6-C30烷基羧基基团、C6-C30环烷基羧基基团、C6-C30饱和或不饱和烃环、或C6-C30杂环基团;R2为C4-C9烷基基团、C4-C9环烷基基团、C4-C9羟烷基基团、C4-C9烷氧基基团、C4-C9烷氧基烷基基团、C4-C9乙酰基基团、C4-C9乙酰烷基基团、C4-C9羧基基团、C4-C9烷基羧基基团、或C4-C9环烷基羧基基团;具有悬挂的不耐酸基团和悬挂的内酯基团的聚合物;或具有悬挂的不耐酸基团和悬挂的羧酸基团的聚合物。
根据本公开的又一实施例,提供了一种晶圆保护组合物,包括:酸生成剂;以及具有悬挂的不耐酸基团的聚合物,其中,所述悬挂的不耐酸基团选自于由以下各项组成的组:
Figure BDA0002253609630000041
其中,R1为C6-C30烷基基团、C6-C30环烷基基团、C6-C30羟烷基基团、C6-C30烷氧基基团、C6-C30烷氧基烷基基团、C6-C30乙酰基基团、C6-C30乙酰烷基基团、C6-C30羧基基团、C6-C30烷基羧基基团、C6-C30环烷基羧基基团、C6-C30饱和或不饱和烃环、或C6-C30杂环基团;R2为C4-C9烷基基团、C4-C9环烷基基团、C4-C9羟烷基基团、C4-C9烷氧基基团、C4-C9烷氧基烷基基团、C4-C9乙酰基基团、C4-C9乙酰烷基基团、C4-C9羧基基团、C4-C9烷基羧基基团、或C4-C9环烷基羧基基团;或具有悬挂的不耐酸基团的聚合物,包括选自于缩醛基团、丙酮基团、以及酸酐基团中的一者或多者的极性开关官能团;或具有悬挂的不耐酸基团的聚合物,包括悬挂的内酯基团,并且所述组合物包括热碱生成剂。
附图说明
当与附图一起阅读时,可以通过以下详细描述来最好地理解本公开。要强调的是,根据行业的标准做法,各种特征并非按比例绘制,而是仅用于说明目的。事实上,为了便于讨论,可以任意增大或减小各种特征的尺寸。
图1示出了根据本公开的实施例的顺序操作的工艺阶段。
图2示出了根据本公开的实施例的顺序操作的工艺阶段。
图3示出了根据本公开的实施例的顺序操作的工艺阶段。
图4示出了根据本公开的实施例的顺序操作的工艺阶段。
图5示出了根据本公开的实施例的工艺流程。
图6示出了根据本公开的实施例的工艺流程。
图7A和图7B示出了根据本公开的实施例的顺序操作的工艺阶段。
图8示出了根据本公开的实施例的顺序操作的工艺阶段。
图9A和图9B示出了根据本公开的实施例的顺序操作的工艺阶段。
图10示出了根据本公开的实施例的顺序操作的工艺阶段。
图11示出了根据本公开的实施例的顺序操作的工艺阶段。
图12示出了根据本公开的实施例的顺序操作的工艺阶段。
图13示出了根据本公开的实施例的顺序操作的工艺阶段。
图14示出了根据本公开的实施例的聚合物组合物。
图15示出了根据本公开的实施例的聚合物组合物。
图16示出了根据本公开的实施例的聚合物组合物。
图17示出了根据本公开的实施例的聚合物树脂。
图18示出了根据本公开的实施例的不耐酸基团(acid-labile group)反应。
图19示出了根据本公开的实施例的层收缩。
具体实施方式
要理解的是,以下公开提供了许多不同的实施例或示例,用于实现本公开的不同特征。下面描述了组件和布置的具体实施例或示例,以简化本公开。当然,这些只是示例,而并不旨在进行限制。例如,元素的尺寸不限于公开的范围或值,而是可能取决于器件的工艺条件和/或期望特性。此外,在下面的描述中,在第二特征上方或上形成第一特征可以包括第一特征和第二特征直接接触形成的实施例,并且还可以包括可以形成插入第一特征和第二特征的附加特征的实施例,使得第一特征和第二特征可能不直接接触。为了简单明了,可以以不同比例任意地绘制各种特征。
此外,为了便于描述,在本文中可以使用空间相关术语,例如“下面”、“下方”、“下”、“上方”、“上”等,以描述如图中所示的一个元素或特征与另一个(多个)元素或特征的关系。除了图中所示的方向之外,空间相关术语还意在包含正在使用或操作的设备的不同方向。器件可以以其他方式定向(旋转90度或在其他定向上),并且本文使用的空间相对描述符也可以相应地被解释。此外,术语“由……组成”可以指的是“包含”或“包括”。
采用金属氧化物形式的含金属光致抗蚀剂在极紫外光致抗蚀剂中使用,因为金属具有对极紫外线辐射的高吸收率。然而,金属会污染半导体衬底。污染尤其是在晶圆的斜面边缘。为了防止污染,在围绕衬底或晶圆外围的斜面上形成保护组合物层。图1示出了分布在衬底10(例如晶圆)的外围15上的保护层组合物20。在施加保护晶圆组合物20期间或之后旋转晶圆10(自旋),从而围绕晶圆的外围15形成保护层25,如图2所示。
随后,如图3所示,在衬底10上分配光致抗蚀剂30以形成光致抗蚀剂层35,并且在沉积光致抗蚀剂层30期间或之后旋转(自旋)衬底10,将光致抗蚀剂跨衬底表面并沿衬底侧面向下扩散,如图4所示。如图4所示,保护层25保护晶圆边缘15不受光致抗蚀剂层35的污染。
常规的保护组合物经历多达30%的薄膜损耗,并在随后的光刻操作中变得多孔。大量的薄膜损耗和空洞的形成为光致抗蚀剂中的金属提供了污染衬底或晶圆边缘的路径。在光刻操作期间,不耐酸基团从保护层中脱离,导致了薄膜的损耗和空洞的形成。
本公开的实施例提供了一种组合物和方法,其中保护层中的聚合物树脂上的不耐酸基团保留在保护层中,即使在光刻处理期间其与聚合物分离后也是如此。
根据本公开的实施例的保护层组合物包括聚合物链,其包括悬挂的不耐酸基团(ALG)。根据聚合物的总重量,该聚合物含有约20wt.%至约70wt.%的悬挂的不耐酸基团。
本公开的实施例包括具有悬挂的不耐酸基团的聚合物树脂的五个实施例。第一实施例是具有包括一个或多个极性官能团的ALG的聚合物。第二实施例是具有包括极性开关官能团的ALG的聚合物。第三实施例是具有包括将ALG连接到主聚合物链的大体积或刚性基团的ALG的聚合物。第四实施例是包括ALG和开环悬挂的不耐酸基团的聚合物。第五实施例是除了ALG之外还含有羧酸悬挂基团的聚合物。
在一些实施例中,在形成保护层之后,执行加热或烘烤操作。在加热操作期间,聚合物与酸或碱反应,该酸或碱由保护层组合物中的酸生成剂或碱生成剂生成。在一些实施例中,酸生成剂是热酸生成剂(TAG)或热碱生成剂(TBG)。在加热过程期间生成的酸或碱使ALG基团分裂。然而,ALG基团仍保留在保护层组合物中,从而与常规保护层组合物相比减少保护层收缩和空隙形成。在本公开的实施例中,光刻处理后的斜面污染小于1×1011原子/cm2,相比之下,不使用本公开的组合物和方法的晶圆中为1×1012到1×1014原子/cm2
图5和6示出了根据本公开的实施例的工艺流程。方法100包括在晶圆10的边缘部分15上形成第一保护层的操作S105(参见图1)。在本实施例中,晶圆10是半导体晶圆,例如硅晶圆。在一些实施例中,晶圆200包括其它基本半导体(例如锗);化合物半导体(例如锗硅、碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟);或其组合。在实施例的进一步描述中,这些半导体材料膜在硅晶圆上外延生长。
晶圆10具有彼此相对的前表面10A和后表面10B,如图1所示。在晶圆10的前表面10A上形成、部分形成、或将形成一个或多个集成电路。因此,晶圆10的前表面10A包括经图案化的材料层或要进行图案化的材料层。例如,前表面10A可以包括各种隔离特征(例如浅沟隔离特征)、各种掺杂特征(例如掺杂阱或掺杂源和漏极特征)、各种器件(例如晶体管、二极管、成像传感器或电阻器)、各种导电特征(例如互连结构的接触部、金属线和/或过孔)、封装材料层(例如接合焊盘和/或钝化层)或其组合。在完全制造的半导体晶圆上,所有上述材料层和图案可存在于半导体晶圆10的前表面10A上。
晶圆10(例如晶圆上的顶部材料层或晶圆的硅衬底)将通过光刻工艺进行图案化。晶圆10包括电路区域12和围绕电路区域12的边缘部分15,如图1所示。电路区域12是晶圆10的区域,其中,集成电路被形成在晶圆的顶表面10A上。在一些实施例中,电路区域12包括将被切割以在制造的后端形成多个集成电路芯片的多个集成电路。在一些实施例中,电路区域12还包括在集成电路芯片之间划线。为了各种测试、监测和制造目的,可以在划线上形成各种测试图案。晶圆10的边缘部分15是没有电路的区域,并且在制造期间部被图案化。边缘部分15包括在前表面10A的边缘处的部分,并且可以进一步包括晶圆的斜表面和背侧表面10B的边缘部分。在操作105中,边缘部分15涂覆有保护层(或第一保护层)25,如图3所示,其限制边缘部分15在其上直接沉积和形成抗蚀剂材料。根据各种实施例,晶圆10的边缘部分15的涂层通过的适当机制来实现。
边缘部分15被选择性地涂覆以在晶圆10的边缘部分15上形成保护层20。保护层20被形成以防止被涂覆在晶圆边缘部分上的抗蚀剂层的污染或缺陷。缺陷包括剥落和不平整。污染包括在EUV光刻工艺中使用的含金属光致抗蚀剂的造成的金属污染。在一些实施例中,选择性涂覆过程包括从分配器22分配保护层涂层20以在晶圆10的边缘部分15上形成保护层25。在一些实施例中,保护层25具有从约50nm到约100nm的厚度,并且沿着晶圆10的边缘具有约1mm到约5mm的宽度。
在操作S110中,在一些实施例中,对保护层20进行加热(或固化)以固化保护层20。在一些实施例中,固化过程是热固化,其中,热酸生成剂(TAG)或热碱生成剂(TBG)被加热到足以触发TAG释放酸或TBG释放碱的温度。在这种考虑中,TAG或TBG被选择,使得释放酸或碱的温度接近随后曝光后烘烤的温度TPEB,例如TPEB+/-20℃。在一些实施例中,第一加热温度在约100℃到约200℃的范围内持续约10秒到约10分钟。在一些实施例中,保护层被加热约30秒至约5分钟。在一些实施例中,第一加热温度在约130℃到170℃之间,并且持续约60秒。在其它实施例中,热固化过程在约40℃至约120℃的温度下进行约10秒至约10分钟。
在一些实施例中,如图7A所示,选择性涂覆机制包括具有特殊设计的阻挡部40。阻挡部40被设计有防止晶圆10的电路区域12被保护层组合物涂覆的形状、尺寸和配置。例如,阻挡部40包括与晶圆10的电路区域12匹配的尺寸并覆盖晶圆10的电路区域12的圆形形状。在一些实施例中,阻挡部40包括向晶圆10向下延伸的侧壁,以防止保护层涂层20到达晶圆10的电路区域12。
在晶圆10的边缘部分15上形成保护层25之后,方法100进行在晶圆10的前表面10A上形成光致抗蚀剂层30的操作S115,如图3和4所示。在电路区域12中的晶圆10的前表面10a上涂覆光致抗蚀剂组合物30,而光致抗蚀剂组合物30被限制在边缘部分15上。在一些实施例中,如图4所示,光致抗蚀剂层35在保护层25上扩散。在一些实施例中,保护层25的厚度约为抗蚀剂层35厚度的0.5倍至3倍。
在形成光致抗蚀剂层35之后,在一些实施例中去除保护层25。通过使用去除保护层25的去除溶液来去除保护层25。在一些实施例中,去除溶液是丙二醇甲醚(PGME)和丙二醇甲醚醋酸酯(PGMEA)的混合物。在一些实施例中,去除溶液包括70%PGME和30%PGMEA,也称为OK73。在一些实施例中,去除对保护层25是选择性的,并且不去除光致抗蚀剂层35,并且随后通过合适的溶剂去除悬挂在保护层上的任何剩余光致抗蚀剂,如图7B所示。在向光致抗蚀剂层35施加辐射曝光之前,去除保护层25,以防止保护层25将污染引入光刻装置。
在一些实施例中,向晶圆10施加清洁溶液以去除污染物,例如来自含金属光致抗蚀剂的金属。清洁溶液被施加于晶圆的背侧10B和/或晶圆的侧面边缘。晶圆被清洁是因为晶圆转移过程(例如,当晶圆10从一个半导体制造工具转移到另一半导体制造工具)可能涉及到与晶圆10的背侧或侧面边缘的物理接触。例如,当晶圆10从半导体制造工具(实施例中的EUV光刻装置)中移出时,半导体制造工具的各种组件可与晶圆10的底部(例如,背侧10B)或侧面部分接触。通过这种接触,金属可以留在半导体制造工具上。如果该半导体制造工具执行的后续过程被认为不含金属,则金属可能是污染物。为了增强清洁的有效性,晶圆10的正面10A也可以任选地清洁。
在一些实施例中,在将光致抗蚀剂层35曝光于辐射之前,在操作S120执行第二加热(预曝光烘烤)以干燥光致抗蚀剂层35。在一些实施例中,光致抗蚀剂层35在约40℃到约120℃的温度下加热约10秒到约10分钟。
图8示出了光致抗蚀剂涂覆的衬底10的一部分,例如晶圆。为了简化对加工的说明,在图8-图9B、图12和图13中示出了晶圆的中心部分,不包括晶圆的边缘部分15。图8示出了半导体衬底10,其上设置有待图案化的层32。在一些实施例中,待图案化的层32是布置在金属化层上的硬掩模层、金属化层或电介质层,例如钝化层。在待图案化的层32是金属化层的实施例中,待图案化的层32使用金属化过程和金属沉积技术(包括化学气相沉积、原子层沉积和物理气相沉积(溅射))由导电材料形成。同样,如果待图案化的层32是电介质层,则待图案化的层32由电介质层形成技术形成,包括热氧化、化学气相沉积、原子层沉积和物理气相沉积。光致抗蚀剂层35被布置在待图案化的层上。在一些实施例中,可选的保护上层42(例如聚硅氧烷层)布置在光致抗蚀剂层35上方。在一些实施例中,可选上层42的厚度足够薄,使得上层42不会对光致抗蚀剂层35的后续辐射曝光产生不利影响。
图9A和9B示出了光致抗蚀剂层35的选择性曝光,以形成曝光区域50和未曝光区域38。在一些实施例中,通过将涂有光致抗蚀剂的衬底置于光刻工具中来进行辐射曝光。光刻工具包括光掩模60/65、光学器件、提供45/97辐射曝光的曝光辐射源、以及在曝光辐射下支撑和移动衬底的活动台。
在一些实施例中,辐射源(未示出)向光致抗蚀剂层35提供辐射45/97,例如紫外线,以引起光致抗蚀剂中的光活性化合物的反应,进而与光致抗蚀剂中的聚合物树脂反应,以化学方式改变辐射45/97冲击到的光致抗蚀剂层35的那些区域。本公开的实施例中使用的光致抗蚀剂随后将在本公开中进一步详细讨论。在一些实施例中,辐射是电磁辐射,例如g线(波长约436nm)、i线(波长约365nm)、紫外辐射、远紫外辐射、极紫外辐射、电子束等。在一些实施例中,辐射源选自于由以下各项组成的组中:汞蒸气灯、氙灯、碳弧灯、KrF准分子激光灯(波长248nm)、ArF准分子激光灯(波长193nm)、F2准分子激光灯(波长157nm)或CO2激光激发Sn等离子体(极紫外光,波长13.5nm)。
在一些实施例中,在光刻工具中使用光学器件(未示出)以在由光掩模60/65图案化辐射45/97之前或之后扩展、反射或以其他方式控制辐射。在一些实施例中,光学器件包括一个或多个透镜、反射镜、滤波器及其组合,以控制沿其路径的辐射45/97。
在实施例中,图案化辐射45/97是波长为13.5nm的极紫外光,光活性化合物(PAC)是光酸生成剂,待分解的基团是悬挂在聚合物的烃主链结构上的羧酸基团。在一些实施例中,使用交联剂。图案化辐射45/97冲击到光酸生成剂,并且光酸生成剂吸收冲击的图案化辐射45/97。这种吸收使光致酸生成剂在光致抗蚀剂层35内生成质子(例如,H+原子)。当质子撞击到烃结构上的羧酸基团时,质子与羧酸基团发生反应,在化学上改变了羧酸基团,并总体上改变了聚合物树脂的性质。然后,在一些实施例中,羧酸基与交联剂反应以与光致抗蚀剂层35的曝光区域内的其他聚合物树脂交联。
在一些实施例中,光致抗蚀剂层35的曝光使用浸入式光刻技术。在这种技术中,将浸没介质(未示出)置于最终光学器件和光致抗蚀剂层之间,并且曝光辐射45通过浸没介质。
如图9A所示,在一些实施例中,曝光辐射45在照射光致抗蚀剂层35之前通过光掩模60。在一些实施例中,光掩模具有要在光致抗蚀剂层35中复制的图案。在一些实施例中,该图案由光掩模衬底62上的不透明图案64形成。不透明图案64可由对紫外辐射不透明的材料(例如铬)形成,而光掩模衬底62由对紫外辐射透明的材料(例如熔融石英)形成。
在一些实施例中,使用极紫外光刻来执行光致抗蚀剂层35的选择性曝光以形成曝光区域50和未曝光区域38。在极紫外光刻操作中,使用反射光掩模65形成经图案化的曝光光,如图9B所示。反射光掩模65包括低热膨胀玻璃衬底70,其上形成Si和Mo的反射多层75。在反射多层75上形成覆盖层80和吸收层85。在低热膨胀衬底70的背侧上形成后导电层90。在极紫外光刻中,极紫外辐射95以约6°的入射角朝向反射光掩模65。极紫外辐射的一部分97由Si/Mo多层75向涂有光致抗蚀剂的衬底10反射,而入射到吸收层85上的极紫外辐射的一部分被光掩模吸收。在一些实施例中,包括反射镜在内的附加光学器件位于反射光掩模65和涂有光致抗蚀剂的衬底之间。
在操作S125之后,在抗蚀剂层35中形成潜在图案。光致抗蚀剂层的潜在图案是指光致抗蚀剂层35中的曝光图案,其最终成为物理抗蚀剂图案,例如通过显影操作。抗蚀剂层35的潜在图案包括未曝光部分38和曝光部分50。在使用带PAG的化学放大(CA)抗蚀剂材料的实施例中,在曝光过程中在曝光部分50中生成酸。在潜在图案中,抗蚀剂层35的曝光部分50发生物理或化学变化。在一些示例中,曝光部分50被解除保护,从而引起用于双音调成像(显影)的极性改变。在其他示例中,曝光部分50在聚合中改变,例如在正色调抗蚀剂中解聚或在负色调抗蚀剂中交联。
在将光致抗蚀剂层曝光于辐射后,如图10所示,在操作S130中,在以下操作(例如在一些实施例中的曝光后烘烤和显影)之前,在衬底10的边缘部分15上方形成第二保护层202。因此,在这些操作期间,由第二保护层202保护衬底10的边缘部分15免受任何污染。第二保护层202在组合物和形成方面与第一保护层25基本相似。例如,首先通过旋涂将保护层组合物涂覆在衬底10的边缘部分15上,然后进行固化以形成聚合物材料作为第二保护层202。与第一保护层25一样,保护层组合物包括聚合物与ALG和TAG或TBG的混合物。
在一些实施例中,在操作S135中执行第三加热。第三加热是曝光后烘烤(PEB)操作和第二保护层固化操作的组合。在PEB操作期间,在光致抗蚀剂层的曝光部分50中生成更多的酸,并且光致抗蚀剂层的曝光部分50在化学上发生改变(例如更亲水或更疏水)。在一些实施例中,PEB使第二保护层中的TAG或TBG分别释放酸或碱。在一些实施例中,第三加热温度在约100℃到约200℃的范围内持续约10秒到约10分钟。在一些实施例中,将第二保护层加热约30秒至约5分钟。在一些实施例中,第三加热在大约130℃到170℃之间的温度下被施加大约60秒。
随后,在操作S140中使用溶剂进行显影,如图11所示。在一些需要正色调显影的实施例中,正色调显影剂(例如碱性水溶液)用于去除曝光于辐射的光致抗蚀剂的区域50。在一些实施例中,正色调显影剂57包括选自于以下各项中的一种或多种材料:四甲基氢氧化铵(TMAH)、四丁基氢氧化铵、氢氧化钠、氢氧化钾、碳酸钠、碳酸氢钠、硅酸钠、偏硅酸钠、氨水、一甲胺、二甲胺、三甲胺、一乙胺、二乙胺、三乙胺、一异丙胺、二异丙胺、三异丙胺、一丁胺、二丁胺、一乙醇胺、二乙醇胺、三乙醇胺、二甲胺乙醇、二乙胺乙醇、氨、苛性钠、苛性钾、偏硅酸钠、偏硅酸钾、碳酸钠、四乙基氢氧化铵、这些化合物的组合等等。
在一些需要负色调显影的实施例中,使用有机溶剂或临界流体来去除光致抗蚀剂的未曝光区域38。在一些实施例中,负色调显影剂57包括选自于以下各项中的一种或多种材料:己烷、庚烷、辛烷、甲苯、二甲苯、二氯甲烷、氯仿、四氯化碳、三氯乙烯等烃类溶剂;临界二氧化碳、甲醇、乙醇、丙醇、丁醇等醇类溶剂;二乙醚;二丙基醚、二丁基醚、乙基乙烯基醚、二氧六环、环氧丙烷、四氢呋喃、溶剂油、甲基纤维素、丁基纤维素、甲基卡比妥、二甘醇单乙醚等醚类溶剂;丙酮、甲乙酮、甲基异丁基酮、异佛尔酮、环己酮等酮类溶剂;乙酸甲酯;乙酸乙酯、乙酸丙酯、乙酸丁酯等酯类溶剂;吡啶、甲酰胺、N,N-二甲基甲酰胺等等。
在一些实施例中,使用自旋过程将显影剂57施加到光致抗蚀剂层35。在自旋过程中,当涂覆衬底旋转时,显影剂57由分配器87从上方施加到光致抗蚀剂层35,如图11所示。在一些实施例中,显影剂57被选择,使得其去除可选的上层42、光致抗蚀剂层35的适当区域和第二保护层202。在一些实施例中,显影剂57以约5ml/min到约800ml/min的速率供应,而涂覆衬底10以约100rpm到约2000rpm的速度旋转。在一些实施例中,显影剂处于约10℃到约80℃之间的温度。在一些实施例中,显影操作持续约30秒到约10分钟。
虽然自旋操作是用于在曝光后显影光致抗蚀剂层35的合适方法之一,但其旨在是说明性的且不是要限制实施例。相反,任何合适的显影操作,包括浸渍过程、搅炼过程和喷雾方法,都可以替代地使用。所有这些显影操作都包括在实施例的范围内。
图6示出了根据本公开的实施例的另一工艺流程。方法145包括在晶圆10的边缘部分15上方形成第一保护层25的操作S150。形成第一保护层25的操作S150与参考图5的操作S105讨论的相同。同样,随后的第一加热操作S155与图5的操作S110相同。在操作S160中,随后以与参考图5的操作S115所讨论的相同的方式在衬底10上方形成光致抗蚀剂层35。在本实施例中,在操作S165中加热光致抗蚀剂层35,随后在操作S170中去除第一保护层。在参照图5的操作S120公开的相同条件下加热光致抗蚀剂,并且以与图5的操作S115相似的方式去除第一保护层25,即使用OK73溶液。在去除第一保护层之后,在操作S175中以与参考图5的操作S125所讨论的相同的方式选择性地将光致抗蚀剂层35曝光于辐射。在操作S180中,第二保护层202随后以与参考图5的操作S130所讨论的相同的方式被形成在衬底的边缘部分15上方,并且在操作S185中以与在图5的操作S135中相同的方式被执行曝光后烘烤。然后,在操作S190中以与图5的操作S140中类似的方式显影光致抗蚀剂层,除了第二保护层202未被显影剂去除。相反,在显影操作S190之后的操作S195中,使用不同的溶剂(例如OK73)去除第二保护层202。
在显影操作S140或第二保护层去除操作S195之后,从经图案化的光致抗蚀剂覆盖的衬底去除剩余的显影剂或保护层去除溶剂。在一些实施例中,使用了旋转干燥过程来去除剩余的显影剂/保护层去除溶剂,虽然可以使用任何合适的去除技术。在一些实施例中,当图案化光致抗蚀剂层处于适当位置时执行附加处理。例如,在一些实施例中,执行使用干法蚀刻或湿法蚀刻的蚀刻操作,以将光致抗蚀剂层35的图案55转移到待图案化的层32,从而形成凹槽55’,如图13所示。待图案化的层32具有不同于光致抗蚀剂层35的抗蚀性。在一些实施例中,与光致抗蚀剂层35相比,蚀刻剂对待图案化的层32更具选择性。
在一些实施例中,待图案化的层32和光致抗蚀剂层35包含至少一个抗蚀刻分子。在一些实施例中,抗蚀刻分子包括具有低Onishi数结构、双键、三键、硅、氮化硅、钛、氮化钛、铝、氧化铝、氮氧化硅、它们的组合等的分子。
在一些实施例中,蚀刻操作包括干法(等离子体)蚀刻、湿法蚀刻和/或其他蚀刻方法。例如,干法蚀刻操作可以实现含氧气体、含氟气体、含氯气体、含溴气体、含碘气体、其他合适的气体和/或等离子体以及/或其组合。在刻蚀硬掩模层期间,经图案化的抗蚀剂层可被部分或完全消耗。在实施例中,经图案化的抗蚀剂层的任何剩余部分可被剥离,从而在晶圆上留下经图案化的硬掩模层。
将参照图14-17更详细地讨论根据本公开的实施例的保护层组合物。
图14示出了根据本公开的聚合物的第一实施例。极性官能团与悬挂在聚合物主链上的不耐酸基团(ALG)相连。极性官能团是以下各项中一者或多者:-OH、=O、-S-、-P-、-P(O2)-、-C(=O)SH、-C(=O)OH、-C(=O)O-、-O-、-N-、-C(=O)NH、-SO2OH、-SO2SH、-SOH、以及-SO2-。如图所示,加热保护层组合物会导致酸生成剂(例如热酸生成剂)释放酸,从而将ALG和极性官能团从聚合物中分离出来。在一些实施例中,该实施例包括将悬挂的不耐酸基团连接到极性官能团的连接基团,其中该连接基团选自于支链和非支链脂肪族基团、支链或非支链芳香族基团、1-9碳环和非环基团,每一个基团都可以选择性地被卤素或氧取代。带极性基团的悬挂的不耐酸基团的示例如图14所示。虽然ALG经历了一个脱保护反应,但是因为极性基团与聚合物相互作用,所以它们不会离开组合物。
图15示出了根据本公开的聚合物的第二实施例。在一些实施例中,极性开关官能团选自于缩醛基团、丙酮基团和酸酐基团中的一种或多种。如图所示,加热保护层组合物会导致酸生成剂(例如热酸生成剂)释放酸,从而将ALG和极性开关官能团从聚合物中分离出来。聚合物的第二实施例还包括将悬挂的不耐酸基团连接到极性开关基团的连接基团,其中该连接基团选自于支链和非支链脂肪族基团、支链或非支链芳香族基团、1-9碳环和非环基团,每一个基团都可以选择性地被卤素或氧取代。极性基团的悬挂的不耐酸基团的示例如图15所示。如图所示,酸脱保护反应生成一种极性反应产物,其与ALG相互作用并抑制ALG脱离组合物。
图16示出了根据本公开的聚合物的第三实施例。大体积或刚性ALG基团悬挂于聚合物主链。大体积或刚性ALG基团具有如下结构:
Figure BDA0002253609630000161
其中,R1为C6-C30烷基基团、C6-C30环烷基基团、C6-C30羟烷基基团、C6-C30烷氧基基团、C6-C30烷氧基烷基基团、C6-C30乙酰基基团、C6-C30乙酰烷基基团、C6-C30羧基基团、C6-C30烷基羧基基团、C6-C30环烷基羧基基团、C6-C30饱和或不饱和烃环、或C6-C30杂环基团;R2为C4-C9烷基基团、C4-C9环烷基基团、C4-C9羟烷基基团、C4-C9烷氧基基团、C4-C9烷氧基烷基基团、C4-C9乙酰基基团、C4-C9乙酰烷基基团、C4-C9羧基基团、C4-C9烷基羧基基团、或C4-C9环烷基羧基基团。示例性的ALG结构如图16所示。在一些实施例中,悬挂于聚合物链上的ALG中有5%以上是公开的大体积或刚性基团。大体积或刚性基团不太可能偏离聚合物组合物。因此,聚合物变得更硬,不太可能形成空隙。
图17示出了根据本公开的聚合物的第四实施例。聚合物包括悬挂的五元和六元悬挂内酯环。聚合物组合物中包括碱生成剂,例如,热碱生成剂。所生成的碱打开内酯环,在碱性溶液中产生可溶解的极性基团,例如四甲基氢氧化铵(TMAH)溶液。在一些实施例中,聚合物包括悬挂的AlG和热酸生成剂。
根据本公开的聚合物的第五实施例包括基于聚合物总重量的20wt.%到70wt.%的悬挂的不耐酸基团和羧酸基团,其中,基于悬挂的不耐酸基团和羧酸基团的总数,悬挂的不耐酸基团和羧酸基团中羧酸基团的百分比在5%到20%的范围内。当羧酸基团大于20%时,聚合物在溶剂中的溶解度差,且涂层性能差。当羧酸基团低于5%时,保护层的收缩和空隙率降低没有得到足够的改善。
图18示出了由酸生成剂和不耐酸基团生成的酸引起的酸脱保护反应。与非极性ALG相比,本公开的实施例的极性离去基团更可能与聚合物组合物保持关联。
在一些实施例中,具有悬挂的ALG或内酯基团的聚合物主链是烃链。在一些实施例中,聚合物是基于聚羟基苯乙烯、聚丙烯酸酯或聚甲基丙烯酸甲酯的聚合物。
根据本公开的实施例的晶圆边缘保护组合物包括酸生成剂和具有悬挂的不耐酸基团的聚合物。悬挂的不耐酸基团是选自于由以下各项组成的组中的一者或多者的极性官能团:
Figure BDA0002253609630000171
在一些实施例中,聚合物包括基于聚合物总重量的20wt.%到70wt.%的悬挂的不耐酸基团。在一些实施例中,该组合物包括连接悬挂的不耐酸基团和极性官能团的连接基团,其中连接基团选自于经取代和未经取代的支链和非支链脂肪族基团、经取代和未经取代的支链和非支链芳香族基团、以及经取代和未经取代的1-9碳环和非环基团。
本公开的另一实施例是包括酸生成剂的组合物;以及具有悬挂的不耐酸基团的聚合物,悬挂的不耐酸基团包括选自于以下各项中的一者或多者的极性官能团:-S-、-P-、-P(O2)-、-C(=O)SH、-N-、-C(=O)NH、-SO2OH、-SO2SH、-SOH、以及-SO2-。在一些实施例中,聚合物包括将悬挂的不耐酸基团连接到一个或多个极性官能团的连接基团,其中该连接基团选自于经取代和未经取代的支链和非支链脂肪族基团、经取代和未经取代的支链和非支链芳香族基团、以及经取代和未经取代的1-9碳环和非环基团。
本公开的另一实施例是一种组合物,包括:酸生成剂;和具有悬挂的不耐酸基团的聚合物,其中不耐酸基团包括选自于缩醛基团、丙酮基团和酸酐基团中的一种或多种的一个或多个极性开关官能团。在一些实施例中,不耐酸基团包括选自于以下各项中的一种或多种:
Figure BDA0002253609630000181
在实施例中,聚合物包括基于聚合物总重量的20wt.%到70wt.%的悬挂的不耐酸基团。在一些实施例中,该组合物包括将悬挂的不耐酸基团连接到极性开关官能团的连接基团,其中连接基团选自于经取代和未经取代的支链和非支链脂肪族基团、经取代和未经取代的支链和非支链芳香族基团、以及经取代和未经取代的1-9碳环和非环基团。
本公开的另一实施例是一种组合物,包括:酸生成剂;和具有悬挂的不耐酸基团的聚合物。超过5%的不耐酸基团包括
Figure BDA0002253609630000182
其中,R1为C6-C30烷基基团、C6-C30环烷基基团、C6-C30羟烷基基团、C6-C30烷氧基基团、C6-C30烷氧基烷基基团、C6-C30乙酰基基团、C6-C30乙酰烷基基团、C6-C30羧基基团、C6-C30烷基羧基基团、C6-C30环烷基羧基基团、C6-C30饱和或不饱和烃环、或C6-C30杂环基团;R2为C4-C9烷基基团、C4-C9环烷基基团、C4-C9羟烷基基团、C4-C9烷氧基基团、C4-C9烷氧基烷基基团、C4-C9乙酰基基团、C4-C9乙酰烷基基团、C4-C9羧基基团、C4-C9烷基羧基基团、或C4-C9环烷基羧基基团。在实施例中,聚合物包括基于聚合物总重量的20wt.%到70wt.%的悬挂的不耐酸基团。在一些实施例中,悬挂的不耐酸基团选自于由以下各项组成的组:
Figure BDA0002253609630000191
本公开的另一实施例是一种组合物,包括碱生成剂和具有内酯基团的聚合物。在一些实施例中,聚合物包括悬挂的不耐酸基团,组合物包括酸生成剂。在一些实施例中,聚合物包括基于聚合物总重量的从20wt.%到70wt.%的悬挂的不耐酸基团和悬挂的内酯基团。在一些实施例中,碱生成剂是热碱生成剂。在一些实施例中,悬挂的内酯基团是五元或六元环。在一些实施例中,悬挂的内酯基团选自于:
Figure BDA0002253609630000192
在一些实施例中,悬挂的内酯基团是γ-丁内酯。在一些实施例中,热碱生成剂(TBG)是选自于由以下各项组成的组中的一种或多种:
Figure BDA0002253609630000201
在一些实施例中,热碱生成剂(TBG)是N-(对硝基苯基)-2,6-二甲基哌啶(NDP)。
本公开的另一实施例是一种组合物,包括:热酸生成剂;和具有悬挂的不耐酸基团和悬挂的羧酸基团的聚合物。悬挂的不耐酸基团和悬挂的羧酸基团中有5%-20%为羧酸基团。在一些实施例中,聚合物包含基于聚合物总重量的从20wt.%到70wt.%的悬挂的不耐酸基团和羧酸基团。
在保护层组合物的一些实施例中,酸生成剂是热酸生成剂(TAG)。在一些实施例中,TAG是选自于由以下各项组成的组中的一种或多种:
Figure BDA0002253609630000211
在一些实施例中,TAG选自于NH4 +C4F9SO3 -和NH4 +CF3SO3 -
在一些实施例中,保护层组合物包括一种溶剂。在一些实施例中,该溶剂是合适的水溶剂或有机溶剂。在一些实施例中,溶剂选自于酮、醇、多醇、醚、乙二醇醚、环醚、芳香烃、酯、丙酸盐、乳酸盐、乳酸酯、烷基乙二醇单烷基醚、烷基乳酸盐、烷基烷氧基丙酸盐、环内酯、含有环的单酮化合物、亚烷基碳酸盐、烷氧基乙酸乙酯、烷基丙酮酸盐、乳酸酯、乙二醇烷基醚乙酸酯、二甘醇、丙二醇烷基醚乙酸酯、烷基乙二醇烷基醚酯、烷基乙二醇单烷基酯等。
保护层组合物的溶剂的具体示例包括丙酮、甲醇、乙醇、甲苯、二甲苯、4-羟基-4-甲基-2-戊酮、四氢呋喃、甲基乙基酮、环己酮、甲基异戊酮、2-庚酮、乙二醇、乙二醇单醋酸盐、乙二醇二甲醚、乙二醇二甲醚、乙二醇甲基乙醚、乙二醇单乙醚、乙二醇乙醚、乙二醇乙醚、二甘醇、二甘醇单乙醚、二甘醇二乙醚、二甘醇二甲醚、二甘醇乙醚、二甘醇单乙醚、二甘醇单丁醚、2-羟基丙酸乙酯、2-羟基-2-甲基丙酸甲酯、2-羟基-2-甲基丙酸乙酯、乙氧基乙酸乙酯、羟乙酸乙酯、2-羟基-2-甲基丁酸甲酯、3-甲氧基丙酸甲酯、3-甲氧基丙酸乙酯、3-乙氧基丙酸甲酯、3-乙氧基丙酸乙酯、乙酸甲酯、乙酸乙酯、乙酸丙酯、乙酸丁酯、乳酸甲酯、乳酸乙酯、乳酸丙酯、乳酸丁酯、丙二醇、丙二醇单乙酸酯、丙二醇单乙醚醋酸酯、丙二醇单乙醚醋酸酯、丙二醇单丙醚醋酸酯、丙二醇单丁醚醋酸酯、丙二醇单丁醚醋酸酯、丙二醇单乙醚丙酸酯、丙二醇单乙醚丙酸酯、丙二醇甲醚醋酸酯、丙二醇乙醚醋酸酯、乙二醇单乙醚醋酸酯、乙二醇单乙醚醋酸酯、丙二醇单甲醚、丙二醇单乙醚、丙二醇单丙醚、丙二醇单丁醚、乙二醇单乙醚、乙二醇单乙醚、3-乙氧基丙酸乙酯、3-甲氧基丙酸甲酯、3-乙氧基丙酸甲酯、3-甲氧基丙酸乙酯、β-丙内酯、β-丁内酯、γ-丁内酯、α-甲基-γ-丁内酯、β-甲基-γ-丁内酯、γ-戊烷内酯、γ-己内酯、γ-辛酸内酯、α-羟基-γ-丁内酯、2-丁酮、3-甲基丁酮、品那克隆、2-戊酮、3-戊酮、4-甲基-2-戊酮、2-甲基-3-戊酮、4,4-二甲基-2-戊酮、2,4-二甲基-3-戊酮、2,2,4、4-四甲基-3-戊酮、2-己酮、3-己酮、5-甲基-3-己酮、2-庚酮、3-庚酮、4-庚酮、2-甲基-3-庚酮、5-甲基-3-庚酮、2,6-二甲基-4-庚酮、2-辛酮、3-辛酮、2-壬酮、3-壬酮、5-壬酮、2-癸酮、3-癸酮、4-癸酮、5-己烯-2-酮、3-戊烯-2-酮、环戊酮、2-甲基环戊酮、3-甲基环戊酮、2,2-二甲基环戊酮、2,4,4-三甲基环戊酮、环己酮、3-甲基环己酮、4-甲基环己酮、4-乙基环己酮、2,2-二甲基环己酮、2,6-二甲基环己酮、2,2,6-三甲基环己酮、环庚酮、2-甲基环庚酮、3-甲基环庚酮、碳酸丙烯酯、碳酸乙烯酯、碳酸乙烯酯、碳酸丁烯酯、乙酸-2-甲氧基乙基、乙酸-2-乙氧基乙基、乙酸-2-(2-乙氧基乙氧基)乙基、乙酸-3-甲氧基-3-甲基丁基、乙酸-1-甲氧基-2-丙基、二丙二醇、单甲醚、单乙醚、单丙醚、单丁醚、单苯醚、二丙二醇单醋酸酯、二氧六环、丙酮酸甲酯、丙酮酸乙酯、丙酮酸丙酯丙酯丙酯丙酸甲酯乙氧基丙酸乙酯、N-甲基吡咯烷酮(NMP)、2-甲氧基乙醚(二聚体)、乙二醇甲醚、丙二醇甲醚、丙酸甲酯、丙酸乙酯、乙氧基丙酸乙酯、甲基乙基酮、环己酮、2-庚酮、环戊酮、环己酮、3-乙氧基丙酸乙酯、丙二醇甲醚乙酸酯(PGMEA)、亚甲基纤维素、2-乙氧基乙醇、N-甲基甲酰胺、N,N-二甲基甲酰胺、N-甲基甲酰胺、N-甲基乙酰胺、N,N-二甲基乙酰胺、二甲基亚砜、苄基乙醚、二己基醚、丙酮丙酮、异佛尔酮、己酸、辛酸、1-辛醇、1-壬醇、苯甲醇、乙酸苄酯、苯甲酸乙酯、草酸二乙酯、马来酸二乙酯、乙酸苯乙二醇酯等等。
在一些实施例中,在显影操作期间,保护层存在于衬底的边缘部分上。如图19所示,本公开的保护层具有比常规保护层更小的收缩。如图所示,示例性实施例中的总收缩约为20%,而常规保护层呈现约30%的收缩。如图19所示,大部分收缩(12%)发生在显影前的曝光后烘烤期间。图19还示出了负色调显影(NTD)和正色调显影后的层厚度。
在一些实施例中,衬底包括在至少其表面部分上的单晶半导体层。衬底可以包括单晶半导体材料,例如但不限于Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb和InP。在一些实施例中,衬底是SOI(绝缘体上硅)衬底的硅层。在某些实施例中,衬底由晶体Si制成。在某些实施例中,衬底是硅晶圆。
衬底可以在其表面区域中包括一个或多个缓冲层(未示出)。缓冲层可用于将晶格常数从衬底的晶格常数逐渐改变为随后形成的源极/漏极区域的晶格常数。缓冲层可以由外延生长的单晶半导体材料形成,例如,但不限于Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP和InP。在实施例中,硅锗(SiGe)缓冲层外延生长在硅衬底上。SiGe缓冲层的锗浓度可以从最底层缓冲层的30原子%增加到最顶层缓冲层的70原子%。
在一些实施例中,衬底包括至少一种公式MXa的金属、金属合金和金属/氮化物/硫化物/氧化物/硅化物,其中M为金属,X为N、S、Se、O、Si,并且a为约0.4至约2.5。在一些实施例中,衬底包括钛、铝、钴、钌、氮化钛、氮化钨、氮化钽以及它们的组合。
在一些实施例中,衬底包括电介质层,该电介质层至少具有公式MXb的硅、金属氧化物和金属氮化物,其中M是金属或Si,X是N或O,并且b的范围为约0.4至约2.5。在一些实施例中,Ti、Al、Hf、Zr和La是合适的金属M。在一些实施例中,衬底包括二氧化硅、氮化硅、氧化铝、氧化铪、氧化镧以及它们的组合。
光致抗蚀剂层是通过曝光于光化辐射而形成的感光层。通常,受入射辐射影响的光致抗蚀剂区域的化学性质以一种取决于所用光致抗蚀剂类型的方式变化。抗蚀剂是正色调还是负色调可能取决于用于显影抗蚀剂的显影剂类型。例如,当显影剂是水基显影剂,例如四甲基氢氧化铵(TMAH)溶液时,一些正色调光致抗蚀剂提供正图案(即显影剂去除的曝光区域)。另一方面,当显影剂是有机溶剂时,同一光致抗蚀剂提供负图案(即显影剂去除的未曝光区域)。此外,在用TMAH溶液显影的一些负色调光致抗蚀剂中,光致抗蚀剂的未曝光区域被TMAH去除,并且光致抗蚀剂的曝光区域在曝光于光化辐射时发生交联,在显影后保留在衬底上。
在一些实施例中,根据本公开的光致抗蚀剂包括聚合物树脂以及溶剂中的一种或多种光活性化合物(PAC)。在一些实施例中,聚合物树脂包括烃结构(例如脂环烃结构),其包含一个或多个将在与PAC生成的酸、碱或自由基混合时分解(例如不耐酸基团)或以其他方式反应的基团(如下所述)。在一些实施例中,烃结构包括形成聚合物树脂骨架的重复单元。该重复单元可包括丙烯酸酯、甲基丙烯酸酯、巴豆酸酯、乙烯基酯、马来酸酯、富马酸二酯、衣康酸二酯、(甲基)丙烯腈、(甲基)丙烯酰胺、苯乙烯、乙烯基醚、它们的组合等。
在一些实施例中用于烃化合物结构的重复单元的特定结构包括以下各项中的一种或多种:丙烯酸甲酯、丙烯酸乙酯、丙烯酸正丙酯、丙烯酸异丙酯、丙烯酸正丁酯、丙烯酸异丁酯、丙烯酸叔丁酯、丙烯酸正己酯、丙烯酸2-乙基己酯、丙烯酸乙氧基乙酯、丙烯酸苯酯、丙烯酸2-羟乙基酯、丙烯酸2-甲氧基乙酯、丙烯酸2-乙氧基乙酯、丙烯酸2-(2-甲氧基乙氧基)乙酯、丙烯酸环己酯、丙烯酸苄酯、丙烯酸2-烷基-2-金刚烷基(甲基)酯或丙烯酸二烷基(1-金刚烷基)甲基(甲基)酯、甲基丙烯酸甲酯、甲基丙烯酸乙酯、甲基丙烯酸正丙酯、甲基丙烯酸异丙酯、甲基丙烯酸正丁酯、甲基丙烯酸异丁酯、甲基丙烯酸叔丁酯、甲基丙烯酸正己酯、甲基丙烯酸2-乙基己酯、甲基丙烯酸乙氧基乙酯、甲基丙烯酸苯基酯、甲基丙烯酸2-羟乙基酯、甲基丙烯酸2-甲氧基乙酯、甲基丙烯酸2-乙氧基乙酯、甲基丙烯酸2-(2-甲氧基乙氧基)乙基酯、甲基丙烯酸环己酯、甲基丙烯酸苄酯、3-氯-2-羟丙基甲基丙烯酸酯、3-乙酰氧基-2-羟丙基甲基丙烯酸酯、3-氯乙酰氧基-2-羟丙基甲基丙烯酸酯、丁基巴豆酸盐、丁基巴豆酸盐等。乙烯基酯的实例包括乙酸乙烯酯、丙酸乙烯酯、丁酸乙烯酯、甲氧基乙酸乙烯酯、苯甲酸乙烯酯、马来酸二甲酯、马来酸二乙酯、马来酸二丁酯、富马酸二甲酯、富马酸二丁酯、衣康酸二甲酯、衣康酸二乙酯、衣康酸二丁酯、丙烯酰胺、丙烯酰胺甲酯、丙烯酰胺乙酯、丙烯酰胺、正丁基丙烯酰胺、叔丁基丙烯酰胺、环己基丙烯酰胺、2-甲氧基乙基丙烯酰胺、二甲基丙烯酰胺、二乙基丙烯酰胺、苯基丙烯酰胺、苄基丙烯酰胺、甲基丙烯酰胺、甲基丙烯酰胺、乙基丙烯酰胺、甲基丙烯酰胺、正丁基丙烯酰胺、甲基丙烯酰胺、环己基甲基丙烯酰胺、2-甲氧基乙基甲基丙烯酰胺、二甲基丙烯酰胺、二乙基甲基丙烯酰胺、苯基甲基丙烯酰胺、苄基甲基丙烯酰胺、甲基乙烯基醚、丁基乙烯基醚、己基乙烯基醚、甲氧基乙基乙烯基醚、二甲氨基乙基乙烯基醚等。苯乙烯的实例包括苯乙烯、甲基苯乙烯、二甲基苯乙烯、三甲基苯乙烯、乙基苯乙烯、异丙基苯乙烯、丁基苯乙烯、甲氧基苯乙烯、丁氧基苯乙烯、乙酰氧基苯乙烯、氯苯乙烯、二氯苯乙烯、溴苯乙烯、苯甲酸乙烯甲酯、α-甲基苯乙烯、马来酰亚胺、乙烯基吡啶、乙烯基吡咯烷酮、乙烯基咔唑、它们的组合等等。
在一些实施例中,为了形成脂环烃结构,烃结构的重复单元还具有取代到其中的单环或多环烃结构,或者单环或多环烃结构是重复单元。一些实施例中的单环结构的具体示例包括双环烷烃、三环烷烃、四环烷烃、环戊烷、环己烷等。一些实施例中的多环结构的具体示例包括金刚烷、降冰片烷、异冰片烷、三环癸烷、四环十二烷等等。
将分解的基团(又称为离去基团)(或者在PAC是光酸生成剂的一些实施例中,不耐酸基团)被附着在烃化合物结构上,以便其在曝光期间与PAC生成的酸/碱/自由基反应。在一些实施例中,将分解的基团是羧酸基、氟化醇基、酚醇基、磺酸基、磺酰胺基、磺酰亚胺基、(烷基磺酰基)(烷基羰基)亚甲基基、(烷基磺酰基)(烷基羰基)亚胺基、双(烷基羰基)亚甲基,双(烷基羰基)亚胺基、双(烷基磺酰基)亚甲基、双(烷基磺酰基)亚胺基、三(烷基羰基亚甲基)、三(烷基磺酰基)亚甲基、它们的组合等。用于氟化醇基团的特定基团包括氟化羟烷基基团,例如在一些实施例中的六氟异丙醇基团。用于羧酸基团的特定基团包括丙烯酸基团、甲基丙烯酸基团等。
在一些实施例中,聚合物树脂还包括附着到烃结构上的有助于改善可聚合树脂的各种性质的其他基团。例如,将内酯基团包含到烃化合物结构中有助于减少光致抗蚀剂显影后的线条边缘粗糙度,从而有助于减少显影过程中出现的缺陷数量。在一些实施例中,内酯基包括具有五元至七元的环,尽管任何合适的内酯结构可以替代地用于内酯基团。
在一些实施例中,聚合物树脂包括有助于增加光致抗蚀剂层对底层结构(例如,衬底)的粘附性的基团。极性基团可以帮助增加粘附性。合适的极性基团包括羟基、氰基等,尽管也可以使用任何合适的极性基团。
任选地,聚合物树脂包括一个或多个脂环烃结构,该脂环烃结构也不包含基团,在一些实施例中该脂环烃结构将分解。在一些实施例中,不包含将分解的基团的烃结构包括诸如1-金刚烷基(甲基)丙烯酸酯、三环癸基(甲基)丙烯酸酯、环己基(甲基丙烯酸酯)等结构、它们的组合等。
另外,光致抗蚀剂的一些实施例包括一个或多个光活性化合物(PAC)。PAC是光活性组合物,例如光酸生成剂、光碱生成剂、自由基生成剂等。PAC可以是正作用的,也可以是负作用的。在PAC是光酸生成剂的一些实施例中,PAC包括卤化三嗪、卤盐、重氮盐、芳香重氮盐、磷盐、锍盐、碘化物盐、酰亚胺磺酸盐、肟磺酸盐、重氮二硫酮、二硫酮、邻硝基苯磺酸盐、磺化酯;卤代磺酰氧基二甲酰胺、重氮二硫酮、α-氰氧胺磺酸盐、咪唑磺酸盐、酮二氮杂砜、磺基重氮酯、1,2-二(芳基磺酰基)肼、硝基苯酯和S-三嗪衍生物、它们的组合等。
光酸生成剂的具体示例包括α-(三氟甲基磺酰基氧基)-双环[2.2.1]庚-5-烯-2,3-二氨基-O-希米特(MDT)、N-羟基萘酰亚胺(DDSN)、苯甲酰甲苯磺酸酯、叔丁基苯基-α-(对甲苯磺酰氧基)-乙酸和叔丁基-α-(对甲苯磺酰氧基)-乙酸盐、三芳基锍和二芳基碘六氟锑酸盐、六氟砷酸盐、三氟甲烷磺酸盐、全氟辛烷磺酸碘铵、N-樟脑磺酰氧基萘酰亚胺、N-五氟苯基磺酰氧基萘酰亚胺、离子型碘铵磺酸盐,例如二芳基碘铵(烷基或芳基)磺酸盐和双(二叔丁基苯基)碘铵樟脑磺酸盐、全氟烷烃磺酸盐,例如全氟戊烷磺酸盐、全氟辛烷磺酸盐、全氟甲基磺酸盐、芳基(例如苯基或苄基)三氟醚,例如三苯基三氟磺酸或双(叔丁基苯基)三碘酸盐;邻苯三酚衍生物(例如邻苯三酚的三甲磺酸酯)、羟酰亚胺的三氟甲烷磺酸盐、α,α’-双磺酰重氮甲烷、硝基取代苯甲醇的磺酸盐、萘醌-4-二肼、烷基二砜等。
根据本公开的实施例的光酸生成剂的结构包括:
Figure BDA0002253609630000271
在其中PAC是自由基生成剂的一些实施例中,PAC包括n-苯甘氨酸;芳香酮,包括二苯甲酮、N,N’-四甲基-4,4’-二氨基苯甲酮、N,N’-四乙基-4,4’-二氨基苯甲酮、4-甲氧基-4’-二甲氨基苯甲酮、3,3’-二甲基-4-甲氧基二苯甲酮、p,p’-双(二甲氨基)二苯甲酮、p,p’-双(二乙氨基)-二苯甲酮;蒽醌,2-乙基蒽醌;萘醌;和菲蒽醌;苯甲酸类,包括苯甲酸、苯二甲醚、苯二异丙基醚、苯甲酸正丁酯、苯甲酸苯醚、甲基苯甲酸和乙基苯甲酸;苄基衍生物,包括二苄基、苄基二苯基二硫醚和苄基二甲基酮;吖啶衍生物,包括9-苯基吖啶和1,7-双(9-吖啶基)庚烷;硫黄嘌呤,包括2-氯硫黄嘌呤、2-甲基硫黄嘌呤、2,4-二乙基硫黄嘌呤、2,4-二甲基硫黄嘌呤和2-异丙基硫黄嘌呤;苯乙酮,包括1,1-二氯苯乙酮、对叔丁基二氯苯乙酮、2,2-二乙氧基苯乙酮,2,2-二甲氧基-2-苯基苯乙酮和2,2-二氯-4-苯氧基苯乙酮;2,4,5-三芳基咪唑二聚体,包括2-(o-氯苯基)-4,5-二苯基咪唑二聚体、2-(o-氯苯基)-4,5-二-(间-甲氧基苯基咪唑二聚体、2-(o-氟苯基)-4,5-二苯基咪唑二聚体、2-(o-甲氧基苯基)-4,5-二苯基咪唑二聚体、2-(对-甲氧基苯基)-4,5-二苯基咪唑二聚体、2,4-二(对甲氧基苯基)-5-苯基咪唑二聚体、2-(2,4-二甲氧基苯基)-4,5-二苯基咪唑二聚体和2-(对甲基巯基苯基)-4,5-二苯基咪唑二聚体;它们的组合等等。
在PACS是光碱生成剂的一些实施例中,PAC包括季铵二硫代氨基甲酸酯、α-氨基酮、含肟氨基甲酸酯的分子,例如二苯甲酮肟六亚甲基二脲、四硼酸铵盐和N-(2-硝基苯氧羰基)环胺、它们的组合等。
如本领域普通技术人员将认识到的,本文所列出的化合物仅旨在作为PAC的说明性示例,且不旨在将实施例仅限于那些具体描述的PAC。相反,可以使用任何合适的PAC,并且所有这样的PAC完全包括在本实施例的范围内。
在一些实施例中,向光致抗蚀剂中添加交联剂。交联剂与聚合物树脂中一种烃化合物结构的一个基团反应,也与另一种烃化合物结构的另一个基团反应,以便将两种烃化合物结构交联并键合在一起。这种键合和交联增加了交联反应的聚合物产物的分子量,并增加了光致抗蚀剂的整体交联密度。密度和连接密度的增加有助于改善抗蚀剂的图案。
在一些实施例中,交联剂具有以下结构:
Figure BDA0002253609630000281
其中C为碳,n的范围为1至15;A和B独立地包括氢原子、羟基、卤化物、芳香碳环或碳数在1至12之间的直链或环烷基、烷氧基/氟、烷基/氟烷氧基链,且每个碳C包含A和B;碳C链第一端的第一端子碳C包括X,碳链第二端的第二端子碳C包括Y,其中X和Y独立地包括胺基、硫醇基、羟基、异丙醇基或异丙基胺基,除非n=1,否则X和Y键合在同一碳C上。可用作交联剂的材料的具体示例包括以下各项:
Figure BDA0002253609630000291
替代地,代替将交联剂添加到光致抗蚀剂组合物中或除此之外,在一些实施例中添加了偶联剂,在这些实施例中,除了交联剂之外,还添加了偶联剂。偶联剂通过在交联剂之前与聚合物树脂中烃化合物结构上的基团反应来辅助交联反应,使得交联反应的反应能降低且反应速率增加。然后,键合的偶联剂与交联剂反应,从而将交联剂偶联到聚合物树脂上。
替代地,在不使用交联剂而将偶联剂添加到光致抗蚀剂的一些实施例中,偶联剂用于将聚合物树脂中一种烃结构中的一个基团偶联到另一种烃结构中的另一个基团,以便将两种聚合物交联并键合在一起。然而,在这样的实施例中,偶联剂不同于交联剂,它不作为聚合物的一部分保留,并且只协助将一种烃结构直接键合到另一种烃结构。
在一些实施例中,偶联剂具有以下结构:
Figure BDA0002253609630000301
其中,R是碳原子、氮原子、硫原子或氧原子;M包括氯原子、溴原子、碘原子、--NO2;--SO3-;--H--;--CN;--NCO;--OCN;--CO2-;--OH;--OR*;--OC(O)CR*;--SR;--SO2N(R*)2;--SO2R*;SOR;--OC(O)R*;--C(O)OR*;--C(O)R*;--Si(OR*)3;--Si(R*)3;环氧基等;R*是经取代或未经取代的C1-C12烷基、C1-C12芳基、C1-C12芳烷基等。在一些实施例中用作偶联剂的材料的具体示例包括以下各项:
Figure BDA0002253609630000302
光致抗蚀剂的一些实施例是含金属光致抗蚀剂。在一些实施例中,含金属光致抗蚀剂形成含金属光致抗蚀剂层。在一些实施例中,含金属光致抗蚀剂中的金属包括Cs、Ba、La、Ce、In、Sn或Ag中的一种或多种。
在一些实施例中,含金属光致抗蚀剂包括金属氧化物纳米颗粒。在一些实施例中,金属氧化物纳米颗粒选自于由以下各项组成的组:二氧化钛、氧化锌、二氧化锆、氧化镍、氧化钴、氧化锰、铜氧化物、氧化铁、钛酸锶、氧化钨、氧化钒、氧化铬、氧化锡、氧化铪、氧化铟、氧化镉、氧化钼、氧化钽、氧化铌、氧化铝及它们的组合。如本文所使用的,纳米粒子是平均粒径在1到10纳米之间的粒子。在一些实施例中,金属氧化物纳米颗粒的平均粒径在2至5纳米之间。在一些实施例中,基于光致抗蚀剂组合物的总重量,光致抗蚀剂组合物中的金属氧化物纳米颗粒的量的范围为约1wt.%至约10wt.%。在一些实施例中,低于1wt.%的金属氧化物纳米颗粒浓度提供过薄的光致抗蚀剂层,并且大于约10wt.%的金属氧化物纳米颗粒浓度提供了一种光致抗蚀剂组合物,其过于粘稠,并且难以在衬底上提供均匀厚度的光致抗蚀剂涂层。
在一些实施例中,金属氧化物纳米颗粒与羧酸或磺酸配体络合。例如,在一些实施例中,氧化锆或氧化铪纳米颗粒与甲基丙烯酸络合,形成甲基丙烯酸铪(HfMAA)或甲基丙烯酸锆(ZrMAA)。在一些实施例中,HfMAA或ZrMAA以约5wt.%至约10wt.%的重量范围溶解于涂层溶剂中,例如丙二醇甲醚醋酸酯(PGMEA)。在一些实施例中,基于光致抗蚀剂组合物的总重量的约1wt.%至约10wt.%的光活性化合物(PAC)形成金属氧化物抗蚀剂。
在一些实施例中,光致抗蚀剂层是三层光致抗蚀剂。三层光致抗蚀剂包括底层(也称为下层)、中间层和顶层(顶层也可称为感光层)。在一些实施例中,底层包括CxHyOz材料,中间层包括SiCxHyOz材料,顶层包括CxHyOz材料。在一些实施例中,底层的CxHyOz材料与顶层的CxHyOz材料是相同的材料,并且在其他实施例中是不同的材料。顶层还包括光活性化合物,例如光酸生成剂(PAG)。这使得可以执行光刻处理来对顶层进行图案化。在一些实施例中,顶层由光刻工艺来图案化,光刻工艺可以包括一种或多种曝光、烘烤、显影和冲洗工艺(不一定按此顺序执行)。光刻工艺将顶层图案化成光致抗蚀剂掩模,该掩模可具有一个或多个沟槽或开口,使中间层曝光在其下方。在一些实施例中,然后使用光致抗蚀剂掩模蚀刻中间层以形成图案化的中间层,并且然后使用图案化的中间层蚀刻底层以形成图案化的底层。然后,图案化的底层用于图案化下方的各个层。在光致抗蚀剂层是三层光致抗蚀剂的实施例中,含金属的材料位于底层、中间层、顶层中的任一层中或所有这些层中。
在一些实施例中,基于干燥溶剂后含金属光致抗蚀剂层的总重量,含金属光致抗蚀剂层中的金属浓度在10wt.%到50wt.%范围内。
在一些实施例中,不耐酸基团(ALG)通过光酸生成剂生成的酸的作用进行分解,在聚合物树脂链上留下悬挂的羧酸基团,如ALG脱保护反应所示:
Figure BDA0002253609630000321
在一些实施例中,光致抗蚀剂包括保护聚合物,其在施加到待图案化的层或衬底时形成保护层。在一些实施例中,保护聚合物具有悬挂的氟碳基团和悬挂的不耐酸基团。在实施例中,具有悬挂的氟碳基团和悬挂的不耐酸基团的聚合物的主链是聚羟基苯乙烯、聚丙烯酸酯或由1到10碳单体形成的聚合物。在实施例中,具有悬挂的氟碳基团和悬挂的不耐酸基团的聚合物包括约0.1wt.%到约10wt.%的一个或多个极性官能团,极性官能团选自基于具有氟碳基团的聚合物的总重量的由-OH、-NH3、-NH2和-SO3组成的基团。
光致抗蚀剂的各个组分被置于溶剂中,以帮助光致抗蚀剂的混合和分配。为了帮助光致抗蚀剂的混合和分配,溶剂至少部分地基于针对聚合物树脂和PAC所选择的材料来进行选择。在一些实施例中,溶剂的选择使得聚合物树脂和PAC可以均匀地溶解到溶剂中,并分配在待图案化的层上。
在一些实施例中,溶剂是有机溶剂,并且包括任何合适溶剂中的一种或多种,例如酮、醇、多醇、醚、乙二醇醚、环醚、芳香烃、酯、丙酸盐、乳酸盐、烷基乙二醇单烷基醚、烷基乳酸盐、烷基烷氧基丙酸盐、环内酯,含有环的单酮化合物、碳酸亚烷基酯、乙酸烷氧酯、丙酮酸烷基酯、乳酸酯、乙二醇烷基醚乙酸酯、二甘醇、丙二醇烷基醚乙酸酯、乙二醇烷基醚酯、乙二醇单烷基酯等等。
可用作光致抗蚀剂溶剂的材料的具体示例包括丙酮、甲醇、乙醇、甲苯、二甲苯、4-羟基-4-甲基-2-戊酮、四氢呋喃、甲基乙基酮、环己酮、甲基异戊酮、2-庚酮、乙二醇、乙二醇单醋酸酯、乙二醇二甲醚、乙二醇二甲醚、乙二醇甲基乙醚、乙二醇单乙醚、乙二醇乙醚、乙二醇乙醚、二甘醇、二甘醇单乙醚、二甘醇二乙醚、二甘醇二甲醚、二甘醇乙醚、二甘醇单乙醚、二甘醇单丁醚、2-羟基丙酸乙酯、2-羟基-2-甲基丙酸甲酯、2-羟基-2-甲基丙酸乙酯、乙氧基乙酸乙酯、羟乙酸乙酯、2-羟基-2-甲基丁酸甲酯、3-甲氧基丙酸甲酯、3-甲氧基丙酸乙酯、3-乙氧基丙酸甲酯、3-乙氧基丙酸乙酯、乙酸甲酯、乙酸乙酯、乙酸丙酯、乙酸丁酯、乳酸甲酯、乳酸乙酯、乳酸丙酯、乳酸丁酯、丙二醇、丙二醇单乙酸酯、丙二醇单乙醚醋酸酯、丙二醇单乙醚醋酸酯、丙二醇单丙醚醋酸酯、丙二醇单丁醚醋酸酯、丙二醇单丁醚醋酸酯、丙二醇单乙醚丙酸酯、丙二醇单乙醚丙酸酯、丙二醇甲醚醋酸酯、丙二醇乙醚醋酸酯、乙二醇单乙醚醋酸酯、乙二醇单乙醚醋酸酯、丙二醇单甲醚、丙二醇单乙醚、丙二醇单丙醚、丙二醇单丁醚、乙二醇单乙醚、乙二醇单乙醚、3-乙氧基丙酸乙酯、3-甲氧基丙酸甲酯、3-乙氧基丙酸甲酯、3-甲氧基丙酸乙酯、β-丙内酯、β-丁内酯、γ-丁内酯、α-甲基-γ-丁内酯、β-甲基-γ-丁内酯、γ-戊烷内酯、γ-己内酯、γ-辛酸内酯、α-羟基-γ-丁内酯、2-丁酮、3-甲基丁酮、品那克隆、2-戊酮、3-戊酮、4-甲基-2-戊酮、2-甲基-3-戊酮、4,4-二甲基-2-戊酮、2,4-二甲基-3-戊酮、2,2,4、4-四甲基-3-戊酮、2-己酮、3-己酮、5-甲基-3-己酮、2-庚酮、3-庚酮、4-庚酮、2-甲基-3-庚酮、5-甲基-3-庚酮、2,6-二甲基-4-庚酮、2-辛酮、3-辛酮、2-壬酮、3-壬酮、5-壬酮、2-癸酮、3-癸酮、4-癸酮、5-己烯-2-酮、3-戊烯-2-酮、环戊酮、2-甲基环戊酮、3-甲基环戊酮、2,2-二甲基环戊酮、2,4,4-三甲基环戊酮、环己酮、3-甲基环己酮、4-甲基环己酮、4-乙基环己酮、2,2-二甲基环己酮、2,6-二甲基环己酮、2,2,6-三甲基环己酮、环庚酮、2-甲基环庚酮、3-甲基环庚酮、碳酸丙烯酯、碳酸乙烯酯、碳酸乙烯酯、碳酸丁烯酯、乙酸-2-甲氧基乙基、乙酸-2-乙氧基乙基、乙酸-2-(2-乙氧基乙氧基)乙基、乙酸-3-甲氧基-3-甲基丁基、乙酸-1-甲氧基-2-丙基、二丙二醇、单甲醚、单乙醚、单丙醚、单丁醚、单苯醚、二丙二醇单醋酸酯、二氧六环、丙酮酸甲酯、丙酮酸乙酯、丙酮酸丙酯丙酯丙酯丙酸甲酯乙氧基丙酸乙酯、N-甲基吡咯烷酮(NMP)、2-甲氧基乙醚(二聚体)、乙二醇甲醚、丙二醇甲醚、丙酸甲酯、丙酸乙酯、乙氧基丙酸乙酯、甲基乙基酮、环己酮、2-庚酮、环戊酮、环己酮、3-乙氧基丙酸乙酯、丙二醇甲醚乙酸酯(PGMEA)、亚甲基纤维素、2-乙氧基乙醇、N-甲基甲酰胺、N,N-二甲基甲酰胺、N-甲基甲酰胺、N-甲基乙酰胺、N,N-二甲基乙酰胺、二甲基亚砜、苄基乙醚、二己基醚、丙酮丙酮、异佛尔酮、己酸、辛酸、1-辛醇、1-壬醇、苯甲醇、乙酸苄酯、苯甲酸乙酯、草酸二乙酯、马来酸二乙酯、乙酸苯乙二醇酯等。
如本领域普通技术人员将认识到的,以上所列出和描述为可用于光致抗蚀剂的溶剂组分的材料的示例的材料仅仅是说明性的,并不旨在限制实施例。相反,可以使用溶解聚合物树脂和PAC的任何合适材料来帮助混合和施加光致抗蚀剂。所有这些材料完全包括在实施例的范围内。
另外,虽然上述材料中的单个材料可用作光致抗蚀剂和保护性聚合物的溶剂,但在其他实施例中,使用上述材料中的多个。例如,在一些实施例中,溶剂包括所描述的两种或两种以上材料的组合混合物。所有这些组合完全包括在实施例的范围内。
除了聚合物树脂、PAC、溶剂、交联剂和偶联剂之外,光致抗蚀剂的一些实施例还包括许多其他添加剂,这些添加剂有助于光致抗蚀剂获得高分辨率。例如,光致抗蚀剂的一些实施例还包括表面活性剂,以帮助提高光致抗蚀剂在其上涂覆表面的能力。在一些实施例中,表面活性剂包括非离子表面活性剂、含氟化脂肪基的聚合物、含有至少一个氟原子和/或至少一个硅原子的表面活性剂、聚氧乙烯烷基醚、聚氧乙烯烷基芳基醚、聚氧乙烯聚氧乙烯丙烯嵌段共聚物、山梨醇酐脂肪酸酯、聚氧乙烯山梨醇酐脂肪酸酯。
在一些实施例中,用作表面活性剂的材料的具体示例包括聚氧乙烯十二烷基醚、聚氧乙烯硬脂醚、聚氧乙烯十六烷基醚、聚氧乙烯油酸醚、聚氧乙烯辛基酚醚、聚氧乙烯壬基酚醚、山梨醇酐单月桂酸酯、山梨醇酐单棕榈酸酯、山梨醇酐单硬脂酸酯、山梨醇酐单油酸酯、山梨醇酐三硬脂酸酯、聚氧乙烯山梨醇酐单月桂酸酯、聚氧乙烯山梨醇酐单硬脂酸酯、聚氧乙烯山梨醇酐单硬脂酸酯、聚氧乙烯山梨醇酐三硬脂酸酯、聚氧乙烯山梨醇酐三硬脂酸酯、聚乙二醇二硬脂酸酯、聚乙二醇二月桂酸酯、聚乙二醇、聚丙烯乙二醇、聚氧乙烯基醚、聚氧乙烯十六烷基醚、含氟阳离子表面活性剂、含氟非离子表面活性剂、含氟阴离子表面活性剂、阳离子表面活性剂和阴离子表面活性剂、聚乙二醇、聚丙烯乙二醇、聚氧乙烯十六烷基醚、它们的组合等等。
添加到光致抗蚀剂组合物和保护层组合物的一些实施例中的另一添加剂是淬火剂,其抑制生成的酸/碱/自由基在光致抗蚀剂中的扩散。淬火剂改善了抗蚀剂的图案配置以及光致抗蚀剂随着时间的稳定性。在实施例中,淬火剂是胺,例如第二低脂肪胺、第三低脂肪胺等。胺的具体示例包括三甲胺、二乙胺、三乙胺、二正丙胺、三正丙胺、三戊胺、二乙醇胺和三乙醇胺、烷醇胺、以及它们的组合等。
淬火剂的一些实施例包括:
Figure BDA0002253609630000351
在一些实施例中,淬火剂是光分解碱。光分解碱的示例如下所示,其中R1是5个或更多碳原子的脂环基团,其可具有取代基,X是二价连接基团,Y是线性、支链或环状的亚烷基基团或亚芳基基团;Rf是含氟原子的烃基基团,M是有机阳离子或金属阳离子:
Figure BDA0002253609630000361
示例:
Figure BDA0002253609630000362
在一些实施例中,有机酸用作淬火剂。有机酸的具体示例包括丙二酸、柠檬酸、苹果酸、琥珀酸、苯甲酸、水杨酸;磷氧酸及其衍生物,例如磷酸及其衍生物,例如磷酸酯、磷酸二正丁酯和磷酸二苯酯;膦酸及其衍生物(诸如其酯),例如膦酸二甲酯、膦酸二正丁酯、苯基膦酸、膦酸二苯酯、膦酸二苯酯;以及膦酸及其衍生物(诸如其酯),包括苯基膦酸。
添加到光致抗蚀剂的一些实施例中的另一种添加剂是稳定剂,其有助于防止光致抗蚀剂曝光期间生成的酸的不期望的扩散。在一些实施例中,稳定剂包括含氮化合物,包括脂肪族伯胺、仲胺和叔胺;环胺,包括哌啶、吡咯烷、吗啉;芳香杂环,包括吡啶、嘧啶、嘌呤;亚胺,包括二氮杂二环戊烯、胍、酰亚胺、酰胺等。替代地,在一些实施方案中,铵盐也可用于稳定剂,包括铵,伯、仲、叔、季烷基醇铵盐和芳基醇铵盐,包括氢氧化物、酚类、羧酸盐、芳基和烷基磺酸盐、磺酰胺等。在一些实施例中使用其它阳离子氮化合物(包括吡啶盐和其它杂环含氮化合物)与阴离子的盐(例如醇,包括氢氧化物、酚类、羧酸盐、芳基和烷基磺酸盐、磺酰胺等)。
在光致抗蚀剂的一些实施例中的另一种添加剂是溶解抑制剂,其有助于在显影期间控制光致抗蚀剂的溶解。在实施例中,胆盐酯可用作溶解抑制剂。一些实施例中溶解抑制剂的具体示例包括胆酸、脱氧胆酸、石胆酸、脱氧胆酸叔丁酯、石胆酸叔丁酯和3-乙酰石胆酸叔丁酯。
光致抗蚀剂的一些实施例中的另一种添加剂是增塑剂。增塑剂可用于减少光致抗蚀剂与底层(例如,待图案化层)之间的分层和开裂。增塑剂包括单体、低聚物和聚合物增塑剂,例如低聚和聚乙二醇醚、环脂肪酸酯和非酸性反应性甾体衍生材料。在一些实施例中用于增塑剂的材料的具体示例包括邻苯二甲酸二辛酯、邻苯二甲酸二癸酯、三甘醇二丙酯、磷酸三苯酯、己二酸二辛酯、癸二酸二丁酯、三乙酰甘油等。
光致抗蚀剂的一些实施例中包括的另一种添加剂是着色剂。着色剂观察员检查光致抗蚀剂,并且发现任何缺陷,则在可能需要补救之前,进行进一步处理。在一些实施例中,着色剂是三芳基甲烷染料或细颗粒有机颜料。在一些实施方案中的材料的具体实例包括结晶紫、甲基紫、乙基紫、油蓝#603、维多利亚纯蓝BOH、孔雀石绿、金刚石绿、酞菁颜料、偶氮颜料、炭黑、氧化钛、亮绿色染料(C.I.42020)、维多利亚纯蓝FGA(Linebrow),Victoria Bo(Linebrow)(C.I.42595)、Victoria Blue Bo(C.I.44045)、罗丹明6G(C.I.45160)、二苯甲酮化合物,例如2,4-二羟基二苯甲酮和2,2',4,4'-四羟基二苯甲酮;水杨酸化合物,例如水杨酸苯酯和4-叔丁基苯基水杨酸盐;苯丙烯酸酯化合物,例如乙基-2-氰基-3,3-二苯丙烯酸酯,以及2'-乙基己基-2-氰基-3,3-二苯丙烯酸酯;苯并三唑化合物,例如2-(2-羟基-5-甲基苯基)-2H苯并三唑和2-(3-叔丁基-2-羟基-5-甲基苯基)-5-氯-2H-苯并三唑;香豆素化合物,例如4-甲基-7-二乙氨基-1-苯并吡喃-2-酮;硫杂酮化合物,例如二乙基硫杂酮;二苯乙烯化合物,萘类化合物、偶氮染料、酞菁蓝、酞菁绿、碘绿、维多利亚蓝、结晶紫、氧化钛、萘黑、明目甲基紫、溴酚蓝和溴甲酚绿;激光染料如罗丹明G6、香豆素500、DCM(4-(二氰基甲基)-2-甲基-6-(4-二甲氨基苯乙烯基)-4H-吡喃))、Kiton红620、吡咯烷580等等。另外,一种或多种着色剂可组合使用以提供所需的着色剂。
在光致抗蚀剂的一些实施例中添加粘合添加剂,以促进光致抗蚀剂与已施加光致抗蚀剂的底层(例如,待图案化层)之间的粘合。在一些实施例中,粘合添加剂包括具有至少一个反应取代基(例如羧基、甲基丙烯酰基、异氰酸酯基和/或环氧基)的硅烷化合物。粘合剂组分的具体示例包括三甲氧基硅基苯甲酸、γ-甲基丙烯氧基丙基三甲氧基硅烷、乙烯基三乙酰氧基硅烷、乙烯基三甲氧基硅烷、γ-异氰酸酯基丙基三乙氧基硅烷、γ-缩水甘油基丙基三甲氧基硅烷、β-(3,4-环氧环己基)乙基三甲氧基硅烷、苯并咪唑和聚苯并咪唑、低羟烷基取代吡啶衍生物、氮杂环化合物、尿素、硫脲、有机磷化合物、8-氧喹啉、4-羟基蝶啶及其衍生物、1,10-菲咯啉及其衍生物、2,2'-联吡啶及其衍生物、苯并三唑、有机磷化合物、苯二胺化合物、2-氨基-1-苯乙醇、N-苯乙醇胺、N-乙基二乙醇胺、N-乙基乙醇胺及其衍生物、苯并噻唑和具有环己基环和吗啉环的苯并噻唑胺盐、3-缩水甘油基丙基三甲氧基硅烷、3-缩水甘油基丙基三乙氧基硅烷、3-巯基丙基三甲氧基硅烷、3-甲基丙烯酰氧基丙基三甲氧基硅烷、乙烯基三甲氧基硅烷及它们的组合等。
在光致抗蚀剂的一些实施例中添加表面平整剂,以帮助光致抗蚀剂的顶表面平整,从而冲击光不会受到不平整表面的不利修改。在一些实施例中,表面平整剂包括含氟脂肪酸酯、端羟基含氟聚醚、含氟乙二醇聚合物、硅酮、丙烯酸聚合物平整剂、它们的组合等。
在一些实施例中执行附加处理操作以制造半导体器件。在一些实施例中,制造过程包括使用图案化抗蚀剂层作为注入掩模而应用于晶圆的离子注入过程,从而在晶圆中形成各种掺杂特征。
其它实施例包括在上述操作之前、期间或之后的其它操作。在实施例中,该方法包括形成鳍式场效应晶体管(FinFET)结构。在一些实施例中,在半导体衬底上形成多个有源鳍部。这些实施例还包括通过图案化硬掩模的开口蚀刻衬底以在衬底中形成沟槽;用电介质材料填充沟槽;执行化学机械抛光(CMP)过程以形成浅沟槽隔离(STI)特征;以及外延生长或凹陷STI特征,形成鳍状有源区域。在另一实施例中,该方法包括在半导体衬底上形成多个栅极电极的其它操作。该方法还可包括形成栅极间隔体、掺杂的源极/漏极区域、用于栅极/源极/漏极特征的接触部等。在另一实施例中,靶图案将在多层互连结构中被形成为金属线。例如,金属线可形成于衬底的层间电介质(ILD)层中,该层已被蚀刻以形成多个沟槽。沟槽可以填充有导电材料,例如金属;并且导电材料可以使用诸如化学机械平坦化(CMP)的过程来抛光以暴露图案化ILD层,从而在ILD层中形成金属线。以上是可使用本文所述方法制造和/或改进的装置/结构的非限制性示例。
在一些实施例中,半导体衬底10是在IC或其一部分的处理期间制造的中间结构,其可包括逻辑电路、存储器结构、无源组件(例如电阻器、电容器和电感器)和有源组件,例如二极管、场效应晶体管(FET)、金属氧化物半导体场效应晶体管(MOSFET)、互补金属氧化物半导体(CMOS)晶体管、双极型晶体管、高压晶体管、高频晶体管、鳍状FET(FinFET)、其他三维(3D)场效应晶体管、金属氧化物半导体场效应晶体管(MOSFET)、互补金属氧化物半导体(CMOS)晶体管、双极型晶体管、高压晶体管、高频晶体管、其他存储器单元及它们的组合。
本公开的实施例减少了半导体衬底的污染。在一些实施例中,根据本公开的实施例,减少了来自含金属光致抗蚀剂的金属污染。此外,为了减少对半导体衬底的污染,降低了对半导体器件加工工具的污染。减少衬底上的污染,也会减少在加工过程中处理和接触衬底的加工工具的污染。由于保护组合物的ALG基团保留在保护层组合物中,与常规保护层组合物相比,保护层收缩和空隙形成减少。收缩降低和空隙的形成防止污染物破坏保护层和污染底层衬底。因此,通过本公开的实施例,可以更好地保护衬底边缘免受含金属光致抗蚀剂中的金属的污染。在本公开的实施例中,光刻处理后的晶圆边缘污染小于1×1011原子/cm2,而在不使用本公开的组合物和方法的晶圆中则为1×1012至1×1014原子/cm2
本公开的一实施例是制造半导体器件的方法,包括在半导体衬底的第一主表面的边缘部分上方形成第一保护层。在半导体衬底的第一主表面上方形成光致抗蚀剂层。去除第一保护层,并且将光致抗蚀剂层选择性地曝光于光化辐射。第一保护层由以下组合物组成:酸生成剂;和具有悬挂的不耐酸基团的聚合物,其中悬挂的不耐酸基团包括一个或多个极性官能团;具有悬挂的不耐酸基团的聚合物,其中悬挂的不耐酸基团包括一个或多个极性开关官能团;具有悬挂的不耐酸基团的聚合物,其中,大于5%的悬挂的不耐酸基团具有以下结构:
Figure BDA0002253609630000401
其中,R1为C6-C30烷基基团、C6-C30环烷基基团、C6-C30羟烷基基团、C6-C30烷氧基基团、C6-C30烷氧基烷基基团、C6-C30乙酰基基团、C6-C30乙酰烷基基团、C6-C30羧基基团、C6-C30烷基羧基基团、C6-C30环烷基羧基基团、C6-C30饱和或不饱和烃环、或C6-C30杂环基团;R2为C4-C9烷基基团、C4-C9环烷基基团、C4-C9羟烷基基团、C4-C9烷氧基基团、C4-C9烷氧基烷基基团、C4-C9乙酰基基团、C4-C9乙酰烷基基团、C4-C9羧基基团、C4-C9烷基羧基基团、或C4-C9环烷基羧基基团;具有悬挂的不耐酸基团和悬挂的内酯基团的聚合物;或具有悬挂的不耐酸基团和悬挂的羧酸基团的聚合物。在实施例中,酸生成剂是热酸生成剂。在实施例中,该方法包括:在形成光致抗蚀剂层之前,在100℃到200℃的温度下对第一保护层进行10秒到5分钟的第一加热。在实施例中,光致抗蚀剂是含金属光致抗蚀剂。在实施例中,该方法包括:在选择性地将光致抗蚀剂层曝光于光化辐射后在半导体衬底的第一主表面的边缘部分上形成第二保护层。在实施例中,第二保护层由一种组合物构成,该组合物包括:热酸生成剂;和具有悬挂的不耐酸基团的聚合物,其中不耐酸基团包括一个或多个极性官能团;具有悬挂的不耐酸基团的聚合物,其中不耐酸基团包括一个或多个极性开关官能团;具有悬挂的不耐酸基团的聚合物,其中大于5%的悬挂的不耐酸基团具有以下结构:
Figure BDA0002253609630000411
其中,R1为C6-C30烷基基团、C6-C30环烷基基团、C6-C30羟烷基基团、C6-C30烷氧基基团、C6-C30烷氧基烷基基团、C6-C30乙酰基基团、C6-C30乙酰烷基基团、C6-C30羧基基团、C6-C30烷基羧基基团、C6-C30环烷基羧基基团、C6-C30饱和或不饱和烃环、或C6-C30杂环基团;R2为C4-C9烷基基团、C4-C9环烷基基团、C4-C9羟烷基基团、C4-C9烷氧基基团、C4-C9烷氧基烷基基团、C4-C9乙酰基基团、C4-C9乙酰烷基基团、C4-C9羧基基团、C4-C9烷基羧基基团、或C4-C9环烷基羧基基团;具有悬挂的不耐酸基团和悬挂的内酯基团的聚合物;或具有悬挂的不耐酸基团和悬挂的羧酸基团的聚合物。在实施例中,该方法包括:在100℃到200℃的温度下对选择性曝光的光致抗蚀剂层和第二保护层进行10秒到5分钟的第二加热。在实施例中,该方法包括:对经选择性曝光的光致抗蚀剂层进行显影以形成经图案化的光致抗蚀剂层。在实施例中,该方法包括在对经选择性曝光的光致抗蚀剂层进行显影之后去除第二保护层。在实施例中,该方法包括:在去除第一保护层之前在约40℃和120℃的温度下对第一保护层和光致抗蚀剂层进行约10秒到约10分钟的第三加热。在实施例中,聚合物包括将悬挂的不耐酸基团连接到极性官能团或极性开关官能团的连接基团,其中连接基团选自经取代和未经取代的支链和非支链脂肪族基团、经取代和未经取代的支链和非支链芳香族基团、以及经取代和未经取代的1-9碳环和非环基团。在实施例中,一个或多个极性官能团选自于以下各项中的一种或多种:-OH、=O、-S-、-P-、-P(O2)-、-C(=O)SH、-C(=O)OH、-C(=O)O-、-O-、-N-、-C(=O)NH、-SO2OH、-SO2SH、-SOH和-SO2-。在实施例中,不耐酸基团选自于由以下各项组成的组:
Figure BDA0002253609630000421
在一个实施例中,极性开关官能团选自缩醛基团、丙酮基团和酸酐基团中的一者或多者。在实施例中,悬挂的不耐酸基团选自于由以下各项组成的组:
Figure BDA0002253609630000422
在实施例中,悬挂的不耐酸基团选自于由以下各项组成的组:
Figure BDA0002253609630000423
在实施例中,该组合物还包括碱生成剂。在实施例中,悬挂的内酯基团选自于
Figure BDA0002253609630000431
本公开的另一实施例是形成光致抗蚀剂图案的方法,包括在衬底上方形成第一保护层并加热第一保护层。在第一保护层上方形成光致抗蚀剂层,并去除第一保护层。光致抗蚀剂层选择性地曝光于光化辐射中,并且在衬底上方形成第二保护层。对光致抗蚀剂层进行显影以在光致抗蚀剂层中形成图案,并去除第二保护层。第一保护层和第二保护层由一种组合物构成,该组合物包括:酸生成剂;和一种具有悬挂的不耐酸基团的聚合物,其中悬挂的不耐酸基团包括一个或多个极性官能团;以及具有悬挂的不耐酸基团的聚合物,其中不耐酸基团包括一个或多个极性开关官能团;具有悬挂的不耐酸基团的聚合物,其中大于5%的悬挂的不耐酸基团具有以下结构:
Figure BDA0002253609630000432
其中,R1为C6-C30烷基基团、C6-C30环烷基基团、C6-C30羟烷基基团、C6-C30烷氧基基团、C6-C30烷氧基烷基基团、C6-C30乙酰基基团、C6-C30乙酰烷基基团、C6-C30羧基基团、C6-C30烷基羧基基团、C6-C30环烷基羧基基团、C6-C30饱和或不饱和烃环、或C6-C30杂环基团;R2为C4-C9烷基基团、C4-C9环烷基基团、C4-C9羟烷基基团、C4-C9烷氧基基团、C4-C9烷氧基烷基基团、C4-C9乙酰基基团、C4-C9乙酰烷基基团、C4-C9羧基基团、C4-C9烷基羧基基团、或C4-C9环烷基羧基基团;具有悬挂的不耐酸基团和悬挂的内酯基团的聚合物;或具有悬挂的不耐酸基团和悬挂的羧酸基团的聚合物。在实施例中,围绕衬底的外围形成第一保护层。在实施例中,形成第一保护层包括在衬底的上表面和侧表面上形成第一保护层,并且在形成光致抗蚀剂层之前从除了衬底的外围之外的上表面去除第一保护层。在实施例中,将第一保护层组合物施加于衬底的外围,并且在施加第一保护层组合物之后或当施加第一保护层组合物时旋转衬底。在实施例中,将光致抗蚀剂组合物施加到衬底的中心部分,并且在施加光致抗蚀剂层组合物后或在施加光致抗蚀剂组合物时旋转衬底。在实施例中,该方法包括:在将光致抗蚀剂层选择性地曝光于光化辐射之前加热光致抗蚀剂层。在实施例中,该方法包括在对光致抗蚀剂层进行显影之前加热选择性曝光的光致抗蚀剂层。在实施例中,使用丙二醇甲醚醋酸酯和丙二醇甲醚的混合物去除第一保护层和第二保护层。在实施例中,使用丙二醇甲醚醋酸酯和丙二醇甲醚的混合物去除第一保护层,并且使用不同溶剂去除第二保护层。在实施例中,光致抗蚀剂层包括金属氧化物。在实施例中,光化辐射是极紫外辐射。
本公开的另一实施例包括晶圆保护组合物,包括:酸生成剂;和具有悬挂的不耐酸基团的聚合物。悬挂的不耐酸基团选自于由以下各项组成的组:
Figure BDA0002253609630000441
其中,R1为C6-C30烷基基团、C6-C30环烷基基团、C6-C30羟烷基基团、C6-C30烷氧基基团、C6-C30烷氧基烷基基团、C6-C30乙酰基基团、C6-C30乙酰烷基基团、C6-C30羧基基团、C6-C30烷基羧基基团、C6-C30环烷基羧基基团、C6-C30饱和或不饱和烃环、或C6-C30杂环基团;R2为C4-C9烷基基团、C4-C9环烷基基团、C4-C9羟烷基基团、C4-C9烷氧基基团、C4-C9烷氧基烷基基团、C4-C9乙酰基基团、C4-C9乙酰烷基基团、C4-C9羧基基团、C4-C9烷基羧基基团、或C4-C9环烷基羧基基团;具有悬挂的不耐酸基团的聚合物包括选自于缩醛基团、丙酮基团和酸酐基团中的一种或多种的极性开关官能团;或具有悬挂的不耐酸基团的聚合物包括悬挂的内酯基,并且组合物包括热碱生成剂。在实施例中,聚合物包含基于聚合物总重量的20wt.%到70wt.%的悬挂的不耐酸基团。在实施例中,该组合物还包括溶剂。在实施例中,酸生成剂是热酸生成剂。在实施例中,极性开关官能团选自于:
Figure BDA0002253609630000451
在实施例中,悬挂的不耐酸基团选自于由以下各项组成的组:
Figure BDA0002253609630000452
在实施例中,该组合物还包括碱生成剂。
本公开的另一实施例是一种方法,包括在衬底的上表面和侧表面上方形成第一保护层。从除了衬底的外围之外的衬底上表面去除第一保护层。将第一保护层固化,并且在第一保护层上方形成含金属光致抗蚀剂层。去除第一保护层,并且将光致抗蚀剂层选择性地曝光于辐射。光致抗蚀剂层被显影以在光致抗蚀剂层中形成图案。第一保护层由以下组合物组成:酸生成剂;和具有悬挂的不耐酸基团的聚合物,其中该悬挂的不耐酸基团包括一个或多个极性官能团;具有悬挂的不耐酸基团的聚合物,其中该悬挂的不耐酸基团包括一个或多个极性开关官能团;具有悬挂的不耐酸基团的聚合物,其中大于5%的悬挂的不耐酸基团具有以下结构:
Figure BDA0002253609630000461
其中,R1为C6-C30烷基基团、C6-C30环烷基基团、C6-C30羟烷基基团、C6-C30烷氧基基团、C6-C30烷氧基烷基基团、C6-C30乙酰基基团、C6-C30乙酰烷基基团、C6-C30羧基基团、C6-C30烷基羧基基团、C6-C30环烷基羧基基团、C6-C30饱和或不饱和烃环、或C6-C30杂环基团;R2为C4-C9烷基基团、C4-C9环烷基基团、C4-C9羟烷基基团、C4-C9烷氧基基团、C4-C9烷氧基烷基基团、C4-C9乙酰基基团、C4-C9乙酰烷基基团、C4-C9羧基基团、C4-C9烷基羧基基团、或C4-C9环烷基羧基基团;具有悬挂的不耐酸基团和悬挂的内酯基团的聚合物;或具有悬挂的不耐酸基团和悬挂的羧酸基团的聚合物。在实施例中,该方法包括:在将光致抗蚀剂层选择性地曝光于辐射后在衬底的上表面上方形成第二保护层。在实施例中,该方法包括:在对光致抗蚀剂层进行显影之前加热光致抗蚀剂层和第二保护层。在实施例中,该方法包括:在对光致抗蚀剂层进行显影之后去除第二保护层。在实施例中,该方法包括:使用丙二醇甲醚醋酸酯和丙二醇甲醚的混合物去除第一保护层和第二保护层。在实施例中,使用丙二醇甲醚醋酸酯和丙二醇甲醚的混合物去除第一保护层,并且使用不同溶剂去除第二保护层。在实施例中,该方法包括:在将光致抗蚀剂层选择性地曝光于光化辐射之前加热光致抗蚀剂层。在实施例中,光致抗蚀剂层包括金属氧化物。在实施例中,辐射是极紫外线辐射。在实施例中,该组合物包括热碱生成剂。
本公开的另一实施例是一种组合物,包括:酸生成剂;和具有悬挂的不耐酸基团的聚合物。悬挂的不耐酸基团是选自于由以下各项组成的组中的一个或多个极性官能团:
Figure BDA0002253609630000471
在实施例中,聚合物包括基于聚合物总重量的20wt.%到70wt.%的悬挂的不耐酸基团。在实施例中,该组合物包括溶剂。在实施例中,酸生成剂是热酸生成剂。在实施例中,该组合物包括将悬挂的不耐酸基团连接到极性官能团的连接基团,其中,连接基团选自于经取代和未经取代的支链和非支链脂肪族基团、经取代和未经取代的支链和非支链芳香族基团、以及经取代和未经取代的1-9碳环和非环基团。
本公开的另一实施例是包括酸生成剂的组合物;以及具有悬挂的不耐酸基团的聚合物,悬挂的不耐酸基团包括选自于以下各项中的一种或多种极性官能团:-S-、-P-、-P(O2)-、-C(=O)SH、-N-、-C(=O)NH、-SO2OH、-SO2SH、-SOH和-SO2-。在实施例中,聚合物包括基于聚合物总重量的20wt.%到70wt.%的悬挂的不耐酸基团。在实施例中,该组合物包括溶剂。在实施例中,酸生成剂是热酸生成剂。在实施例中,聚合物包括将悬挂的不耐酸基团连接到一个或多个极性官能团的连接基团,其中,该连接基团选自于经取代和未经取代的支链和非支链脂肪族基团、经取代和未经取代的支链和非支链芳香族基团、以及经取代和未经取代的1-9碳环和非环基团。
本公开的另一实施例是一种组合物,包括:酸生成剂;和具有悬挂的不耐酸基团的聚合物,其中,不耐酸基团包括选自于缩醛基团、丙酮基团和酸酐基团中的一种或多种的一个或多个极性开关官能团。在一些实施例中,不耐酸基团包括选自于以下各项中的一种或多种:
Figure BDA0002253609630000481
在实施例中,聚合物包括基于聚合物总重量的20wt.%到70wt.%的悬挂的不耐酸基团。在实施例中,该组合物包括溶剂。在实施例中,酸生成剂是热酸生成剂。在实施例中,该组合物包括将悬挂的不耐酸基团连接到极性开关官能团的连接基团,其中,连接基团选自于经取代和未经取代的支链和非支链脂肪族基团、经取代和未经取代的支链和非支链芳香族基团、以及经取代和未经取代的1-9碳环和非环基团。
本公开的另一实施例是一种组合物,包括:酸生成剂;和具有悬挂的不耐酸基团的聚合物。超过5%的不耐酸基团包括
Figure BDA0002253609630000482
其中,R1为C6-C30烷基基团、C6-C30环烷基基团、C6-C30羟烷基基团、C6-C30烷氧基基团、C6-C30烷氧基烷基基团、C6-C30乙酰基基团、C6-C30乙酰烷基基团、C6-C30羧基基团、C6-C30烷基羧基基团、C6-C30环烷基羧基基团、C6-C30饱和或不饱和烃环、或C6-C30杂环基团;R2为C4-C9烷基基团、C4-C9环烷基基团、C4-C9羟烷基基团、C4-C9烷氧基基团、C4-C9烷氧基烷基基团、C4-C9乙酰基基团、C4-C9乙酰烷基基团、C4-C9羧基基团、C4-C9烷基羧基基团、或C4-C9环烷基羧基基团。在实施例中,聚合物包括基于聚合物总重量的20wt.%到70wt.%的悬挂的不耐酸基团。在实施例中,该组合物包括溶剂。在实施例中,酸生成剂是热酸生成剂。在实施例中,悬挂的不耐酸基团选自于由以下各项组成的组:
Figure BDA0002253609630000491
本公开的另一实施例是一种组合物,包括碱生成剂和具有悬挂的内酯基团的聚合物。在实施例中,聚合物包括悬挂的不耐酸基团。在实施例中,组合物包括热酸生成剂。在实施例中,聚合物包括基于聚合物总重量的从20wt.%到70wt.%的悬挂的不耐酸基团和悬挂的内酯基团。在实施例中,该组合物包括溶剂。在实施例中,碱生成剂是热碱生成剂。在实施例中,悬挂的内酯基团是五元或六元环。在实施例中,悬挂的内酯基团选自于:
Figure BDA0002253609630000492
本公开的另一实施例是一种组合物,包括:热酸生成剂;和具有悬挂的不耐酸基团和悬挂的羧酸基团的聚合物。悬挂的不耐酸基团和悬挂的羧酸基团中有5%-20%为羧酸基团。在实施例中,聚合物包含基于聚合物总重量的从20wt.%到70wt.%的悬挂的不耐酸基团和羧酸基团。在实施例中,该组合物包括溶剂。
以上概述了若干实施例或示例的特征,以便本领域技术人员可以更好地理解本公开的各个方面。本领域技术人员应当理解,他们可以容易地使用本公开作为设计或修改其他过程和结构的基础,以达到相同的目的和/或实现本文介绍的实施例或示例的相同优点。本领域技术人员还应当认识到,这样的等效结构不会脱离本公开的精神和范围,并且它们可以在不脱离本公开的精神和范围的情况下在本公开中进行各种改变、替换和变更。
示例1是一种制造半导体器件的方法,包括:在半导体衬底的第一主表面的边缘部分上方形成第一保护层;在所述半导体衬底的所述第一主表面上方形成光致抗蚀剂层;去除所述第一保护层;将所述光致抗蚀剂层选择性地曝光于光化辐射,其中,所述第一保护层由包括以下各项的组合物制成:酸生成剂;以及具有悬挂的不耐酸基团的聚合物,其中,该悬挂的不耐酸基团包括一个或多个极性官能团;具有悬挂的不耐酸基团的聚合物,其中,该悬挂的不耐酸基团包括一个或多个极性开关官能团;具有悬挂的不耐酸基团的聚合物,其中,大于5%的该悬挂的不耐酸基团具有以下结构:
Figure BDA0002253609630000501
其中,R1为C6-C30烷基基团、C6-C30环烷基基团、C6-C30羟烷基基团、C6-C30烷氧基基团、C6-C30烷氧基烷基基团、C6-C30乙酰基基团、C6-C30乙酰烷基基团、C6-C30羧基基团、C6-C30烷基羧基基团、C6-C30环烷基羧基基团、C6-C30饱和或不饱和烃环、或C6-C30杂环基团;R2为C4-C9烷基基团、C4-C9环烷基基团、C4-C9羟烷基基团、C4-C9烷氧基基团、C4-C9烷氧基烷基基团、C4-C9乙酰基基团、C4-C9乙酰烷基基团、C4-C9羧基基团、C4-C9烷基羧基基团、或C4-C9环烷基羧基基团;具有悬挂的不耐酸基团和悬挂的内酯基团的聚合物;或具有悬挂的不耐酸基团和悬挂的羧酸基团的聚合物。
示例2是示例1所述的方法,其中,所述酸生成剂是热酸生成剂。
示例3是示例1所述的方法,还包括:在形成所述光致抗蚀剂层之前,在100℃到200℃的温度下对所述第一保护层进行10秒到5分钟的第一加热。
示例4是示例1所述的方法,其中,所述光致抗蚀剂是含金属光致抗蚀剂。
示例5是示例1所述的方法,还包括:在选择性地将所述光致抗蚀剂层曝光于光化辐射后,在所述半导体衬底的所述第一主表面的所述边缘部分上方形成第二保护层。
示例6是示例5所述的方法,其中,所述第二保护层由包括以下各项的组合物制成:热酸生成剂;以及具有悬挂的不耐酸基团的聚合物,其中,该悬挂的不耐酸基团包括一个或多个极性官能团;具有悬挂的不耐酸基团的聚合物,其中,该悬挂的不耐酸基团包括一个或多个极性开关官能团;具有悬挂的不耐酸基团的聚合物,其中,大于5%的该悬挂的不耐酸基团具有以下结构:
Figure BDA0002253609630000511
其中,R1为C6-C30烷基基团、C6-C30环烷基基团、C6-C30羟烷基基团、C6-C30烷氧基基团、C6-C30烷氧基烷基基团、C6-C30乙酰基基团、C6-C30乙酰烷基基团、C6-C30羧基基团、C6-C30烷基羧基基团、C6-C30环烷基羧基基团、C6-C30饱和或不饱和烃环、或C6-C30杂环基团;R2为C4-C9烷基基团、C4-C9环烷基基团、C4-C9羟烷基基团、C4-C9烷氧基基团、C4-C9烷氧基烷基基团、C4-C9乙酰基基团、C4-C9乙酰烷基基团、C4-C9羧基基团、C4-C9烷基羧基基团、或C4-C9环烷基羧基基团;具有悬挂的不耐酸基团和悬挂的内酯基团的聚合物;或具有悬挂的不耐酸基团和悬挂的羧酸基团的聚合物。
示例7是示例6所述的方法,还包括:在100℃到200℃的温度下,对经选择性曝光的光致抗蚀剂层和所述第二保护层进行10秒到5分钟的第二加热。
示例8是示例6所述的方法,还包括:对经选择性曝光的光致抗蚀剂层进行显影以形成经图案化的光致抗蚀剂层。
示例9是示例8所述的方法,还包括:在对经选择性曝光的光致抗蚀剂层进行显影之后去除所述第二保护层。
示例10是示例1所述的方法,还包括:在去除所述第一保护层之前,在约40℃到120℃的温度下对所述第一保护层和所述光致抗蚀剂层进行约10秒到约10分钟的第三加热。
示例11是示例1所述的方法,其中,所述聚合物包括将所述悬挂的不耐酸基团连接到所述极性官能团或所述极性开关官能团的连接基团,其中,所述连接基团选自:经取代和未经取代的支链和非支链脂肪族基团、经取代和未经取代的支链和非支链芳香族基团、以及经取代和未经取代的1-9碳环和非环基团。
示例12是示例1所述的方法,其中,所述一个或多个极性官能团选自于以下各项中的一者或多者:-OH、=O、-S-、-P-、-P(O2)-、-C(=O)SH、-C(=O)OH、-C(=O)O-、-O-、-N-、-C(=O)NH、-SO2OH、-SO2SH、-SOH、以及-SO2-。
示例13是示例1所述的方法,其中,所述悬挂的不耐酸基团选自于由以下各项组成的组:
Figure BDA0002253609630000521
示例14是示例1所述的方法,其中,所述极性开关官能团选自缩醛基团、丙酮基团、以及酸酐基团中的一者或多者。
示例15是示例1所述的方法,其中,所述悬挂的不耐酸基团选自于由以下各项组成的组:
Figure BDA0002253609630000531
示例16是示例1所述的方法,其中,所述悬挂的不耐酸基团选自于由以下各项组成的组:
Figure BDA0002253609630000532
示例17是示例1所述的方法,其中,所述组合物还包括碱生成剂。
示例18是示例17所述的方法,其中,所述悬挂的内酯基团选自于
Figure BDA0002253609630000533
示例19是一种形成光致抗蚀剂图案的方法,包括:在衬底上方形成第一保护层;加热所述第一保护层;在所述第一保护层上方形成光致抗蚀剂层;去除所述第一保护层;将所述光致抗蚀剂层选择性地曝光于光化辐射中;在所述衬底上方形成第二保护层;对所述光致抗蚀剂层进行显影以在所述光致抗蚀剂层中形成图案;去除所述第二保护层,其中,所述第一保护层和所述第二保护层由包括以下各项的组合物制成:酸生成剂;以及具有悬挂的不耐酸基团的聚合物,其中,该悬挂的不耐酸基团包括一个或多个极性官能团;具有悬挂的不耐酸基团的聚合物,其中,该悬挂的不耐酸基团包括一个或多个极性开关官能团;具有悬挂的不耐酸基团的聚合物,其中,大于5%的该悬挂的不耐酸基团具有以下结构:
Figure BDA0002253609630000541
其中,R1为C6-C30烷基基团、C6-C30环烷基基团、C6-C30羟烷基基团、C6-C30烷氧基基团、C6-C30烷氧基烷基基团、C6-C30乙酰基基团、C6-C30乙酰烷基基团、C6-C30羧基基团、C6-C30烷基羧基基团、C6-C30环烷基羧基基团、C6-C30饱和或不饱和烃环、或C6-C30杂环基团;R2为C4-C9烷基基团、C4-C9环烷基基团、C4-C9羟烷基基团、C4-C9烷氧基基团、C4-C9烷氧基烷基基团、C4-C9乙酰基基团、C4-C9乙酰烷基基团、C4-C9羧基基团、C4-C9烷基羧基基团、或C4-C9环烷基羧基基团;具有悬挂的不耐酸基团和悬挂的内酯基团的聚合物;或具有悬挂的不耐酸基团和悬挂的羧酸基团的聚合物。
示例20是一种晶圆保护组合物,包括:酸生成剂;以及具有悬挂的不耐酸基团的聚合物,其中,所述悬挂的不耐酸基团选自于由以下各项组成的组:
Figure BDA0002253609630000551
其中,R1为C6-C30烷基基团、C6-C30环烷基基团、C6-C30羟烷基基团、C6-C30烷氧基基团、C6-C30烷氧基烷基基团、C6-C30乙酰基基团、C6-C30乙酰烷基基团、C6-C30羧基基团、C6-C30烷基羧基基团、C6-C30环烷基羧基基团、C6-C30饱和或不饱和烃环、或C6-C30杂环基团;R2为C4-C9烷基基团、C4-C9环烷基基团、C4-C9羟烷基基团、C4-C9烷氧基基团、C4-C9烷氧基烷基基团、C4-C9乙酰基基团、C4-C9乙酰烷基基团、C4-C9羧基基团、C4-C9烷基羧基基团、或C4-C9环烷基羧基基团;或具有悬挂的不耐酸基团的聚合物,包括选自于缩醛基团、丙酮基团、以及酸酐基团中的一者或多者的极性开关官能团;或具有悬挂的不耐酸基团的聚合物,包括悬挂的内酯基团,并且所述组合物包括热碱生成剂。

Claims (10)

1.一种制造半导体器件的方法,包括:
在半导体衬底的第一主表面的边缘部分上方形成第一保护层;
在所述半导体衬底的所述第一主表面上方形成光致抗蚀剂层;
去除所述第一保护层;
将所述光致抗蚀剂层选择性地曝光于光化辐射,
其中,所述第一保护层由包括以下各项的组合物制成:
酸生成剂;以及
具有悬挂的不耐酸基团的聚合物,其中,该悬挂的不耐酸基团包括一个或多个极性官能团;
具有悬挂的不耐酸基团的聚合物,其中,该悬挂的不耐酸基团包括一个或多个极性开关官能团;
具有悬挂的不耐酸基团的聚合物,其中,大于5%的该悬挂的不耐酸基团具有以下结构:
Figure FDA0002253609620000011
其中,R1为C6-C30烷基基团、C6-C30环烷基基团、C6-C30羟烷基基团、C6-C30烷氧基基团、C6-C30烷氧基烷基基团、C6-C30乙酰基基团、C6-C30乙酰烷基基团、C6-C30羧基基团、C6-C30烷基羧基基团、C6-C30环烷基羧基基团、C6-C30饱和或不饱和烃环、或C6-C30杂环基团;R2为C4-C9烷基基团、C4-C9环烷基基团、C4-C9羟烷基基团、C4-C9烷氧基基团、C4-C9烷氧基烷基基团、C4-C9乙酰基基团、C4-C9乙酰烷基基团、C4-C9羧基基团、C4-C9烷基羧基基团、或C4-C9环烷基羧基基团;
具有悬挂的不耐酸基团和悬挂的内酯基团的聚合物;或
具有悬挂的不耐酸基团和悬挂的羧酸基团的聚合物。
2.根据权利要求1所述的方法,其中,所述酸生成剂是热酸生成剂。
3.根据权利要求1所述的方法,还包括:在形成所述光致抗蚀剂层之前,在100℃到200℃的温度下对所述第一保护层进行10秒到5分钟的第一加热。
4.根据权利要求1所述的方法,其中,所述光致抗蚀剂是含金属光致抗蚀剂。
5.根据权利要求1所述的方法,还包括:在选择性地将所述光致抗蚀剂层曝光于光化辐射后,在所述半导体衬底的所述第一主表面的所述边缘部分上方形成第二保护层。
6.根据权利要求5所述的方法,其中,所述第二保护层由包括以下各项的组合物制成:
热酸生成剂;以及
具有悬挂的不耐酸基团的聚合物,其中,该悬挂的不耐酸基团包括一个或多个极性官能团;
具有悬挂的不耐酸基团的聚合物,其中,该悬挂的不耐酸基团包括一个或多个极性开关官能团;
具有悬挂的不耐酸基团的聚合物,其中,大于5%的该悬挂的不耐酸基团具有以下结构:
Figure FDA0002253609620000021
其中,R1为C6-C30烷基基团、C6-C30环烷基基团、C6-C30羟烷基基团、C6-C30烷氧基基团、C6-C30烷氧基烷基基团、C6-C30乙酰基基团、C6-C30乙酰烷基基团、C6-C30羧基基团、C6-C30烷基羧基基团、C6-C30环烷基羧基基团、C6-C30饱和或不饱和烃环、或C6-C30杂环基团;R2为C4-C9烷基基团、C4-C9环烷基基团、C4-C9羟烷基基团、C4-C9烷氧基基团、C4-C9烷氧基烷基基团、C4-C9乙酰基基团、C4-C9乙酰烷基基团、C4-C9羧基基团、C4-C9烷基羧基基团、或C4-C9环烷基羧基基团;
具有悬挂的不耐酸基团和悬挂的内酯基团的聚合物;或
具有悬挂的不耐酸基团和悬挂的羧酸基团的聚合物。
7.根据权利要求6所述的方法,还包括:在100℃到200℃的温度下,对经选择性曝光的光致抗蚀剂层和所述第二保护层进行10秒到5分钟的第二加热。
8.根据权利要求6所述的方法,还包括:对经选择性曝光的光致抗蚀剂层进行显影以形成经图案化的光致抗蚀剂层。
9.一种形成光致抗蚀剂图案的方法,包括:
在衬底上方形成第一保护层;
加热所述第一保护层;
在所述第一保护层上方形成光致抗蚀剂层;
去除所述第一保护层;
将所述光致抗蚀剂层选择性地曝光于光化辐射中;
在所述衬底上方形成第二保护层;
对所述光致抗蚀剂层进行显影以在所述光致抗蚀剂层中形成图案;
去除所述第二保护层,
其中,所述第一保护层和所述第二保护层由包括以下各项的组合物制成:
酸生成剂;以及
具有悬挂的不耐酸基团的聚合物,其中,该悬挂的不耐酸基团包括一个或多个极性官能团;
具有悬挂的不耐酸基团的聚合物,其中,该悬挂的不耐酸基团包括一个或多个极性开关官能团;
具有悬挂的不耐酸基团的聚合物,其中,大于5%的该悬挂的不耐酸基团具有以下结构:
Figure FDA0002253609620000041
其中,R1为C6-C30烷基基团、C6-C30环烷基基团、C6-C30羟烷基基团、C6-C30烷氧基基团、C6-C30烷氧基烷基基团、C6-C30乙酰基基团、C6-C30乙酰烷基基团、C6-C30羧基基团、C6-C30烷基羧基基团、C6-C30环烷基羧基基团、C6-C30饱和或不饱和烃环、或C6-C30杂环基团;R2为C4-C9烷基基团、C4-C9环烷基基团、C4-C9羟烷基基团、C4-C9烷氧基基团、C4-C9烷氧基烷基基团、C4-C9乙酰基基团、C4-C9乙酰烷基基团、C4-C9羧基基团、C4-C9烷基羧基基团、或C4-C9环烷基羧基基团;
具有悬挂的不耐酸基团和悬挂的内酯基团的聚合物;或
具有悬挂的不耐酸基团和悬挂的羧酸基团的聚合物。
10.一种晶圆保护组合物,包括:
酸生成剂;以及
具有悬挂的不耐酸基团的聚合物,其中,所述悬挂的不耐酸基团选自于由以下各项组成的组:
Figure FDA0002253609620000042
其中,R1为C6-C30烷基基团、C6-C30环烷基基团、C6-C30羟烷基基团、C6-C30烷氧基基团、C6-C30烷氧基烷基基团、C6-C30乙酰基基团、C6-C30乙酰烷基基团、C6-C30羧基基团、C6-C30烷基羧基基团、C6-C30环烷基羧基基团、C6-C30饱和或不饱和烃环、或C6-C30杂环基团;R2为C4-C9烷基基团、C4-C9环烷基基团、C4-C9羟烷基基团、C4-C9烷氧基基团、C4-C9烷氧基烷基基团、C4-C9乙酰基基团、C4-C9乙酰烷基基团、C4-C9羧基基团、C4-C9烷基羧基基团、或C4-C9环烷基羧基基团;或
具有悬挂的不耐酸基团的聚合物,包括选自于缩醛基团、丙酮基团、以及酸酐基团中的一者或多者的极性开关官能团;或
具有悬挂的不耐酸基团的聚合物,包括悬挂的内酯基团,并且所述组合物包括热碱生成剂。
CN201911043986.XA 2018-10-31 2019-10-30 保护组合物和形成光致抗蚀剂图案的方法 Active CN111123643B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862753902P 2018-10-31 2018-10-31
US62/753,902 2018-10-31
US16/655,089 US11605538B2 (en) 2018-10-31 2019-10-16 Protective composition and method of forming photoresist pattern
US16/655,089 2019-10-16

Publications (2)

Publication Number Publication Date
CN111123643A true CN111123643A (zh) 2020-05-08
CN111123643B CN111123643B (zh) 2023-11-07

Family

ID=70327599

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201911043986.XA Active CN111123643B (zh) 2018-10-31 2019-10-30 保护组合物和形成光致抗蚀剂图案的方法

Country Status (5)

Country Link
US (2) US11605538B2 (zh)
KR (2) KR20200068565A (zh)
CN (1) CN111123643B (zh)
DE (1) DE102019128448A1 (zh)
TW (1) TWI716173B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113568271A (zh) * 2020-07-02 2021-10-29 台湾积体电路制造股份有限公司 制造半导体器件的方法和图案形成方法
CN115803112A (zh) * 2020-09-29 2023-03-14 伊鲁米纳公司 用于制造流通池的方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020131427B4 (de) * 2020-05-21 2024-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresistzusammensetzung und Herstellungsverfahren von Photoresiststruktur
US11714355B2 (en) * 2020-06-18 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern
WO2022053890A1 (en) 2020-09-11 2022-03-17 3M Innovative Properties Company Investment casting compositions and methods
US20220291587A1 (en) * 2021-03-10 2022-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6251560B1 (en) * 2000-05-05 2001-06-26 International Business Machines Corporation Photoresist compositions with cyclic olefin polymers having lactone moiety
US20100304297A1 (en) * 2009-05-26 2010-12-02 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US20130130179A1 (en) * 2010-07-14 2013-05-23 Jsr Corporation Polysiloxane composition and pattern-forming method
US20140273521A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US20150132701A1 (en) * 2013-11-08 2015-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist System and Method
US20150160552A1 (en) * 2013-12-06 2015-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and Method
US20150261087A1 (en) * 2014-03-14 2015-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist System and Method
US20170227852A1 (en) * 2016-02-09 2017-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist with Polar-Acid-Labile-Group
US20180039182A1 (en) * 2016-08-05 2018-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US20180046086A1 (en) * 2016-08-12 2018-02-15 Inpria Corporation Methods of reducing metal residue in edge bead region from metal-containing resists
US10073347B1 (en) * 2017-08-24 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor method of protecting wafer from bevel contamination

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US753902A (en) 1903-04-09 1904-03-08 Joseph A Mumford Steam-boiler.
WO2000001684A1 (fr) 1998-07-03 2000-01-13 Nec Corporation Derives de (meth)acrylate porteurs d'une structure lactone, compositions polymeres et photoresists et procede de formation de modeles a l'aide de ceux-ci
KR100574993B1 (ko) 2004-11-19 2006-05-02 삼성전자주식회사 포토레지스트용 탑 코팅 조성물과 이를 이용한포토레지스트 패턴 형성 방법
US8137895B2 (en) 2005-08-09 2012-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for improving photoresist pattern adhesion
JP5910361B2 (ja) 2011-07-14 2016-04-27 信越化学工業株式会社 パターン形成方法及びレジスト組成物
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
JP5650088B2 (ja) 2011-10-11 2015-01-07 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
TWI671343B (zh) 2014-06-27 2019-09-11 日商富士軟片股份有限公司 熱硬化性樹脂組成物、硬化膜、硬化膜的製造方法以及半導體裝置
JP6461179B2 (ja) 2014-09-30 2019-01-30 富士フイルム株式会社 ネガ型パターン形成方法及び電子デバイスの製造方法
KR102310637B1 (ko) 2015-01-12 2021-10-08 삼성전자주식회사 씬너 조성물 및 이를 이용한 반도체 장치의 제조 방법
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
US9857684B2 (en) 2016-03-17 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-containing photoresist for lithography
US9711367B1 (en) 2016-06-01 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor method with wafer edge modification

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6251560B1 (en) * 2000-05-05 2001-06-26 International Business Machines Corporation Photoresist compositions with cyclic olefin polymers having lactone moiety
US20100304297A1 (en) * 2009-05-26 2010-12-02 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US20130130179A1 (en) * 2010-07-14 2013-05-23 Jsr Corporation Polysiloxane composition and pattern-forming method
US20140273521A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US20150132701A1 (en) * 2013-11-08 2015-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist System and Method
US20150160552A1 (en) * 2013-12-06 2015-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and Method
US20150261087A1 (en) * 2014-03-14 2015-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist System and Method
US20170227852A1 (en) * 2016-02-09 2017-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist with Polar-Acid-Labile-Group
US20180039182A1 (en) * 2016-08-05 2018-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US20180046086A1 (en) * 2016-08-12 2018-02-15 Inpria Corporation Methods of reducing metal residue in edge bead region from metal-containing resists
US10073347B1 (en) * 2017-08-24 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor method of protecting wafer from bevel contamination

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113568271A (zh) * 2020-07-02 2021-10-29 台湾积体电路制造股份有限公司 制造半导体器件的方法和图案形成方法
CN115803112A (zh) * 2020-09-29 2023-03-14 伊鲁米纳公司 用于制造流通池的方法

Also Published As

Publication number Publication date
US20230268178A1 (en) 2023-08-24
KR20200068565A (ko) 2020-06-15
US11605538B2 (en) 2023-03-14
CN111123643B (zh) 2023-11-07
TW202024221A (zh) 2020-07-01
TWI716173B (zh) 2021-01-11
US20200135451A1 (en) 2020-04-30
DE102019128448A1 (de) 2020-04-30
KR20230014813A (ko) 2023-01-30

Similar Documents

Publication Publication Date Title
CN111123643B (zh) 保护组合物和形成光致抗蚀剂图案的方法
CN110609443A (zh) 光阻化合物
US20210294212A1 (en) Photoresist composition and method of forming photoresist pattern
US20220351963A1 (en) Cleaning solution and method of cleaning wafer
CN111007695A (zh) 光致抗蚀剂组合物和形成光致抗蚀剂图案的方法
CN110609441A (zh) 形成光阻图案的方法
KR20240028387A (ko) 포토레지스트 현상액 및 포토레지스트 현상 방법
TW202144915A (zh) 在光阻層中形成圖案的方法、製造半導體裝置的方法及光阻組成物
US20210074538A1 (en) Method of manufacturing a semiconductor device
CN113126433A (zh) 光阻剂组成物和制造半导体元件的方法
CN110955112B (zh) 光阻组成物及形成光阻图案的方法
TWI774172B (zh) 製造半導體裝置的方法
TWI777426B (zh) 光阻底層組成物與製造半導體裝置的方法
US20230384679A1 (en) Photoresist under-layer and method of forming photoresist pattern
TW202200636A (zh) 在光阻層中形成圖案的方法、製造半導體裝置的方法以及光阻劑組成物
TW202136913A (zh) 光阻劑組成物與製造半導體裝置的方法
CN113126425A (zh) 形成光致抗蚀剂图案的方法
TW202136327A (zh) 製造半導體裝置的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant