CN111095510B - 非对称结构的尺寸的检测与测量 - Google Patents

非对称结构的尺寸的检测与测量 Download PDF

Info

Publication number
CN111095510B
CN111095510B CN201880057081.5A CN201880057081A CN111095510B CN 111095510 B CN111095510 B CN 111095510B CN 201880057081 A CN201880057081 A CN 201880057081A CN 111095510 B CN111095510 B CN 111095510B
Authority
CN
China
Prior art keywords
values
spectral response
measured
parameters
mueller matrix
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880057081.5A
Other languages
English (en)
Other versions
CN111095510A (zh
Inventor
P·R·阿金斯
戴奇
列-关·里奇·利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN111095510A publication Critical patent/CN111095510A/zh
Application granted granted Critical
Publication of CN111095510B publication Critical patent/CN111095510B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0641Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01DMEASURING NOT SPECIALLY ADAPTED FOR A SPECIFIC VARIABLE; ARRANGEMENTS FOR MEASURING TWO OR MORE VARIABLES NOT COVERED IN A SINGLE OTHER SUBCLASS; TARIFF METERING APPARATUS; MEASURING OR TESTING NOT OTHERWISE PROVIDED FOR
    • G01D21/00Measuring or testing not otherwise provided for
    • G01D21/02Measuring two or more variables by means not covered by a single other subclass
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • G01N2021/213Spectrometric ellipsometry

Abstract

本文中提出用于执行半导体结构的非对称特征的光谱测量的方法及系统。在一个方面中,按两个或更多个方位角执行测量以确保对任意定向的非对称特征的敏感度。在波长上对与对非对称性敏感的一或多个非对角线穆勒矩阵元素相关联的光谱求积分以确定一或多个光谱响应计量。在一些实施例中,在经选择以增加信噪比的一或多个波长子区域上执行所述积分。基于所述光谱响应计量及通过传统基于光谱匹配的技术测量的临界尺寸参数确定特性化非对称特征的参数值。

Description

非对称结构的尺寸的检测与测量
相关申请案的交叉参考
本专利申请案根据35U.S.C.§119规定主张2017年9月27日申请的标题为“非对称结构的尺寸的检测与测量(Detection And Measurement of Dimensions of AsymmetricStructures)”的序列号为62/564,119的美国临时专利申请案的优先权,所述申请案的标的物的全部内容以引用的方式并入本文中。
技术领域
所描述实施例涉及计量系统及方法,且更特定来说,涉及用于半导体结构的改进式测量的方法及系统。
背景技术
半导体装置(例如逻辑及存储器装置)通常通过应用于样品的一系列处理步骤来制造。通过这些处理步骤形成半导体装置的各种特征及多个结构层级。举例来说,光刻尤其是一种涉及在半导体晶片上产生图案的半导体制造工艺。半导体制造工艺的额外实例包含但不限于化学机械抛光、蚀刻、沉积及离子植入。多个半导体装置可经制造在单个半导体晶片上,且接着被分成个别半导体装置。
在半导体制造工艺期间的各个步骤使用计量过程来检测晶片上的缺陷以促成更高良率。光学计量技术提供高处理能力而无样本毁坏的风险的可能性。数种基于光学计量的技术(包含散射测量及反射测量实施方案以及相关联分析算法)通常用来特性化纳米级结构的临界尺寸、膜厚度、组合物、重叠及其它参数。
许多光学计量系统间接测量样品的物理性质。在大多数情况下,经测量光学信号无法用来直接确定所关注的物理性质。
传统上,测量过程包括制定计量模型,所述计量模型试图基于测量目标与特定计量系统的交互的模型预测经测量光学信号。测量模型包含根据所关注测量目标的物理性质(例如,膜厚度、临界尺寸、折射率、光栅节距等)对结构的参数化。另外,所述测量模型包含测量工具本身的参数化(例如,波长、入射角、偏光角等)。举例来说,机器参数是用来特性化计量工具本身的参数。示范性机器参数包含入射角(AOI)、检偏镜角(A0)、偏光器角(P0)、照明波长、数值孔径(NA)等。样品参数是用来特性化样品的几何及材料性质的参数。针对薄膜样品,示范性样品参数包含折射率、电介质函数张量、所有层的标称层厚度、层序列等。
出于测量目的,将机器参数视为已知固定参数且将样品参数或样品参数的子集视为未知浮动参数。通过拟合过程(例如,回归、库匹配等)解析浮动参数,所述拟合过程产生从测量模型导出的理论预测光谱数据与经测量光谱数据之间的最佳拟合。改变未知样品参数且计算模型化光谱并以迭代方式比较模型化光谱与经测量光谱数据,直到确定样品参数值集合,从而导致模型化光谱与经测量光谱之间的紧密匹配。
此传统基于模型的测量方法已应用于描述非对称结构特征及对称结构特征的参数的估计。在一些实例中,通过强调与特定非对角线穆勒元素相关联的匹配的模型化光谱及经测量光谱来改进描述非对称结构特征的参数的估计。此方法在拉贝罗(Rabello)等人的第8,525,993号美国专利中进一步详细描述,所述专利的全文内容以引用方式并入本文中。
不幸的是,在许多情况下,一些所关注参数(尤其描述非对称结构特征的参数)与经测量光谱响应弱相关。在这些情况下,描述非对称结构特征的参数的变化不导致所得光谱的显著变化。此归因于测量模型中的测量噪声及误差两者而增加这些参数的回归值的不确定性。
此外,光谱拟合方法通常涉及实现若干模型参数的最佳拟合。在搜索提供经模拟光谱与经测量光谱之间的最佳匹配的参数集合时改变多个模型参数。此增加最佳拟合的搜索空间的尺寸且通常不强调与经测量光谱响应弱相关的参数,尤其描述非对称结构特征的参数。
另外,所关注参数的基于模型的测量通常基于来自单个入射平面的所关注结构的测量。如果非对称特征沿入射平面铺设,那么所得光谱信号(例如,一或多个非对角线穆勒信号)可对非对称性不敏感。
因此,通常无法通过使用传统技术匹配模型化光谱与经测量光谱来可靠地确定描述非对称结构特征的参数。
总之,特征大小的不断减小及结构特征的增加深度对光学计量系统提出困难要求。针对日益复杂目标,光学计量系统必须以高处理能力满足高精度及准确度要求以保持成本效益。许多所关注结构特征展现非对称性。特定来说,先进存储器结构的制造涉及经常展现非对称性的深孔。在此内容背景中,非对称结构特征的可靠测量已成为光学计量系统的有效性的重要因素。因此,期望克服与非对称结构特征的测量相关联的限制的经改进计量系统及方法。
发明内容
本文中提出用于执行半导体结构的非对称特征的光谱测量的方法及系统。处理经测量光谱以强调由先进半导体结构的制造引起的对称性破坏缺陷的测量。
在一个方面中,基于通过光谱匹配可靠地估计的一或多个临界尺寸参数的值及一或多个光谱响应计量确定描述所关注结构的非对称特征的一或多个几何参数的值。光谱响应计量是从与一或多个非对角线穆勒矩阵元素相关联的光谱导出的标量值。一般来说,光谱响应计量取决于非对称特征及通过光谱匹配可靠地确定的其它临界尺寸。
在一些实施例中,经训练线性模型将非对称性参数与一或多个光谱响应计量及通过光谱匹配可靠地确定的一或多个临界尺寸相关。通过所述经训练模型的回归确定非对称性参数的估计值。
在一些实施例中,经训练神经网络模型将经测量光谱响应计量及临界尺寸参数映射到非对称性参数的值。
在一些实施例中,采用模型组合(例如经训练线性模型及经训练神经网络模型)来估计一或多个非对称性参数的值。
在进一步方面中,按两个或更多个不同方位角执行所关注结构的光谱测量。采用所得测量来估计特性化所述结构的非对称特征的一或多个几何参数。在优选实施例中,按彼此正交的两个方位角执行光谱测量。多个正交测量的组合实现特性化所关注结构的非对称特征及所述非对称特征铺设所沿的轴的几何参数的精确估计。
在另一进一步方面中,通过对与穆勒矩阵的一或多个非对角线元素相关联的经测量光谱求积分来确定光谱响应计量。光谱响应计量是归因于非对称性的光谱响应的标量量度。对经测量光谱求积分减少噪声且增加与穆勒矩阵元素或穆勒矩阵元素组合相关联的信号强度。
在另一进一步方面中,选择与穆勒矩阵的一或多个非对角线元素相关联的一或多个波长子范围。此外,通过跨选定波长子范围对与穆勒矩阵的一或多个非对角线元素相关联的光谱求积分来确定光谱响应计量。在一些实例中,基于可用经测量光谱的选定部分确定光谱响应计量增加光谱响应计量对描述非对称性特征的参数的敏感度。这是通过强调对非对称特征具有最大敏感度的波长及经由积分减轻随机噪声的影响来实现。
在进一步方面中,例如通过用不同常数缩放每一光谱响应计量来不同地加权不同光谱响应计量。以此方式,对特定非对称性参数较敏感的光谱响应计量比对特定非对称性参数不太敏感的光谱响应计量更重地加权。
在又一方面中,将本文中所描述的测量结果作为主动反馈提供给制造工艺工具(例如,光刻工具、蚀刻工具、沉积工具等)。
前文是概述且因此必然包含细节的简化、概括及省略;因此,所属领域的技术人员将明白,所述概述仅是阐释性的且绝不是限制性的。本文中所描述的装置及/或过程的其它方面、发明特征及优点将在本文中所阐述的非限制性详细描述中变得显而易见。
附图说明
图1描绘在一个实施例中用于执行非对称结构特征的光谱测量的计量系统100。
图2描绘包含倾斜孔特征150的图1中所描绘的晶片120的俯视图。
图3描绘图2中所描绘的区段A的横截面视图。
图4描绘包含多个孔区段的图2中所描绘的区段A的截面视图。
图5描绘与穆勒矩阵元素M02及M20之和相关联的光谱响应153的图解。
图6描绘模拟结果的图表,其说明相关联于模拟的实际倾斜角与由本文中所描述的经训练线性模型估计的倾斜角的回归值之间的比较。
图7描绘模拟结果的图表,其说明相关联于图6中所描绘的相同模拟的实际定向角与由本文中所描述的经训练线性模型估计的定向角的回归值之间的比较。
图8描绘模拟结果的图表,其说明实际倾斜角与由神经网络模型及经训练线性模型的组合估计的倾斜角的回归值之间的比较。
图9描绘模拟结果的图表,其说明实际定向角与由神经网络模型及经训练线性模型的组合估计的定向角的回归值之间的比较。
图10描绘模拟结果的图表,其说明实际倾斜角与由经训练神经网络模型估计的倾斜角的回归值之间的比较。
图11描绘示范性所关注高纵横比NAND结构。
图12说明在至少一个新颖方面中执行非对称结构特征的光谱测量的方法200。
具体实施方式
现将详细参考本发明的背景实例及一些实施例,其实例是在附图中说明。
本文中提出用于执行半导体结构的非对称特征的光谱测量的方法及系统。处理经测量光谱以强调由先进半导体结构的制造引起的对称性破坏缺陷的测量。举例来说,控制蚀刻深孔的工艺已成为制造高纵横比存储器结构(例如,三维NAND存储器)中的关键工艺要求。按两个或更多个方位角执行所关注结构的测量以确保对任意定向的非对称特征的敏感度。选择与对非对称性敏感的一或多个非对角线穆勒矩阵元素相关联的光谱以进行进一步分析。将选定光谱进一步细分为经选择以增加信噪比的一或多个波长子区域。在选定波长子区域内对选定光谱求积分以产生与非对角线穆勒矩阵元素相关联的光谱响应计量。基于光谱响应计量及通过传统基于光谱匹配的技术测量的临界尺寸参数确定特性化非对称特征的几何形状的参数值。这些特征个别地或组合地实现具有高处理能力、精度及准确度的高纵横比结构(例如,具有一微米或更大深度的结构)的非对称特征的高处理能力测量。
图1描绘用于执行半导体结构的非对称结构特征的测量的示范性计量系统100。在一些实例中,半导体结构包含至少一个高纵横比(HAR)结构。如图1中所描绘,计量系统100经配置为宽带光谱椭偏仪。然而,一般来说,计量系统100可经配置为光谱反射计、散射计、椭偏仪或其任何组合。
计量系统100包含照明源110,照明源110产生入射于晶片120上的照明光束117。在一些实施例中,照明源110是发射紫外线、可见光及红外线光谱中的照明光的宽带照明源。在一个实施例中,照明源110是激光维持等离子体(LSP)光源(又称激光驱动等离子体源)。LSP光源的泵浦激光可为连续波或脉冲。激光驱动等离子体源可跨从150纳米到2000纳米的整个波长范围产生显著多于氙灯的光子。照明源110可为单个光源或多个宽带或离散波长光源的组合。由照明源110产生的光包含从紫外线到红外线(例如,真空紫外线到中红外线)的连续光谱或连续光谱的部分。一般来说,照明源110可包含超连续激光源、红外线氦氖激光源、弧光灯或任何其它合适光源。
在进一步方面中,一定量的照明光是包含跨越至少500纳米的波长范围的宽带照明光。在一个实例中,宽带照明光包含低于250纳米的波长及高于750纳米的波长。一般来说,宽带照明光包含120纳米与3,000纳米之间的波长。在一些实施例中,可采用包含超过3,000纳米的波长的宽带照明光。
如图1中所描绘,计量系统100包含经配置以将照明光117引导到形成于晶片120上的一或多个结构的照明子系统。所述照明子系统被展示为包含光源110、一或多个光学滤光器111、偏光组件112、场光阑113、孔径光阑114及照明光学器件115。一或多个光学滤光器111用来控制来自所述照明子系统的亮度、光谱输出或两者。在一些实例中,一或多个多区滤光器用作光学滤光器111。偏光组件112产生离开所述照明子系统的所要偏光状态。在一些实施方案中,所述偏光组件是偏光器、补偿器或两者,且可包含任何合适市售偏光组件。所述偏光组件可固定,可旋转到不同固定位置,或连续旋转。尽管图1中所描绘的照明子系统包含一个偏光组件,但所述照明子系统可包含一个以上偏光组件。场光阑113控制所述照明子系统的视场(FOV)且可包含任何合适市售场光阑。孔径光阑114控制所述照明子系统的数值孔径(NA)且可包含任何合适市售孔径光阑。通过照明光学器件115引导来自照明源110的光聚焦于晶片120上的一或多个结构(图1中未展示)上。所述照明子系统可包含光谱椭偏测量、反射测量及散射测量技术中已知的任何类型及布置的光学滤光器111、偏光组件112、场光阑113、孔径光阑114及照明光学器件115。
如所描绘,在图1中,随着照明光束117从照明源110传播到晶片120,所述光束穿过光学滤光器111、偏光组件112、场光阑113、孔径光阑114及照明光学器件115。光束117在测量点116上方照明晶片120的部分。
计量系统100还包含经配置以收集由一或多个结构与入射照明光束117之间的相互作用产生的光的收集光学子系统。由收集光学器件122从测量点116收集收集光束127。收集光127穿过收集光学子系统的收集孔径光阑123、偏光元件124及场光阑125。
收集光学器件122包含用来自形成于晶片120上的一或多个结构收集光的任何合适光学元件。收集孔径光阑123控制所述收集光学子系统的NA。偏光元件124分析所要偏光状态。偏光元件124是偏光器或补偿器。偏光元件124可固定,可旋转到不同固定位置,或连续旋转。尽管图1中所描绘的收集子系统包含一个偏光元件,但所述收集子系统可包含一个以上偏光元件。收集场光阑125控制所述收集子系统的视场。所述收集子系统从晶片120获取光且引导光穿过收集光学器件122及偏光元件124以使其聚焦于收集场光阑125上。在一些实施例中,收集场光阑125用作检测子系统的光谱仪的光谱仪狭缝。然而,收集场光阑125可定位于检测子系统的光谱仪的光谱仪狭缝处或附近。
所述收集子系统可包含光谱椭偏测量、反射测量及散射测量技术中已知的任何类型及布置的收集光学器件122、孔径光阑123、偏光元件124及场光阑125。
在图1中所描绘的实施例中,所述收集光学子系统将光引导到光谱仪126。光谱仪126响应于从由所述照明子系统照明的一或多个结构收集的光而产生输出。在一个实例中,光谱仪126的检测器是对紫外光及可见光(例如,具有190纳米与860纳米之间的波长的光)敏感的电荷耦合装置(CCD)。在其它实例中,光谱仪126的检测器中的一或多者是对红外光(例如,具有950纳米与2500纳米之间的波长的光)敏感的光检测器阵列(PDA)。然而,一般来说,可预期其它检测器技术(例如,位置敏感检测器(PSD)、红外线检测器、光伏打检测器等)。每一检测器将入射光转换成指示入射光的光谱强度的电信号。一般来说,光谱仪126产生指示被测结构对照明光的光谱响应的输出信号170。
计量系统100还包含计算系统130,计算系统130经配置以接收指示所关注结构的经测量光谱响应的信号170且基于经测量光谱响应估计描述经测量结构的非对称特征的一或多个几何参数171的值。
一般来说,所关注结构的经测量光谱响应包含与用来特性化经测量响应的穆勒矩阵表述的元素相关联的光谱。斯托克斯穆勒(Stokes-Mueller)形式描述介质对由偏光激发的响应。方程式(1)说明穆勒矩阵M,其描述通过斯托克斯(Stokes)向量SI特性化的入射光束与通过斯托克斯向量SR特性化的反射光束之间的关系。
SR=MSI (1)
一般来说,斯托克斯向量表示光波的偏光状态。举例来说,方程式(2)说明斯托克斯向量S,其中I是总强度,I0是由相对于垂直于传播方向的平面中的P偏光轴定向成0度角的线性偏光器透射的强度,I45是由相对于垂直于传播方向的平面中的P偏光轴定向成45度角的线性偏光器透射的强度,I-45是由相对于垂直于传播方向的平面中的P偏光轴定向成-45度角的线性偏光器透射的强度,IR是由右圆偏光器透射的强度,且IL是由左圆偏光器透射的强度。括号中的数量在空间及时间上平均化。
Figure GDA0002398174330000071
针对特定光谱测量,编程入射光束的照明强度及偏光性质。因此,与入射光束相关联的斯托克斯向量SI的一些或所有元素是先验已知的。此外,由光谱仪(即,光谱仪126)测量反射光束的强度及偏光性质。因此,测量与反射光束相关联的斯托克斯向量SR的一些或所有元素。因此,分别基于入射光及反射光的已知性质及测量性质解析一些或所有穆勒矩阵元素。方程式[3]说明穆勒矩阵的元素以及其分别与斯托克斯向量SI及SR的已知元素及测量元素的关系。
Figure GDA0002398174330000072
基于方程式[3]中所说明的线性关系,可从斯托克斯向量SI及SR的已知元素直接确定穆勒矩阵的一些或所有元素。是否确定一些或所有穆勒矩阵元素取决于入射光的已知强度及偏光性质以及反射光的测量强度及偏光性质。举例来说,如果偏光器112经配置为旋转偏光器且偏光器124经配置为旋转检偏镜,那么可确定穆勒矩阵的前三行及前三列中的元素。另外,如果在计量系统100的照明路径及收集路径中采用旋转补偿器,那么可确定4×4穆勒矩阵的所有元素。
在进一步方面中,基于模型化光谱响应对与穆勒矩阵的一或多个元素相关联的经测量光谱响应的拟合确定一或多个临界尺寸参数的值。通常,基于跨一或多个穆勒矩阵元素的光谱匹配可靠地估计未描述被测结构的非对称特征的许多临界尺寸参数。然而,即使在采用与穆勒矩阵的特定非对角线元素相关联的光谱匹配的情况下,通常还无法通过光谱匹配可靠地估计描述结构的非对称特征的几何参数。
在一个方面中,基于通过光谱匹配可靠地估计的一或多个临界尺寸参数的值及一或多个光谱响应计量确定描述所关注结构的非对称特征的一或多个几何参数的值。光谱响应计量是从与一或多个非对角线穆勒矩阵元素相关联的光谱导出的标量值。一般来说,光谱响应计量取决于非对称特征及通过光谱匹配可靠地确定的其它临界尺寸。发明人已发现,将经由光谱匹配的临界尺寸参数的估计与非对称性参数值的估计解耦合改进非对称性参数值的测量。在一些实施例中,经训练线性模型将非对称性参数与一或多个光谱响应计量及通过光谱匹配可靠地确定的一或多个临界尺寸相关。通过经训练模型的回归确定非对称性参数的估计值。在一些实施例中,经训练神经网络模型将经测量光谱响应计量及临界尺寸参数映射到非对称性参数的值。在一些实施例中,采用模型组合(例如经训练线性模型及经训练神经网络模型)来估计一或多个非对称性参数的值。一般来说,可采用任何合适模型化方法来估计一或多个非对称性参数的值。
图2描绘制造于晶片120上的非对称孔特征150。如图2中所描绘,X-Y坐标是经附接到晶片120。使X-Y坐标是的X轴与晶片120的刻划道154对准。如图2中所描绘,孔特征150不法向于晶片120的表面。孔特征的偏斜方向相对于晶片120的刻划道154的方向定向成角度OA。类似地,所述孔特征的偏斜方向相对于附接到晶片120的X-Y坐标是的X方向成定向角OA。
图3描绘与图1中所描绘的孔特征150的偏斜方向对准的横截面视图A-A。具体来说,图3描绘紧接于晶片120的表面下方的顶层或层序列120A。如图3中所描绘,孔特征150相对于晶片120的表面法线以角度TA倾斜。在图2及3中所描绘的实例中,非对称孔特征150是由两个非对称性参数描述:定向角OA及倾斜角TA。
图4描绘与图1中所描绘的孔特征150的偏斜方向对准的横截面视图A-A。具体来说,图4描绘紧接于晶片120的表面下方的顶层或层序列120A,及紧接于层120A下方的埋藏层或层序列120B。如图4中所描绘,孔区段150相对于晶片120的表面法线以角度TA1倾斜且孔区段152相对于晶片120的表面法线以角度TA2倾斜。另外,孔区段152相对于孔区段150水平地(即,沿平行于晶片120的表面的方向)移位达重叠偏移OL。在图4中所描绘的实例中,非对称孔区段150及152是由四个非对称性参数描述:定向角OA、倾斜角TA1及TA2、及重叠偏移OL。
通过非限制性实例提供倾斜孔区段150及152。一般来说,许多不同结构可展现非对称特征。此外,可通过不同非对称性参数集合描述相同非对称特征。在一个实例中,还可通过孔的椭圆形状参数化非对称孔特征。
在进一步方面中,按两个或更多个不同方位角执行所关注结构的光谱测量。所得测量用来估计特性化如本文中所描述的所关注结构的非对称特征的一或多个几何参数。在优选实施例中,按彼此正交的两个方位角执行光谱测量。多个正交测量的组合实现特性化所关注结构的非对称特征及所述非对称特征铺设所沿的轴的几何参数的精确估计。举例来说,如果所述非对称特征沿一次测量的入射平面铺设,那么正交测量将对非对称性敏感。
如图1中所描绘,Z轴定向成法向于晶片120的表面。X及Y轴与晶片120的表面共面,且因此垂直于Z轴。类似地,X'及Y'轴与晶片120的表面共面,且因此垂直于Z轴。X'及Y'轴相对于X及Y轴旋转达方位角AZ。所述方位角指定光绕Z轴递送到晶片120的定向。照明光束117的主光线118及收集光束127的主光线121界定入射平面。X'轴与入射平面对准且Y'轴正交于入射平面。以此方式,入射平面位于X'Z平面中。照明光束117以相对于Z轴的入射角α入射于晶片120的表面上且位于入射平面内。
一般来说,非对称特征相对于被测晶片的定向是先验未知的。按多个方位角的测量确保测量中的至少一者对非对称特征敏感。另外,按两个正交方位角执行测量增加准确确定非对称性轴的可能性。此例如通过测量非对称特征相对于第一组测量的入射平面的方位角及测量非对称特征相对于与第二组测量相关联的第二入射平面的方位角来实现。由于与两次测量相关联的入射平面相对于晶片的定向是已知的,所以从两次测量直接确定非对称特征相对于晶片的定向角。在许多测量案例中,两次测量提供相对于晶片的定向角的独立估计。然而,在其中非对称特征与特定测量的入射平面对准的一些案例中,测量对非对称性不敏感。在这些案例中,正交测量对相同非对称特征最敏感,且因此提供非对称特征相对于晶片的定向角的最可靠估计。
一般来说,按多个不同方位角的测量增加可用信号信息且增强非对称特征的测量准确度。因此,尽管本文中提供涉及按两个正交角测量的实例,但一般来说,可采用按不同方位角的任何数目次测量以根据本文中所描述的方法增强测量准确度。
在进一步方面中,通过对与穆勒矩阵的一或多个非对角线元素相关联的经测量光谱求积分来确定各自与穆勒矩阵的一或多个非对角线元素相关联的一或多个光谱响应计量。光谱响应计量是归因于非对称性的光谱响应的标量量度。对经测量光谱求积分减少噪声且增加与穆勒矩阵元素或穆勒矩阵元素组合相关联的信号强度。
在由方程式(4)所说明的一个实例中,在波长上对与穆勒矩阵元素M30相关联的光谱求积分。
Figure GDA0002398174330000101
在一些实例中,对穆勒矩阵的多个元素之和执行积分。方程式(5)说明通过对与穆勒矩阵元素M20及M02之和相关联的光谱求积分来确定的光谱响应计量。
Figure GDA0002398174330000102
在优选实施例中,采用非对角线穆勒矩阵元素M02及M20之和作为光谱响应计量,这是因为在缺少非对称性的情况下和M02+M20等于零。因而,非对称性的量度是由SRMM02+M20与零的偏差表达。类似地,在优选实施例中,采用非对角线穆勒矩阵元素M30作为光谱响应计量,这是因为在缺少非对称性的情况下M30等于零。因而,非对称性的量度是由SRMM30与零的偏差表达。在另一优选实施例中,采用光谱响应计量SRMM02+M20及SRMM30两者来估计描述如本文中所描述的所关注结构的非对称特征的一或多个几何参数的值。
尽管本文中具体描述基于M30及和(M02+M20)的光谱响应计量,但一般来说,在本专利文件的范围内可预期基于任何合适穆勒矩阵元素或穆勒矩阵元素组合的光谱响应计量。举例来说,可基于M03、和(M12+M21)等确定光谱响应计量。
在另一方面中,将与穆勒矩阵的一或多个非对角线元素相关联的光谱细分为一或多个波长子范围。此外,通过跨一或多个波长子范围对与穆勒矩阵的一或多个非对角线元素相关联的光谱求积分来确定光谱响应计量。在一些实例中,基于可用测量光谱的选定部分确定光谱响应计量增加光谱响应计量对描述非对称性特征的参数的敏感度。这是通过强调对非对称特征具有最大敏感度的波长及经由积分减轻随机噪声的影响来实现。
图5描绘与和(M02+M20)相关联的阐释性光谱响应153。如图5中说明,信号响应的光谱的显著部分在测量的噪声底限内,而光谱的其它部分展现显著超过测量的噪声底限的信号响应。在一个实例中,从光谱选择超过预定阈值T的光谱部分以识别超过噪声底限的信号响应。在所说明实例中,从可用光谱选择波长子范围λ12及λ34。此外,通过仅在选定子范围内对和(M02+M20)求积分来确定与和(M02+M20)相关联的光谱响应计量,如由方程式(6)所说明。
Figure GDA0002398174330000103
在图2及3中所描绘的实例中,倾斜孔150的非对称性通过倾斜角TA及定向角OA特性化。另外,所述倾斜孔通过不描述孔特征的非对称性的其它临界尺寸(例如孔的高度H及孔的直径CD)特性化。定向角OA是相对于图1中所描绘的X轴的正方向定义。因此,当定向角为零时,非对称特征沿X轴的正方向偏斜。类似地,当定向角为90度时,非对称特征沿Y轴的正方向偏斜。当孔的中心轴法向于晶片(即,未偏斜)时,倾斜角TA被定义为零。
在一个实例中,采用计量系统100以按两个正交方位角执行倾斜孔150的光谱测量。在一次测量中,照明光的入射平面与图1中所描绘的X轴对准。在另一测量中,照明光的入射平面与图1中所描绘的Y轴对准。
由计算系统130接收按两个方位角与所有可用穆勒矩阵元素相关联的经测量光谱170。计算系统130基于经测量光谱确定若干光谱响应计量。在一个实例中,计算系统130通过针对两个方位角对穆勒元素M30的选定部分及穆勒矩阵元素之和(M20+M02)求积分来确定光谱响应计量。方程式(7)到(10)分别说明通过对以下各者求积分来确定的光谱响应计量:按180度方位角测量的(M20+M02)的可用光谱的Nλ段;按180度方位角测量的M30的可用光谱的Nλ段;按90度方位角测量的(M20+M02)的可用光谱的Nλ段;及按90度方位角测量的M30的可用光谱的Nλ段。下标a表示穆勒矩阵元素之和(M20+M02)且下标b表示穆勒矩阵元素M30。尽管由方程式(7)到(10)所说明的光谱响应计量各自通过对可用光谱的Nλ段求积分来确定,但一般来说,针对积分选择的波长段对于每一光谱响应计量来说可为唯一的。
Figure GDA0002398174330000111
Figure GDA0002398174330000112
Figure GDA0002398174330000113
Figure GDA0002398174330000114
计算系统130还通过基于模型的光谱匹配使用可用穆勒矩阵元素的任何组合来估计孔高度H、孔直径CD、孔倾斜角TA及定向角OA的值。如前文中所论述,从基于模型的光谱匹配预期H及CD的可靠估计,但TA及OA的估计值通常不准确。
计算系统130基于参考方程式(7)到(10)所描述的光谱响应计量以及通过光谱匹配估计的CD及H的值来估计TA及OA的值。
在一些实例中,计算系统130产生经训练线性模型,所述经训练线性模型随后用来估计TA及OA的值。
假设光谱响应计量SRM的值取决于CD、H、TA及OA。一般来说,对CD及H的相依性可不独立于对TA及OA的相依性。方程式(11)说明光谱响应计量SRM取决于CD、H、TA及OA的假设,但相依性可表达为取决于CD及H的函数g与取决于TA及OA的函数h的组合。
SRM(CD,Height,TA,OA)=g(CD,Height)·h(TA,OA) (11)
假设函数h与沿相应光轴的倾斜角度TA成正比,如方程式(12)到(13)中所说明。
h90(TA,OA)∝cos(OA)·Height·tan(TA) (12)
h180(TA,OA)∝sin(OA)·Height·tan(TA) (13)
假设函数g对TA及OA不敏感,且此函数的考虑可有效地与函数h的考虑解耦合。另外,发明人已发现,函数g对于四个光谱响应计量SRMa,180、SRMb,180、SRMa,90及SRMb,90中的每一者来说大致相同。
函数h与所关注参数OA及TA之间的关系可针对CD及H的标称值来确定,但所述关系不适用于任意CD及H。为解决此问题,计算系统130执行线性最小平方回归以将与CD及H的一般值相关联的光谱响应计量SRM映射到与CD及H的已知标称值相关联的正规化光谱响应计量SRM',其中由方程式(14)说明SRM'。
SRM′=SRM(CDNom,HNom,TA,OA)=g(CDNom,HNom)·h(TA,OA) (14)
光谱响应计量SRM通过正规化因子g(CD,H)/g(CDNOM,HNOM与正规化光谱响应计量SRM'相关,如由方程式(15)所说明。
Figure GDA0002398174330000121
假设TA及OA的标称非平凡(non-trivial)值,那么计算系统130产生与具有CD的N个不同值及H的M个不同值的孔特征的测量相关联的数个光谱响应计量SRM,及与CD及H的选定标称值的孔特征的测量相关联的光谱响应计量集。
使用线性最小平方回归解析方程式(16)中所说明的未知系数(C0,…,CN)及(D0,…,DM)以获得正规化因子g(CD,H)/g(CDNOM,HNOM)的模型。
Figure GDA0002398174330000122
假设CD及H的标称值,那么计算系统130产生与TA及OA的变化值相关联的数个正规化光谱响应计量SRM'。
使用线性最小平方回归解析方程式(17)中所说明的未知系数A1及A2
Figure GDA0002398174330000131
还使用线性最小平方回归解析方程式(18)中所说明的未知系数B1及B2
Figure GDA0002398174330000132
组合方程式(11)到(18)的结果以获得经训练线性模型,所述经训练线性模型将所关注非对称性参数OA及TA与从非对称结构的测量导出的光谱响应计量相关。以此方式,由方程式(19)到(21)说明所述经训练线性模型的值。
Figure GDA0002398174330000133
Figure GDA0002398174330000134
OA=atan2(B1·SRM′a,180+B2·SRM′b,180,B1·SRM′a,90+B2·SRM′b,90) (21)
图6描绘模拟结果的图表155,其说明相关联于模拟的实际倾斜角156与使用参考方程式(11)到(21)所描述的经训练线性模型的倾斜角157的回归值之间的比较。图7描绘模拟结果的图表160,其说明相关联于相同模拟的实际定向角161与使用参考方程式(11)到(21)所描述的经训练线性模型的定向角162的回归值之间的比较。在此实例中,倾斜孔特征的CD及H在5%范围内随机变化,倾斜角从0°变化到0.5°,且定向角从0°变化到360°。使用随机高斯噪声注入经模拟测量信号,且使用单波长子区段来确定光谱响应计量。如图6中所描绘,倾斜角的估计值充分接近实际倾斜角值。类似地,如图7中所示描绘,定向角的估计值充分接近实际定向角值。
在一些实例中,计算系统130产生经训练神经网络模型,所述经训练神经网络模型随后用来估计TA及OA的值。
在一些实例中,训练神经网络模型以将前文中所描述的光谱响应计量及可靠回归临界尺寸映射到特性化被测非对称特征的一或多个几何参数的值。
在一个实例中,神经网络模型的训练输入包含参考方程式(7)到(10)所描述的四个光谱响应计量(即,SRMa,180、SRMb,180、SRMa,90及SRMb,90)以及CD及高度的可靠回归值。训练输出包含正规化因子g(CD,H)/g(CDNOM,HNOM)。在一些实例中,采用前文中所描述使用由经训练神经网络确定的正规化因子的线性最小平方回归。
在一个实例中,训练具有2个隐藏层的20个神经元的神经网络以预测正规化因子g(CD,H)/g(CDNOM,HNOM)。以相同于参考图6及7所描述的方式从与CD、高度、TA及OA的随机变化值相关联的合成光谱产生输入数据。从训练数据中的单个随机轮廓选取标称CD及高度。采用前文中所描述使用由经训练神经网络确定的正规化因子的线性最小平方回归。
图8描绘模拟结果的图表165,其说明用三角形参考标记所描绘的实际倾斜角与用方形参考标记所描绘的回归倾斜角值之间的比较。由标绘线166说明实际值与回归值之间的差。在此实例中,倾斜角的实际值与回归值之间的差的三西格玛值(即,标准偏差的三倍)是0.00298。
图9描绘模拟结果的图表175,其说明用三角形参考标记所描绘的实际定向角与用方形参考标记所描绘的回归定向角值之间的比较。由标绘线176说明实际值与回归值之间的差。在此实例中,定向角的实际值与回归值之间的差的三西格玛值(即,标准偏差的三倍)是0.19000。
在另一实例中,代替采用线性回归来估计非对称性参数的值,训练又一神经网络模型以基于正规化因子及经测量输入确定TA及OA。
在另一实例中,神经网络模型的训练输入包含参考方程式(7)到(10)所描述的四个光谱响应计量(即,SRMa,180、SRMb,180、SRMa,90及SRMb,90)以及CD及高度的可靠回归值。训练输出包含TA及OA的对应值。
图10描绘采用经训练神经网络模型以从四个光谱响应计量以及CD及高度的可靠回归值直接估计TA及OA的模拟结果的图表180。图10说明用三角形参考标记所描绘的实际倾斜角与用方形参考标记所描绘的回归倾斜角值之间的比较。由标绘线181说明实际值与回归值之间的差。在此实例中,倾斜角的实际值与回归值之间的差的三西格玛值(即,标准偏差的三倍)是0.00783。
在又一实例中,神经网络模型的训练输入包含参考方程式(7)到(10)所描述的四个光谱响应计量,但不包含CD及高度的可靠回归值。训练输出包含TA及OA的对应值。验证此方法的模拟结果展示,倾斜角的实际值与回归值之间的差的三西格玛值是0.18000,此不如先前所描述方法有效。
一般来说,以迭代方式有效地应用确定描述本文中所描述的所关注结构的非对称特征的一或多个几何参数的值的方法。在一些实例中,将非对称性参数的估计值前馈到光谱匹配分析以获得一或多个临界尺寸的改进估计。临界尺寸的这些改进估计用来更新光谱响应计量,光谱响应计量又用来产生非对称参数的改进估计。此迭代继续直到收敛于非对称性参数的值。将非对称性参数的估计值保存于存储器(例如,存储器132)中。
在一些实施例中,多个不同光谱响应计量各基于不同非对角线穆勒矩阵元素或穆勒矩阵元素组合(例如,M30及和(M20+M02))来确定。在进一步方面中,例如通过用不同常数缩放每一光谱响应计量来不同地加权不同光谱响应计量。以此方式,对特定非对称性参数较敏感的光谱响应计量比对特定非对称性参数不太敏感的光谱响应计量更重地加权。
图12说明在至少一个新颖方面中执行非对称参数的光谱测量的方法200。方法200适于通过计量系统(例如本发明的图1中所说明的计量系统100)来实施。在一个方面中,应认识到,方法200的数据处理框可经由由计算系统130或任何其它通用计算系统的一或多个处理器执行的预编程算法来实行。本文中应认识到,计量系统100的特定结构方面不表示限制且仅应被解释为阐释性。
在框201中,使用一定量的宽带光学辐射以两个或更多个相异方位角中的每一者照明制造于半导体晶片上的所关注结构。
在框202中,响应于以两个或更多个方位角中的每一者照明半导体晶片而从半导体晶片检测测量光量。
在框203中,基于每一经检测测量光量确定所关注结构对以两个或更多个方位角中的每一者提供的照明的经测量光谱响应。每一经测量光谱响应包含与穆勒矩阵的多个元素相关联的光谱。
在框204中,基于模型化光谱响应对与两个或更多个方位角相关联的经测量光谱响应的拟合而估计一或多个临界尺寸参数的值。
在框205中,选择与每一经测量光谱响应相关联的穆勒矩阵的一或多个非对角线元素的至少一个光谱的一或多个波长子范围。
在框206中,跨选定一或多个波长子范围对穆勒矩阵的一或多个非对角线元素的至少一个光谱求积分以产生一或多个光谱响应计量。
在框207中,基于一或多个临界尺寸参数的值及一或多个光谱响应计量估计描述所关注结构的非对称特征的一或多个几何参数的值。
可如本文中所描述那样配置的示范性测量技术包含但不限于光谱椭偏仪(SE)(包含穆勒矩阵椭偏仪(MMSE)、旋转偏光器SE(RPSE)、旋转偏光器-旋转补偿器SE(RPRC)、旋转补偿器-旋转补偿器SE(RCRC))、光谱反射计(SR)(包含偏光SR、非偏光SR、光谱散射测量、散射测量重叠、光束轮廓反射测量、角度分辨及偏光分辨两者、光束轮廓椭偏测量、单或多离散波长椭偏测量等)。一般来说,可预期在测量信号中包含宽范围的角度信息的任何计量技术(个别地或以任何组合方式)。举例来说,可预期适用于半导体结构的特性化的任何SR或SE技术,包含基于图像的计量技术(个别地或以任何组合方式)。
在进一步实施例中,系统100包含用以基于根据本文中所描述的方法收集的光谱测量数据执行实际装置结构的测量的一或多个计算系统130。一或多个计算系统130可通信地耦合到光谱仪。在一个方面中,一或多个计算系统130经配置以接收与样品120的结构的测量相关联的测量数据170。
应认识到,贯穿本发明所描述的一或多个步骤可由单计算机系统130或替代地多计算机系统130来实行。此外,系统100的不同子系统可包含适于实行本文中所描述的步骤的至少一部分的计算机系统。因此,上述描述不应被解释为限制本发明,而是应被解释为图解。
另外,计算机系统130可以所属领域中已知的任何方式通信地耦合到光谱仪。举例来说,一或多个计算系统130可耦合到与光谱仪相关联的计算系统。在另一实例中,光谱仪可由耦合到计算机系统130的单个计算机系统直接控制。
计量系统100的计算机系统130可经配置以通过可包含有线及/或无线部分的传输媒体从系统的子系统(例如,光谱仪及类似者)接收及/或获取数据或信息。以此方式,所述传输媒体可用作计算机系统130与系统100的其它子系统之间的数据链路。
计量系统100的计算机系统130可经配置以通过可包含有线及/或无线部分的传输媒体从其它系统接收及/或获取数据或信息(例如,测量结果、模型化输入、模型化结果、参考测量结果等)。以此方式,所述传输媒体可用作计算机系统130与其它系统(例如,板上存储器计量系统100、外部存储器或其它外部系统)之间的数据链路。举例来说,计算系统130可经配置以经由数据链路从存储媒体(即,存储器132或外部存储器)接收测量数据。举例来说,使用本文中所描述的光谱仪获得的光谱结果可存储于永久或半永久存储器装置(例如,存储器132或外部存储器)中。在此方面,光谱结果可从板上存储器或从外部存储器系统汇入。此外,计算机系统130可经由传输媒体将数据发送到其它系统。举例来说,可传达由计算机系统130确定的测量模型或估计参数值171且将其存储于外部存储器中。在此方面,可将测量结果导出到另一系统。
计算系统130可包含但不限于个人计算机系统、主计算机系统、工作站、图像计算机、平行处理器或所属领域已知的任何其它装置。一般来说,术语“计算系统”可广义地被定义为涵盖具有执行来自存储器媒体的指令的一或多个处理器的任何装置。
可通过传输媒体(例如电线、电缆或无线传输链路)传输实施方法(例如本文中所描述的方法)的程序指令134。举例来说,如图1中所说明,通过总线133将存储于存储器132中的程序指令134传输到处理器131。将程序指令134存储于计算机可读媒体(例如,存储器132)中。示范性计算机可读媒体包含只读存储器、随机存取存储器、磁盘或光盘、或磁带。
在一些实例中,本文中所描述的测量模型被实施为可购自美国加利福尼亚州苗必达市科磊公司(KLA-Tencor Corporation,Milpitas,California,USA.)的
Figure GDA0002398174330000174
光学临界尺寸计量系统的元件。以此方式,产生模型且准备好紧接在由系统收集光谱之后使用。
在一些其它实例中,例如由实施可购自美国加利福尼亚州苗必达市科磊公司的
Figure GDA0002398174330000173
软件的计算系统脱机实施本文中所描述的测量模型。所得经训练模型可作为可由执行测量的计量系统存取的
Figure GDA0002398174330000172
链接库的元素并入。
在另一方面中,用于本文中所描述的半导体装置的光谱计量的方法及系统应用于高纵横比(HAR)结构、大横向尺寸结构或两者的非对称特征的测量。所描述实施例实现包含以下各者的半导体装置的非对称特征的计量:三维NAND结构,(例如三星公司(SamsungInc.)(韩国)、SK海力士公司(SK Hynix Inc.)(韩国)、东芝公司(Toshiba Corporation)(日本)及美光科技公司(Micron Technology,Inc.)(美国)等)制造的垂直NAND(V-NAND)结构、动态随机存取存储器结构(DRAM)等。图11描绘可包含非对称特征缺陷的示范性高纵横比NAND结构190。具有宽带能力及宽范围方位角的光谱椭偏仪适于测量这些高纵横比结构。HAR结构通常包含硬屏蔽层以促进HAR的蚀刻工艺。如本文所描述,术语“HAR结构”是指通过超过10:1且可高达100:1或更高的纵横比特性化的任何结构。
在又一方面中,将本文中所描述的测量结果作为主动反馈提供给制造工艺工具(例如,光刻工具、蚀刻工具、沉积工具等)。举例来说,将基于本文中所描述的测量方法确定的测量参数的值传达到光刻工具以调整光刻系统以实现所要输出(即,降低的非对称性误差等)。以类似方式,分别基于测量参数对蚀刻工具或沉积工具的主动反馈调整蚀刻参数(例如,蚀刻时间、扩散率等)或沉积参数(例如,时间、浓度等)。在一些实例中,将对基于经测量非对称参数确定的过程参数的校正传达到光刻工具、蚀刻工具、沉积工具等。作为响应,制造工具调整半导体制造工艺的控制参数以减少通过经测量非对称性特性化的结构缺陷。
如本文中所描述,术语“临界尺寸”包含结构的任何临界尺寸(例如,底部临界尺寸、中间临界尺寸、顶部临界尺寸、侧壁角、光栅高度等)、任何两个或更多个结构之间的临界尺寸(例如,两个结构之间的距离)及两个或更多个结构之间的位移(例如,重叠光栅结构之间的重叠位移等)。结构可包含三维结构、图案化结构、重叠结构等。
如本文中所描述,术语“临界尺寸应用”或“临界尺寸测量应用”包含任何临界尺寸测量。
如本文中所描述,术语“计量系统”包含至少部分地用来在任何方面特性化样品的任何系统,包含例如临界尺寸计量、重叠计量、聚点/剂量计量及组合物计量的测量应用。然而,此类技术术语不限制如本文中所描述的术语“计量系统”的范围。另外,计量系统100可经配置用于测量图案化晶片及/或未图案化晶片。计量系统可经配置为LED检验工具、边缘检验工具、背侧检验工具、宏观检验工具或多模式检验工具(涉及同时来自一或多个平台的数据)及得益于基于临界尺寸数据对系统参数进行校准的任何其它计量或检验工具。
本文中描述可用于在任何半导体处理工具(例如,检验系统或光刻系统)内测量样品的半导体测量系统的各个实施例。术语“样品”在本文中用来是指晶片、光罩、或可通过所属领域中已知的方式处理(例如,印刷或检验缺陷)的任何其它样本。
如本文中所使用,术语“晶片”通常是指由半导体或非半导体材料形成的衬底。实例包含但不限于单晶硅、砷化镓及磷化铟。通常可在半导体制造设施中找到及/或处理此类衬底。在一些情况下,晶片可仅包含衬底(即,裸晶片)。替代地,晶片可包含形成于衬底上的一或多个不同材料层。形成于晶片上的一或多个层可“经图案化”或“未图案化”。举例来说,晶片可包含具有可重复图案特征的多个裸片。
“光罩”可为光罩制造工艺的任何阶段的光罩,或可经释放或可未经释放以于半导体制造设施中使用的成品光罩。光罩或“屏蔽”通常被定义为其上形成有大体上半透明区域且配置成图案的大体上透明衬底。所述衬底可包含例如玻璃材料,例如非晶SiO2。在光刻工艺的曝光步骤期间,可将光罩安置于光致抗蚀剂覆盖晶片上方,使得所述光罩上的图案可转印到所述光致抗蚀剂。
形成于晶片上的一或多个层可经图案化或未图案化。举例来说,晶片可包含多个裸片,每一裸片具有可重复图案特征。此类材料层的形成及处理可最终导致成品装置。可在晶片上形成许多不同类型的装置,且如本文中所使用的术语晶片希望于涵盖其上制造所属领域中已知的任何类型的装置的晶片。
在一或多个示范性实施例中,所描述功能可以硬件、软件、固件或其任何组合来实施。如果以软件实施,那么可将所述功能作为一或多个指令或程序代码存储于计算机可读媒体上或通过计算机可读媒体传输。计算机可读媒体包含计算机存储媒体及通信媒体两者,包含促进将计算机程序从一个地方传送到另一地方的任何媒体。存储媒体可为可由通用或专用计算机存取的任何可用媒体。通过实例的方式且非限制,此计算机可读媒体可包含RAM、ROM、EEPROM、CD-ROM或其它光盘存储装置、磁盘存储装置或其它磁性存储装置、或可用来呈指令或数据结构的形式携带或存储所要程序代码构件且可由通用或专用计算机或通用或专用处理器存取的任何其它媒体。此外,任何连接适当地称为计算机可读媒体。举例来说,如果使用同轴电缆、光纤光缆、双绞线、数字用户线(DSL)或无线技术(例如红外线、无线电及微波)从网站、服务器或其它远程源传输软件,那么在媒体的定义中包含所述同轴电缆、光纤光缆、双绞线、DSL或无线技术(例如红外线、无线电及微波)。如本文中所使用,磁盘及光盘包含光盘(CD)、激光光盘、光学碟、数字多功能光盘(DVD)、软盘及蓝光光盘,其中碟通常磁性地重现数据,而光盘用激光光学地重现数据。上述媒体的组合还可包含于计算机可读媒体的范围内。
尽管上文出于指导目的描述某些特定实施例,但本专利文件的教示具有普遍适用性且不限于上文所描述的特定实施例。据此,在不脱离权利要求书中所阐述的本发明的范围的情况下,可实践所描述实施例的各种特征的各种修改、改编及组合。

Claims (20)

1.一种计量系统,其包括:
照明源,其经配置以产生一定量的宽带光学辐射;
一或多个光学元件,其以两个或更多个方位角中的每一者将所述一定量的宽带光学辐射从所述照明源引导到半导体晶片的表面上的测量点;
光谱仪,其经配置以响应于以所述两个或更多个方位角中的每一者照明所述半导体晶片而从所述半导体晶片检测测量光量且基于每一经检测测量光量确定所关注结构的经测量光谱响应,其中每一经测量光谱响应包含与穆勒矩阵的多个元素相关联的光谱;及
计算系统,其经配置以:
基于模型化光谱响应对与所述两个或更多个方位角相关联的所述经测量光谱响应的拟合而估计特性化所述所关注结构的一或多个临界尺寸参数的值;
选择与每一经测量光谱响应相关联的所述穆勒矩阵的一或多个非对角线元素的至少一个光谱的一或多个波长子范围;
跨所述选定一或多个波长子范围对所述穆勒矩阵的所述一或多个非对角线元素的所述至少一个光谱求积分以产生一或多个光谱响应计量;及
基于所述一或多个临界尺寸参数的所述值及所述一或多个光谱响应计量估计描述所述所关注结构的非对称特征的一或多个几何参数的值。
2.根据权利要求1所述的计量系统,所述计算系统进一步经配置以:
将所述一或多个几何参数的所述值传达到半导体制造工具,其中所述半导体制造工具基于所述一或多个几何参数的所述值调整半导体制造工艺的控制参数以减少通过经测量非对称性特性化的结构缺陷。
3.根据权利要求1所述的计量系统,其中所述两个或更多个方位角包含彼此垂直的两个方位角。
4.根据权利要求1所述的计量系统,其中所述穆勒矩阵的所述一或多个非对角线元素包含所述穆勒矩阵的M30元素。
5.根据权利要求1所述的计量系统,其中所述穆勒矩阵的所述一或多个非对角线元素包含所述穆勒矩阵的M20元素及M02元素之和。
6.根据权利要求1所述的计量系统,其中所述选择所述穆勒矩阵的一或多个非对角线元素的至少一个光谱的所述一或多个波长子范围涉及选择具有光谱响应的一或多个波长子范围,所述光谱响应超过所述一或多个波长子范围内的预定阈值。
7.根据权利要求1所述的计量系统,其中所述估计所述一或多个几何参数的所述值是基于多个光谱响应计量,其中不同地加权所述多个光谱响应计量中的每一者。
8.根据权利要求1所述的计量系统,其中描述所述所关注结构的非对称特征的所述一或多个几何参数包含孔特征的倾斜角及定向角中的任一者。
9.根据权利要求1所述的计量系统,其中所述所关注结构是高纵横比存储器结构。
10.根据权利要求1所述的计量系统,其中所述估计所述一或多个几何参数的所述值涉及经训练神经网络模型,所述经训练神经网络模型将所述一或多个临界尺寸参数的所述值及所述一或多个光谱响应计量与所述一或多个几何参数的所述值相关。
11.根据权利要求1所述的计量系统,其中所述估计所述一或多个几何参数的所述值涉及线性回归模型,所述线性回归模型将所述一或多个临界尺寸参数的所述值及所述一或多个光谱响应计量与所述一或多个几何参数相关。
12.一种方法,其包括:
使用一定量的宽带光学辐射以两个或多个方位角中的每一者照明制造于半导体晶片上的所关注结构;
响应于以所述两个或更多个方位角中的每一者照明所述半导体晶片而从所述半导体晶片检测测量光量;
基于每一经检测测量光量确定所述所关注结构对以所述两个或更多个方位角中的每一者提供的所述照明的经测量光谱响应,其中每一经测量光谱响应包含与穆勒矩阵的多个元素相关联的光谱;
基于模型化光谱响应对与所述两个或更多个方位角相关联的所述经测量光谱响应的拟合而估计一或多个临界尺寸参数的值;
选择与每一经测量光谱响应相关联的所述穆勒矩阵的一或多个非对角线元素的至少一个光谱的一或多个波长子范围;
跨所述选定一或多个波长子范围对所述穆勒矩阵的所述一或多个非对角线元素的所述至少一个光谱求积分以产生一或多个光谱响应计量;及
基于所述一或多个临界尺寸参数的所述值及所述一或多个光谱响应计量估计描述所述所关注结构的非对称特征的一或多个几何参数的值。
13.根据权利要求12所述的方法,其进一步包括:
将所述一或多个几何参数的所述值传达到半导体制造工具,其中所述半导体制造工具基于所述一或多个几何参数的所述值调整半导体制造工艺的控制参数以减少通过经测量非对称性特性化的结构缺陷。
14.根据权利要求12所述的方法,其中所述两个或更多个方位角包含彼此垂直的两个方位角。
15.根据权利要求12所述的方法,其中所述选择所述穆勒矩阵的一或多个非对角线元素的至少一个光谱的所述一或多个波长子范围涉及选择具有光谱响应的一或多个波长子范围,所述光谱响应超过所述一或多个波长子范围内的预定阈值。
16.根据权利要求12所述的方法,其中所述估计一或多个几何参数的所述值涉及经训练神经网络模型,所述经训练神经网络模型将所述一或多个几何参数与所述一或多个临界尺寸参数的所述值及所述一或多个光谱响应计量相关。
17.根据权利要求12所述的方法,其中所述估计一或多个几何参数的所述值涉及线性回归模型,所述线性回归模型将所述一或多个几何参数与所述一或多个临界尺寸参数的所述值及所述一或多个光谱响应计量相关。
18.根据权利要求12所述的方法,其中所述穆勒矩阵的所述一或多个非对角线元素包含所述穆勒矩阵的至少两个元素之和。
19.一种计量系统,其包括:
一或多个光学元件,其将一定量的宽带光学辐射从照明源引导到半导体晶片的表面上的测量点;
光谱仪,其经配置以响应于所述照明所述半导体晶片而从所述半导体晶片检测测量光量且基于所述经检测测量光量确定所关注结构的经测量光谱响应,其中所述经测量光谱响应包含与穆勒矩阵的多个元素相关联的光谱;及
计算机可读媒体,其包括在由一或多个处理器执行时引起所述一或多个处理器进行以下操作的指令:
基于模型化光谱响应对所述经测量光谱响应的拟合而估计一或多个临界尺寸参数的值;
选择与所述经测量光谱响应相关联的所述穆勒矩阵的一或多个非对角线元素的至少一个光谱的一或多个波长子范围;
跨所述选定一或多个波长子范围对所述穆勒矩阵的所述一或多个非对角线元素的所述至少一个光谱求积分以产生一或多个光谱响应计量;及
基于所述一或多个临界尺寸参数的所述值及所述一或多个光谱响应计量估计描述所述所关注结构的非对称特征的一或多个几何参数的值。
20.根据权利要求19所述的计量系统,所述计算机可读媒体进一步包括在由所述一或多个处理器执行时引起所述一或多个处理器进行以下操作的指令:
将所述一或多个几何参数的所述值传达到半导体制造工具,其中所述半导体制造工具基于所述一或多个几何参数的所述值调整半导体制造工艺的控制参数以减少通过经测量非对称性特性化的结构缺陷。
CN201880057081.5A 2017-09-27 2018-09-25 非对称结构的尺寸的检测与测量 Active CN111095510B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762564119P 2017-09-27 2017-09-27
US62/564,119 2017-09-27
US16/138,813 2018-09-21
US16/138,813 US10732515B2 (en) 2017-09-27 2018-09-21 Detection and measurement of dimensions of asymmetric structures
PCT/US2018/052507 WO2019067375A1 (en) 2017-09-27 2018-09-25 DETECTION AND MEASUREMENT OF DIMENSIONS OF ASYMMETRIC STRUCTURES

Publications (2)

Publication Number Publication Date
CN111095510A CN111095510A (zh) 2020-05-01
CN111095510B true CN111095510B (zh) 2021-03-12

Family

ID=65807567

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880057081.5A Active CN111095510B (zh) 2017-09-27 2018-09-25 非对称结构的尺寸的检测与测量

Country Status (7)

Country Link
US (1) US10732515B2 (zh)
JP (1) JP7052024B2 (zh)
KR (1) KR102431942B1 (zh)
CN (1) CN111095510B (zh)
DE (1) DE112018005533T5 (zh)
TW (1) TWI771499B (zh)
WO (1) WO2019067375A1 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110596011B (zh) * 2019-08-26 2020-12-29 华中科技大学 一种材料介电张量测量方法
KR102506098B1 (ko) * 2019-09-11 2023-03-06 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 웨이퍼 결정 배향을 추정하는 방법 및 시스템
US11356851B2 (en) * 2019-12-03 2022-06-07 Harris Global Communications, Inc. Communications system having multiple carriers with selectively transmitted real information and fake information and associated methods
CN111667111B (zh) * 2020-06-02 2023-04-07 上海哥瑞利软件股份有限公司 一种集成电路晶圆制造中的良率预测方法
WO2022125215A2 (en) * 2020-10-30 2022-06-16 The Board Of Trustees Of The Leland Stanford Junior University Matrix-based characterization and measurements for semiconductor thin-film material
US20220196576A1 (en) * 2020-12-17 2022-06-23 Kla Corporation Methods And Systems For Compact, Small Spot Size Soft X-Ray Scatterometry
CN113029024B (zh) * 2021-03-01 2021-11-16 长鑫存储技术有限公司 半导体结构的测量方法及设备
CN113035735B (zh) * 2021-03-01 2022-05-27 长鑫存储技术有限公司 半导体结构的测量方法、系统、介质和电子设备
US20220290974A1 (en) * 2021-03-11 2022-09-15 Applied Materials Israel Ltd. Optical metrology models for in-line film thickness measurements
CN113219792B (zh) * 2021-04-29 2022-07-19 华中科技大学 一种快照式套刻误差测量装置及其测量方法
CN113834515B (zh) * 2021-08-18 2024-04-16 之江实验室 一种高时空分辨双光子激光直写原位红外探测装置与方法

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5166752A (en) 1990-01-11 1992-11-24 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5808738A (en) 1995-06-13 1998-09-15 University Of South Florida Multiangle, multiwavelength particle characterization system and method
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6859278B1 (en) 2001-01-16 2005-02-22 J.A. Woollam Co. Inc. Multi-AOI-system for easy changing angles-of-incidence in ellipsometer, polarimeter and reflectometer systems
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6829559B2 (en) 2000-09-20 2004-12-07 K.L.A.-Tencor Technologies Methods and systems for determining a presence of macro and micro defects on a specimen
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
US7280230B2 (en) 2001-12-19 2007-10-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7061627B2 (en) 2002-03-13 2006-06-13 Therma-Wave, Inc. Optical scatterometry of asymmetric lines and structures
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
US8699027B2 (en) 2007-07-27 2014-04-15 Rudolph Technologies, Inc. Multiple measurement techniques including focused beam scatterometry for characterization of samples
US7907264B1 (en) 2007-09-07 2011-03-15 Kla-Tencor Corporation Measurement of thin film porosity
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
CN102460129B (zh) * 2009-06-22 2015-08-12 Asml荷兰有限公司 物体检查系统和方法
US8525993B2 (en) * 2009-10-07 2013-09-03 Nanometrics Incorporated Scatterometry measurement of asymmetric structures
IL217843A (en) 2011-02-11 2016-11-30 Asml Netherlands Bv A system and method for testing, a lithographic system, a cell for lithographic processing, and a method for producing a device
US8841591B2 (en) 2011-04-04 2014-09-23 The Board Of Trustees Of The Leland Stanford Junior University Grating-enhanced optical imaging
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US8860937B1 (en) 2012-10-24 2014-10-14 Kla-Tencor Corp. Metrology systems and methods for high aspect ratio and large lateral dimension structures
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9116103B2 (en) 2013-01-14 2015-08-25 Kla-Tencor Corporation Multiple angles of incidence semiconductor metrology systems and methods
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9255877B2 (en) * 2013-05-21 2016-02-09 Kla-Tencor Corporation Metrology system optimization for parameter tracking
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
CN103499521B (zh) * 2013-09-06 2015-10-21 清华大学 纳米颗粒关键几何特征量的测量方法
US9354212B2 (en) 2014-01-07 2016-05-31 Applied Materials Israel Ltd. Inspection having a segmented pupil
US20160139032A1 (en) 2014-11-19 2016-05-19 Kla-Tencor Corporation Inspection system and method using an off-axis unobscured objective lens
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
US9470639B1 (en) * 2015-02-03 2016-10-18 Kla-Tencor Corporation Optical metrology with reduced sensitivity to grating anomalies
US10495446B2 (en) * 2015-06-29 2019-12-03 Kla-Tencor Corporation Methods and apparatus for measuring height on a semiconductor wafer
NL2017882A (en) * 2015-12-17 2017-06-26 Asml Netherlands Bv Optical metrology of lithographic processes using asymmetric sub-resolution features to enhance measurement

Also Published As

Publication number Publication date
DE112018005533T5 (de) 2020-08-20
KR20200047749A (ko) 2020-05-07
JP7052024B2 (ja) 2022-04-11
JP2020535658A (ja) 2020-12-03
WO2019067375A1 (en) 2019-04-04
TW201920947A (zh) 2019-06-01
KR102431942B1 (ko) 2022-08-11
TWI771499B (zh) 2022-07-21
CN111095510A (zh) 2020-05-01
US20190094711A1 (en) 2019-03-28
US10732515B2 (en) 2020-08-04

Similar Documents

Publication Publication Date Title
CN111095510B (zh) 非对称结构的尺寸的检测与测量
CN108463877B (zh) 用于扩展的红外线光谱椭偏测量的系统及方法
US10354929B2 (en) Measurement recipe optimization based on spectral sensitivity and process variation
US10151986B2 (en) Signal response metrology based on measurements of proxy structures
TWI649628B (zh) 用於半導體目標之量測的微分方法及裝置
US10352876B2 (en) Signal response metrology for scatterometry based overlay measurements
US9721055B2 (en) Measurement model optimization based on parameter variations across a wafer
US10101670B2 (en) Statistical model-based metrology
US20130245985A1 (en) Calibration Of An Optical Metrology System For Critical Dimension Application Matching
US10030965B2 (en) Model-based hot spot monitoring
US10502692B2 (en) Automated metrology system selection
US9470639B1 (en) Optical metrology with reduced sensitivity to grating anomalies
US9921104B2 (en) Simultaneous multi-angle spectroscopy
KR20170118919A (ko) 감소된 초점 에러 민감도를 갖는 광학 계측
US9347872B1 (en) Meta-model based measurement refinement
US10345721B1 (en) Measurement library optimization in semiconductor metrology
KR20220050664A (ko) 패턴 특성의 예측을 위한 딥 러닝 모델의 학습 방법 및 반도체 소자 제조 방법
US20220404143A1 (en) Methods And Systems For Measurement Of Tilt And Overlay Of A Structure
US20240102941A1 (en) Calibration Of Parametric Measurement Models Based On In-Line Wafer Measurement Data
US20240053280A1 (en) Methods And Systems For Systematic Error Compensation Across A Fleet Of Metrology Systems Based On A Trained Error Evaluation Model

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant