CN111033382A - 在成像叠加计量中利用叠加错位误差估计 - Google Patents

在成像叠加计量中利用叠加错位误差估计 Download PDF

Info

Publication number
CN111033382A
CN111033382A CN201780093820.1A CN201780093820A CN111033382A CN 111033382 A CN111033382 A CN 111033382A CN 201780093820 A CN201780093820 A CN 201780093820A CN 111033382 A CN111033382 A CN 111033382A
Authority
CN
China
Prior art keywords
overlay
calculated
misalignment
overlay misalignment
error
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780093820.1A
Other languages
English (en)
Other versions
CN111033382B (zh
Inventor
T·格林茨威格
N·古特曼
D·格瑞奥迪
M·吉诺乌克
V·莱温斯基
C·E·斯坦纳斯
N·雪渥尔
Y·帕斯卡维尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN111033382A publication Critical patent/CN111033382A/zh
Application granted granted Critical
Publication of CN111033382B publication Critical patent/CN111033382B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03GELECTROGRAPHY; ELECTROPHOTOGRAPHY; MAGNETOGRAPHY
    • G03G15/00Apparatus for electrographic processes using a charge pattern
    • G03G15/65Apparatus which relate to the handling of copy material
    • G03G15/6555Handling of sheet copy material taking place in a specific part of the copy material feeding path
    • G03G15/6558Feeding path after the copy sheet preparation and up to the transfer point, e.g. registering; Deskewing; Correct timing of sheet feeding to the transfer point
    • G03G15/6561Feeding path after the copy sheet preparation and up to the transfer point, e.g. registering; Deskewing; Correct timing of sheet feeding to the transfer point for sheet registration
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/30Determination of transform parameters for the alignment of images, i.e. image registration
    • G06T7/37Determination of transform parameters for the alignment of images, i.e. image registration using transform domain methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/70Determining position or orientation of objects or cameras
    • G06T7/73Determining position or orientation of objects or cameras using feature-based methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Theoretical Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

本发明提供系统及方法,其从至少一个计量成像目标中的每一ROI(所关注区域)的经分析测量计算叠加错位误差估计,且将所述经计算叠加错位误差估计并入在对应叠加错位估计中。所揭示实施例提供可以连续方式集成到计量测量过程中且此外依据叠加错位评估目标质量的分级及加权目标质量分析,所述分析形成用于评估来自例如生产步骤特性、测量参数及目标特性的不同源的误差的共同基础。接着,此类共同基础实现以下中的任一者:组合各种误差源以给出与测量保真度相关联的单个数目;在晶片级、批量级及过程级下分析各种误差;及/或通过减少测量次数而对于吞吐量以受控方式权衡所得准确度。

Description

在成像叠加计量中利用叠加错位误差估计
技术领域
本发明涉及成像叠加计量的领域,且更特定来说,涉及在计量测量中导出及利用叠加错位误差估计。
背景技术
成像叠加计量用于通过测量成像目标且分析经测量图像而估计所生产集成电路中的层之间的叠加。在剔除程序中检测及移除有缺陷的目标以不对其执行分析过程。
发明内容
下文是提供对本发明的初步理解的简化概述。所述概述未必识别关键要素也不限制本发明的范围,而是仅仅用作以下描述的引言。
本发明的一个方面提供一种方法,所述方法包括:从至少一个计量成像目标中的每一ROI(所关注区域)的经分析测量计算叠加错位误差估计;及将所述经计算叠加错位误差估计并入在对应叠加估计中,其中所述计算及所述并入是由至少一个计算机处理器实行。
本发明的这些、额外及/或其它方面及/或优点是在以下详细描述中阐述;可从详细描述推断;及/或可通过实践本发明而习得。
附图说明
为更好地理解本发明的实施例且展示可如何实施所述实施例,现在将仅以实例方式参考附图,在附图中类似数字自始至终指定对应元件或区段。
在附图中:
图1是根据本发明的一些实施例的成像叠加计量系统的高级示意性框图。
图2A到2D是根据本发明的一些实施例的成像目标的测量及内核信号分量的高级示意性绘图。
图3是绘示根据本发明的一些实施例的方法的高级流程图。
具体实施方式
在以下描述中,描述本发明的各个方面。出于阐释目的,阐述特定配置及细节以提供对本发明的透彻理解。然而,对所属领域技术人员来说还将显而易见,可在无本文中所呈现的特定细节的情况下实践本发明。此外,可能已省略或简化熟知特征以免使本发明不清楚。特定地参考附图,应强调,所展示细节是以实例方式且仅出于说明性地论述本发明的目的,且为了提供确信为本发明的原理及概念方面的最有用且容易理解的描述而呈现。就这一点来说,并未尝试比本发明的基本理解所必需的描述更详细地展示本发明的结构细节,结合附图进行的描述使所属领域技术人员明白在实践时可如何体现本发明的若干形式。
在详细阐释本发明的至少一个实施例之前,应理解,本发明在其应用方面不限于以下描述中所阐述或附图中所绘示的组件的构造及布置的细节。本发明适用于可以各种方式实践或实行的其它实施例以及所揭示实施例的组合。而且,应理解,本文中所采用的措辞及术语是出于描述目的且不应被视为限制性。
除非另有明确陈述,否则如从以下论述显而易见,应明白,贯穿说明书利用例如“处理”、“计算(computing/calculating)”、“确定”、“增强”等等的术语的论述是指计算机或计算系统或类似电子计算装置(其将表示为计算系统的寄存器及/或存储器内的物理(例如电子)量的数据操纵及/或变换为类似地表示为计算系统的存储器、寄存器或其它此类信息存储、传输或显示装置内的物理量的其它数据)的动作及/或过程。
本发明提供系统及方法,其从至少一个计量成像目标中的每一ROI(所关注区域)的经分析测量计算叠加错位误差估计,且将所述经计算叠加错位误差估计并入在对应叠加错位估计中。所揭示实施例提供可以连续方式集成到计量测量过程中且此外依据叠加错位评估目标质量的分级及加权目标质量分析,所述分析形成用于评估来自例如生产步骤特性、测量参数及目标特性的不同源的误差的共同基础。接着,此类共同基础实现以下中的任一者:组合各种误差源以给出与测量保真度相关联的单个数目;在晶片级、批量级及过程级下分析各种误差;及/或通过减少测量次数而对于吞吐量以受控方式权衡所得准确度。
所揭示系统及方法可经配置以依据叠加错位对成像目标的测量提供连续定量(非二进制)质量评定,其在共同基础上表达不同类型的误差且实现在导出叠加时对测量及目标进行敏感加权。此外,所揭示系统及方法可经配置以提供关于生产及测量过程的额外信息,这可用于改进及校正经检测缺陷。所揭示系统及方法可经配置以计算与其测量中的每一者相关联的个别误差且任选地使用模型化软件来模型化叠加(例如使用测量值作为输入)并使用相关联误差来加权对最终模型中的测量重要性。除由现有技术叠加工具测量的叠加错位(也被称为叠加误差或错位误差)以外,某些实施例还提供及/或利用叠加错位误差估计。可连同目标叠加错位一起估计目标质量,且可在模型化中且随后在过程校正中使用经估计目标质量。
图1是根据本发明的一些实施例的成像叠加计量系统100的高级示意性框图。成像叠加计量系统100包括:叠加测量工具80,其经配置以测量来自晶片60上的多个计量成像目标70中的每一者的多个ROI;及叠加错位误差估计模块110,其经配置以从所述ROI的经分析测量中的不同分量计算叠加错位误差估计115。成像叠加计量系统100经配置以如下文所阐释般将经计算叠加错位误差估计115并入在对应叠加估计中,且可使用(若干)计算机处理器(未展示,可能是工具100及模块110、120中的任一者的部分)来实施。下文详细地呈现图1中示意性地绘示的额外元件。
可使用图像分析来导出叠加错位误差估计(例如误差线),所述叠加错位误差估计与每一目标的测量相关联且具有物理单位(例如叠加单位)—所述物理单位可经处理以形成每一测量的单个叠加错位误差估计。目标质量估计模块160可经配置以从叠加测量工具80接收数据及/或测量,且导出目标质量估计并将目标质量估计递送到错位模型化模块120,及/或可将目标质量估计作为额外输入递送到目标质量矩阵130中,如下文所描述。目标质量矩阵130可经配置以使每一目标与表示目标的叠加错位误差估计115的数量集合相关联。
除由现有技术叠加工具测量的叠加错位(也被称为叠加误差或错位误差)以外,某些实施例还提供及/或利用叠加错位误差估计。在某些实施例中,可由错位模型化模块120及/或目标质量估计模块160使用来自叠加错位误差估计模块110的叠加错位误差估计115来增强及/或改进错位图117、晶片叠加图90及过程图50中的任一者。下文所提供的实例是这种方法的非限制性实施例。下文提供图1的进一步阐释。
图2A到2D是根据本发明的一些实施例的成像目标70的测量及内核信号分量的高级示意性绘图。在图2A中以非限制性方式将成像目标70绘示为双层AIM(先进成像计量)目标。类似测量及分析可应用于由周期性结构构成的任何成像目标70。例如,图2C是根据本发明的一些实施例的三层AIM目标70的高级示意性绘图。目标170可包括具有周期性结构的任何成像目标70,例如AIM(图2A)、三重AIM(图2C)、XY分离AIM及BIB(盒中盒)以及等效计量成像目标。
ROI 72在图2A中被示意性地指示为对应周期性结构的部分,且关于测量方向(x/y)、目标(1/2)及层(in/out,等效于目标70中的位置)中的索引编号示意性地表示。可从每一ROI 72导出内核74,其指示沿ROI 72的强度轮廓(可以不同方式从ROI 72导出强度轮廓)。内核74可被分析为由多个分量构成,例如周期性项74A、线性项74B及噪声或残余项74C。图2B中以非限制性经验实例例示了ROI 72,内核74,及分量74A、74B、74C。
例如,在所绘示的非限制性实例中,内核74的强度轮廓可表示周期性条状沟槽序列的强度图案。ROI 72可在垂直于测量(周期性)方向的方向上平均化,或可沿垂直方向分离成不同子区域(参见图2D及下文)。分量74A、74B、74C的和可等于内核74,且可通过各种分析方法导出分量74A、74B、74C。例如,可将内核74(表示为κ(x))表达为余弦级数(方程式1,其中由表示为wi的权重从加权系数计算由OVL(叠加)表示的叠加错位),其中分量74B、74A、74C分别对应于系数A1、A2及ΣA3…An。替代地或补充地,可使用多项式或具有内核分量的定义的对应修改的其它扩展来分析内核74。
Figure BDA0002381756710000041
Figure BDA0002381756710000042
其中
Figure BDA0002381756710000043
在非限制性实例中,可从74A、74B、74C中的一或多者(例如从线性项74B及噪声项74C)导出叠加错位误差估计。例如,可从使用内核74计算的叠加与仅使用相应内核74的周期性分量74A计算的叠加之间的差导出叠加错位误差估计。使用以下定义,可如下导出叠加错位误差估计。OVL_{kernel}表示如从ROI计算的叠加;OVL_{only periodic term}表示如在移除线性项及噪声项之后从ROI计算的叠加;OVL_{periodic term AND linear term}表示如在仅移除噪声项之后从ROI计算的叠加;且OVL_{periodic term AND noise term}表示如在仅移除线性项之后从ROI计算的叠加。可将与各项相关联的叠加错位误差估计计算为:dE_{noise}=OVL_{kernel}–OVL_{periodic term AND linear term};dE_{linear}=OVL{kernel}–OVL_{periodic term AND noise term};及dE_{non periodicity}=OVL{kernel}–OVL{only periodic term}。
可从目标70中的周期性结构导出任何数目个ROI 72,且可从相对于ROI 72分析的测量数据计算多个叠加。图2D是根据本发明的一些实施例的ROI 72中的子区域150的各种选择的高级示意性绘图。ROI 72示意性地被绘示,且可从目标70中的任何周期性结构导出。
在某些实施例中,叠加错位误差估计模块110可进一步经配置以相对于每一ROI72的多个子区域150计算叠加错位误差估计,且从每一ROI 72的子区域150的多个经计算叠加错位误差估计计算额外叠加错位误差估计。例如,额外叠加错位误差估计可包括同一ROI72的不同子区域150的经计算叠加错位误差估计当中的方差。在某些实施例中,可从不同ROI 72的对应子区域150的经计算叠加错位误差估计计算额外叠加错位误差估计。可以多种方式选择子区域150,图2D中以非限制性方式例示了一些子区域150。可在每一ROI 72中选择任何数目个子区域150(例如1个、2个、3个、4个、5个等),在每一ROI 72中未必为相同数目(例如,可根据次取样的所需程度优先化ROI 72)。可在ROI 72的中心或内部区域处或(至少对于一或多个ROI 72)可沿ROI 72的边缘(例如参见子区域150A)选择子区域150。可沿ROI 72的全长或(至少对于一或多个ROI 72)可沿ROI 72的部分长度(例如参见子区域150B)选择子区域150。
例如,可使用叠加错位误差估计来通过估计ROI 72内的可变性程度而估计目标一致性,目标一致性可用于指示目标的周期性结构中的系统噪声水平,例如周期性结构的元件的低质量或有缺陷的处理。ROI 72的子区域150中的测量数据及/或经估计误差的统计分析可用于特性化各种误差源。此外,可例如使用叠加提取算法来从ROI计算子区域150当中的叠加以提供N个子ROI(子区域150)的N个子叠加,且可将其标准偏差(或西格玛)用作叠加错位误差估计(“内核噪声”)。在某些实施例中,根据上文所揭示的原理且考虑内核到周期性趋势、线性趋势及噪声的分解,可使用ROI中的信息的部分计算叠加。其它特定分解可能性可包括以下非限制性实例中的任一者:到不同空间频率的傅里叶分解,或到ROI的不同细分的空间分解等。接着,可使用部分数据计算OVL,且通过比较由部分数据计算的ovl与由其它部分数据或所有ROI数据计算的ovl而进行误差估计。这将给出误差估计:dE_{partialkernel}=OVL_{kernel}–OVL_{partial kernel}。如果存在此类差的集合,那么其可例如由方差及平均值统一地表示。
在某些实施例中,叠加错位误差估计模块110可进一步经配置以依据错位叠加计算对比度误差估计,且将经计算对比度误差并入在对应叠加估计中。由于感测装置的有限信号对噪声比及从目标散射的有限辐射量获得的有限信号—对比度与测量的精度相关。虽然可通过收集更多光而改进对比度,但实际考虑限制测量时间且因此存在精度损失,其可以叠加单位表达(表示为dEcontrast)且与误差计算115相加。由于所有误差估计dE 115是依据叠加错位表达,因此其可用于导出单个累积误差估计115(表示为E)以例如使用E=√(wperiodic·dEperiodic+wpk·dEpk+wcontrast·dEcontrast)来特性化任何特定测量及/或测量集合的质量,其中wperiodic、wpk、wcontrast是周期性、部分内核及对比度相应估计的任选对应权重,例如指派给对应误差dE的归一化权重。累积误差可用于如下文所阐释的不同目的,例如以在多个目标测量的分析过程内计算每一测量结果的权重。类似考虑可应用于组合在相同测量条件下测量但起因于不同分析的不同测量误差估计。可使用单种分析方法从不同测量条件导出若干误差测量(例如在非限制性实例中通过不同颜色滤波器的测量),以例如从通过使用特定滤波器或通过使用所有已知滤波器或所有已知滤波器的某个组合进行的测量误差量化与所述同一滤波器一起使用的总目标误差。
应注意,使用叠加错位误差估计115实现了使与不同ROI相关的估计相关联,例如通过加权与不同ROI相关的估计而导出叠加错位误差估计115。有利地,组合来自不同ROI的多个错位误差贡献可增强叠加错位误差估计及目标质量估计的准确度。
任何所揭示的经计算误差115(例如包含上文所列出的分量及/或可从其导出的任何累积误差中的一或多者)可用于导出使相应经计算误差与晶片60的坐标相关的错位图117,及/或使相应经计算误差与目标70相关的目标质量矩阵130(显然,可从错位图117导出目标质量矩阵130)。目标质量矩阵130及/或错位图117可用于导出关于生产步骤及过程的更多信息,如下文所揭示。目标质量矩阵130可经配置以使每一目标与表示目标的叠加错位误差估计115的数量集合相关联。
进一步应注意,可以不同方式计算任何所揭示的经计算误差115以依据错位叠加相互关联及/或表达测量的各个方面。对应旗标可从任何所揭示的经计算误差115导出且用于成像叠加计量系统100的操作中。
在某些实施例中,目标质量矩阵130可用于选择目标(目标剔除132)及/或加权目标(如上文所揭示)以导出更准确及/或更可靠的叠加估计。在某些实施例中,成像叠加计量系统100可进一步包括错位模型化模块120,错位模型化模块120经配置以由叠加测量工具80根据目标质量矩阵130修改目标选择以相对于准确度及/或测量时间来优化目标取样140。
在某些实施例中,错位模型化模块120可进一步经配置以将从叠加错位误差估计模块110接收且基于经计算叠加错位误差估计115的目标质量矩阵130并入—到由叠加测量工具80导出的晶片叠加图90中。
在某些实施例中,叠加错位误差估计模块110可进一步经配置以从经计算叠加错位误差估计115导出错位图117,且成像叠加计量系统100可进一步经配置以使错位图117与过程图50相关以识别过程误差且例如由错位模型化模块120建议过程校正134。替代地或补充地,成像叠加计量系统100可经配置以基于目标质量矩阵130导出或建议过程校正134。
除由现有技术叠加工具测量的叠加错位(也被称为叠加误差或错位误差,基本上是与过程的两个或更多层相关联的目标的分量的定位的偏移)以外,某些实施例还提供及/或利用叠加错位误差估计。在某些实施例中,可由错位模型化模块120及/或目标质量估计模块160使用来自叠加错位误差估计模块110的叠加错位误差估计115而增强及/或改进错位图117、晶片叠加图90及过程图50中的任一者。目标质量估计模块160通过分析叠加错位误差估计(其在现有技术中未处置)而增加另一层级的过程控制。
叠加错位误差115在晶片60上的分布,如例如错位图117中所提供,可以额外方式用于例如区域分析晶片内模型化,其中不同区域作为整体具有区别于晶片行为的特性误差行为。经计算叠加错位误差115及/或错位图117的部分中的任一者可用于量化及特性化晶片区及/或整个晶片。
在某些实施例中,可相对于一或多批次的晶片60且也可相对于作为整体的生产过程及/或相对于晶片60的多个层来分析叠加错位误差115的分布,如例如错位图117中所提供。此类分析可用于导出(例如由目标质量估计模块160及/或由特定模块)超过晶片级的工厂过程控制信息。
在某些实施例中,经计算叠加错位误差估计115可用于基于与经计算叠加错位误差估计115相关的旗标值的分布聚集多个晶片60。例如,晶片级错位图117可用作特定过程或过程集合中的故障的签名,且用于导出过程校正134。因此,错位图117可用于提供与过程步骤或特征的本质联系、用于改进及校正生产过程,可能独立于其它计量测量(例如叠加)。
在某些实施例中,经计算叠加错位误差估计115可用于提供前馈取样优化例如作为取样优化140的部分。错位图117可由成像叠加计量系统100用来识别晶片60上的通常具有较高误差值的(若干)区域,且修改目标取样测量程序及/或数据分析算法以适应较高误差值。例如,成像叠加计量系统100的适应可通过增加一或多个层中的取样密度、使用更多目标70及/或特定的更可靠目标70(如上文所识别)、改变测量条件(例如增强对比度)、使用更多子区域150、选择ROI 72的特定子区域150等而进行。
虽然叠加错位误差估计模块110及/或错位模型化模块120可经配置以在成像叠加计量系统100的操作期间实时操作,但在某些实施例中,叠加错位误差估计模块110及/或错位模型化模块120也可使用所获得测量图像脱机操作—从而视需要允许所揭示分析在例如故障排除或开发阶段期间的灵活性及扩展。
在某些实施例中,所揭示的成像叠加计量系统100可进一步经配置以将经计算叠加错位误差估计115组合到适用于任何过程阶段及生产层级的至少一个测量保真度指示符中。
有利地,将依据错位叠加计算的叠加错位误差估计115并入到计量测量结果的分析中—实现增加结果的准确度及可靠度,这是因为与较准确生产的目标相比,不太准确生产的目标(例如晶片的边际目标)可被给予更小权重。在某些实施例中,使用叠加错位误差估计115还可实现视需要进行准确度与吞吐量之间的受控权衡,其中在权衡中清楚地指示及控制准确度降低的量。
在某些实施例中,所揭示的成像叠加计量系统100可进一步经配置以基于经计算叠加错位误差估计115提供及控制准确度与吞吐量之间的权衡。
上文所揭示的元件可以任何可操作组合进行组合,且在某些图而非其它图中绘示某些元件仅仅用于阐释性目的而非限制性的。
图3是绘示根据本发明的一些实施例的方法200的高级流程图。可关于上文所描述的成像叠加计量系统100实行方法阶段,成像叠加计量系统100可任选地经配置以实施方法200。方法200可至少部分地由例如计量模块中的至少一个计算机处理器实施。某些实施例包括计算机程序产品,所述计算机程序产品包括计算机可读存储媒体,所述计算机可读存储媒体具有与其一起体现且经配置以实行方法200的相关阶段的计算机可读程序。某些实施例包括计量模块,所述计量模块包括实施方法200的阶段的计算机程序产品。方法200可包括以下阶段,而无关于其顺序。
方法200可包括:从至少一个计量成像目标中的每一ROI的经分析测量计算叠加错位误差估计(阶段210);及将经计算叠加错位误差估计并入在对应叠加估计中(阶段230),其中计算210、并入230及可能为下文列出的其它阶段由至少一个计算机处理器实行(阶段300)。
在某些实施例中,可相对于ROI信号的不同分量(例如线性分量、周期性分量及/或噪声(残余)分量)计算叠加错位误差估计;在某些实施例中,可相对于每一ROI的多个子区域计算叠加错位误差估计;且在某些实施例中,可相对于ROI信号的多个分量与ROI的多个子区域的组合计算叠加错位误差估计(阶段212)。方法200可进一步包括从每一ROI的子区域的多个经计算叠加错位误差估计计算额外叠加错位误差估计(阶段215)。
方法200可进一步包括:依据叠加错位计算对比度误差估计且将经计算对比度误差并入在对应叠加错位误差估计中(阶段220);及可能从每一ROI的多个经计算叠加错位误差估计及对比度误差计算所述ROI的加权误差估计(阶段225)。
方法200可进一步包括:从多个计量成像目标的经计算叠加错位误差估计导出目标质量矩阵(阶段235);及使用来自目标质量矩阵的值选择目标以进行未来测量(阶段240)。在某些实施例中,方法200可包括由叠加测量工具使用多个目标的经计算叠加错位误差估计来优化多个计量成像目标的取样(阶段245)。在某些实施例中,方法200可包括使用来自目标质量矩阵的值来加权对应晶片叠加图(例如通过导出加权晶片叠加矩阵)(阶段250)。在某些实施例中,方法200可包括从目标质量矩阵导出过程校正(阶段260)。
方法200可包括:从多个计量成像目标的经计算叠加错位误差估计导出误差图;及使误差图与过程图相关以识别过程误差(阶段270),以可能从其导出过程校正。
方法200可进一步包括在任何系统级及/或过程步骤处,将经计算叠加错位误差估计并入到至少一个测量保真度指示符中(阶段280)。
方法200可进一步包括基于经计算叠加错位误差估计对于吞吐量可控制地权衡准确度(阶段290)。
有利地,相对于现有技术,所揭示系统100及方法200在提供叠加及其它计量测量时提供对个别目标质量的充分考虑。虽然当前实践仅应用目标剔除来避免考虑最极其异常的目标,且因此本质上在范围及二进制方面受限,但所揭示实施例提供可以连续方式集成到计量测量过程中且此外依据叠加错位评估目标质量的分级及加权目标质量分析,所述分析形成用于评估来自不同源的误差的共同基础,如上文所阐释。此外,所揭示实施例使误差评估能够在相关时在晶片当中传播且允许独立地或作为其它计量测量的增强识别过程缺陷。所揭示误差分析进一步实现在与生产步骤、测量参数及目标特性相关的各种误差源当中进行区分,且实现根据其致使的误差将其分离,这在单纯的目标剔除之间提供额外信息水平。
上文关于根据本发明的实施例的方法、设备(系统)及计算机程序产品的流程图及/或部分图描述本发明的方面。将理解,流程图及/或部分图的每一部分及流程图及/或部分图中的部分的组合可由计算机程序指令实施。可将这些计算机程序指令提供到通用计算机、专用计算机或其它可编程数据处理设备的处理器以产生机器,使得经由计算机或其它可编程数据处理设备的处理器执行的指令创建用于实施流程图及/或部分图或其部分中所指定的功能/动作的部件。
这些计算机程序指令也可存储在计算机可读媒体中,所述计算机程序指令可引导计算机、其它可编程数据处理设备或其它装置以特定方式运作,使得存储在计算机可读媒体中的指令产生制品,包含实施流程图及/或部分图或其部分中所指定的功能/动作的指令。
计算机程序指令也可经加载到计算机、其它可编程数据处理设备或其它装置上以致使在计算机、其它可编程设备或其它装置上执行一系列操作步骤以产生计算机实施过程,使得在计算机或其它可编程设备上执行的指令提供用于实施在流程图及/或部分图或其部分中所指定的功能/动作的过程。
前述流程图及图解绘示根据本发明的各种实施例的系统、方法及计算机程序产品的可能实施方案的架构、功能及操作。就这一点来说,流程图或部分图中的每一部分可表示模块、片段或代码的部分,其包括用于实施(若干)指定逻辑功能的一或多个可执行指令。还应注意,在一些替代实施方案中,部分中所提及的功能可不按图中提及的顺序发生。例如,事实上,取决于所涉及的功能性,连续展示的两个部分可大致上同时执行,或所述部分有时可按相反顺序执行。还应注意,部分图及/或流程图的每一部分及部分图及/或流程图中的部分的组合可由执行指定功能或动作的基于专用硬件的系统或专用硬件及计算机指令的组合实施。
在上文描述中,实施例是本发明的实例或实施方案。“一个实施例”、“实施例”、“某些实施例”或“一些实施例”的各种出现未必是指相同实施例。尽管可在单个实施例的背景中描述本发明的各种特征,然所述特征也可单独地或以任何适合组合提供。相反地,尽管本文中为清楚起见在单独实施例的背景中描述本发明,然本发明也可在单个实施例中实施。本发明的某些实施例可包含来自上文揭示的不同实施例的特征,且某些实施例可并入有来自上文揭示的其它实施例的元件。不应将在特定实施例的背景中对本发明的元件的揭示视为将其用途单独限于特定实施例中。此外,应理解,本发明可以各种方式实行或实践,且本发明可在除上文描述中所概述的实施例以外的某些实施例中实施。
本发明不限于所述图解或对应描述。例如,流程不需要进行每一所绘示框或状态或严格按照如所绘示及描述的相同顺序进行。本文中使用的技术及科学术语的含义如本发明所属领域一般技术人员所普遍理解,除非另有定义。虽然已关于有限数目个实施例描述本发明,但这些实施例不应被理解为对本发明的范围的限制,而是为一些优选实施例的例证。其它可能变动、修改及应用也在本发明的范围内。因此,本发明的范围不应受迄今已描述的内容限制,而是受所附权利要求书及其合法等效物限制。

Claims (23)

1.一种方法,其包括:
从至少一个计量成像目标中的每一ROI(所关注区域)的经分析测量计算叠加错位误差估计,及
将所述经计算叠加错位误差估计并入在对应叠加错位估计中,
其中所述计算及所述并入是由至少一个计算机处理器实行。
2.根据权利要求1所述的方法,其中相对于ROI信号的不同分量计算所述叠加错位误差估计。
3.根据权利要求1所述的方法,其中相对于每一ROI的多个子区域计算所述叠加错位误差估计。
4.根据权利要求3所述的方法,其进一步包括从每一ROI的所述子区域的所述多个经计算叠加错位误差估计计算额外叠加错位误差估计。
5.根据权利要求1所述的方法,其进一步包括依据叠加错位计算对比度误差估计,及
将所述经计算对比度误差并入在所述对应叠加错位估计中。
6.根据权利要求5所述的方法,其进一步包括从所述ROI的多个经计算叠加错位误差估计及所述对比度误差计算每一ROI的加权叠加错位误差估计。
7.根据权利要求1到6中任一权利要求所述的方法,其进一步包括从多个计量成像目标的所述经计算叠加错位误差估计导出目标质量矩阵,及使用来自所述目标质量矩阵的值来选择目标以进行未来测量。
8.根据权利要求1到6中任一权利要求所述的方法,其进一步包括从多个计量成像目标的所述经计算叠加错位误差估计导出目标质量矩阵,及使用来自所述目标质量矩阵的值来加权对应晶片叠加图。
9.根据权利要求1到6中任一权利要求所述的方法,其进一步包括从多个计量成像目标的所述经计算叠加错位误差估计导出目标质量矩阵,及从所述目标质量矩阵导出过程校正。
10.根据权利要求1到6中任一权利要求所述的方法,其进一步包括从多个计量成像目标的所述经计算叠加错位误差估计导出错位图,及使所述错位图与过程图相关以识别过程误差。
11.根据权利要求1到6中任一权利要求所述的方法,其进一步包括由叠加测量工具使用多个计量成像目标的所述经计算叠加错位误差估计来优化所述多个目标的取样。
12.根据权利要求1到11中任一权利要求所述的方法,其进一步包括将所述经计算叠加错位误差估计组合到至少一个测量保真度指示符中。
13.根据权利要求1到11中任一权利要求所述的方法,其进一步包括基于所述经计算叠加错位误差估计而对于吞吐量可控制地权衡准确度。
14.一种计算机程序产品,其包括非暂时性计算机可读存储媒体,所述非暂时性计算机可读存储媒体具有与其一起体现的计算机可读程序,所述计算机可读程序经配置以实行方法1到13中的任一者。
15.一种计量模块,其包括根据权利要求14所述的计算机程序产品。
16.一种成像叠加计量系统,其包括:
叠加测量工具,其经配置以测量来自晶片上的多个计量成像目标中的每一者的多个ROI,及
叠加错位估计模块,其经配置以从所述ROI的经分析测量中的不同分量计算叠加错位误差估计,
其中所述成像叠加计量系统经配置以将所述经计算叠加错位误差估计并入在对应叠加错位估计中。
17.根据权利要求16所述的成像叠加计量系统,其中所述叠加错位估计模块进一步经配置以相对于每一ROI的多个子区域计算所述叠加错位误差估计,且从每一ROI的所述子区域的所述多个经计算叠加错位误差估计计算额外叠加错位误差估计。
18.根据权利要求16所述的成像叠加计量系统,其中所述叠加错位估计模块进一步经配置以依据叠加计算对比度误差估计,且将所述经计算对比度误差并入在所述对应叠加错位估计中。
19.根据权利要求16所述的成像叠加计量系统,其进一步包括错位模型化模块,所述错位模型化模块经配置以将从所述叠加错位估计模块接收且基于所述经计算叠加错位误差估计的目标质量矩阵并入到所述叠加测量工具的晶片叠加图中。
20.根据权利要求19所述的成像叠加计量系统,其中所述错位模型化模块进一步经配置以由所述叠加测量工具根据所述目标质量矩阵修改目标选择以相对于准确度及/或测量时间优化目标取样。
21.根据权利要求19所述的成像叠加计量系统,其中所述叠加错位估计模块进一步经配置以从所述经计算叠加错位误差估计导出错位图,且其中所述成像叠加计量系统进一步经配置以使所述错位图与过程图相关以识别过程误差。
22.根据权利要求16到21中任一权利要求所述的成像叠加计量系统,其进一步经配置以将所述经计算叠加错位误差估计组合到至少一个测量保真度指示符中。
23.根据权利要求16到21中任一权利要求所述的成像叠加计量系统,其进一步经配置以基于所述经计算叠加错位误差估计而提供及控制准确度与吞吐量之间的权衡。
CN201780093820.1A 2017-10-22 2017-10-22 在成像叠加计量中利用叠加错位误差估计 Active CN111033382B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2017/057767 WO2019078901A1 (en) 2017-10-22 2017-10-22 USE OF OVERLAY DISTORTION ERROR ESTIMATES IN IMAGING OVERLAY METROLOGY

Publications (2)

Publication Number Publication Date
CN111033382A true CN111033382A (zh) 2020-04-17
CN111033382B CN111033382B (zh) 2021-12-14

Family

ID=66170079

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780093820.1A Active CN111033382B (zh) 2017-10-22 2017-10-22 在成像叠加计量中利用叠加错位误差估计

Country Status (5)

Country Link
US (1) US10565697B2 (zh)
KR (1) KR102253565B1 (zh)
CN (1) CN111033382B (zh)
TW (1) TWI736696B (zh)
WO (1) WO2019078901A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI735747B (zh) 2017-02-28 2021-08-11 美商克萊譚克公司 度量方法及模組,分段疊對目標,及電腦程式產品
US10533848B2 (en) 2018-03-05 2020-01-14 Kla-Tencor Corporation Metrology and control of overlay and edge placement errors
US11640117B2 (en) 2019-06-25 2023-05-02 Kla Corporation Selection of regions of interest for measurement of misregistration and amelioration thereof
WO2021054928A1 (en) * 2019-09-16 2021-03-25 Kla Corporation Periodic semiconductor device misregistration metrology system and method
CN111123662B (zh) * 2020-01-19 2022-04-26 中国科学院微电子研究所 一种获取套刻误差量测数据的方法及装置
US11809090B2 (en) * 2020-01-30 2023-11-07 Kla Corporation Composite overlay metrology target
US20220344218A1 (en) * 2021-04-22 2022-10-27 Kla Corporation Systems and methods for improved metrology for semiconductor device wafers
KR102580204B1 (ko) * 2023-03-02 2023-09-19 (주)오로스 테크놀로지 1차원 오버레이 오차 측정을 위한 오버레이 마크, 이를 이용한 광학 수차 평가 방법, 이를 이용한 오버레이 마크 품질 평가 방법, 오버레이 측정 장치, 오버레이 측정 방법 및 반도체 소자의 제조방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060280357A1 (en) * 2002-06-05 2006-12-14 Kla-Tencor Technologies, Corporation Use of overlay diagnostics for enhanced automatic process control
CN101241312A (zh) * 2008-03-06 2008-08-13 上海微电子装备有限公司 一种光刻机成像质量现场测量方法
CN102967997A (zh) * 2011-08-30 2013-03-13 Asml荷兰有限公司 用于确定重叠误差的方法和设备
US20140136137A1 (en) * 2012-11-09 2014-05-15 Kla-Tencor Corporation Metrology target characterization
CN107078074A (zh) * 2014-11-25 2017-08-18 科磊股份有限公司 分析及利用景观
CN107077077A (zh) * 2014-09-22 2017-08-18 Asml荷兰有限公司 过程窗口识别符

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5206820A (en) * 1990-08-31 1993-04-27 At&T Bell Laboratories Metrology system for analyzing panel misregistration in a panel manufacturing process and providing appropriate information for adjusting panel manufacturing processes
US5485203A (en) * 1991-08-12 1996-01-16 Olympus Optical Co., Ltd. Color misregistration easing system which corrects on a pixel or block basis only when necessary
US7400430B2 (en) * 2003-09-25 2008-07-15 Infoprint Solutions Company, Llc. Detecting and compensating for color misregistration produced by a color scanner
US6948149B2 (en) * 2004-02-19 2005-09-20 Infineon Technologies, Ag Method of determining the overlay accuracy of multiple patterns formed on a semiconductor wafer
TWI649572B (zh) * 2012-11-09 2019-02-01 美商克萊譚克公司 用於計量目標之特性化之方法、計量系統及用於計量系統之視覺使用者介面
JP6291173B2 (ja) * 2013-06-06 2018-03-14 キヤノン株式会社 画像形成装置
US10152654B2 (en) * 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US10210606B2 (en) * 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
NL2017271A (en) * 2015-08-20 2017-02-22 Asml Netherlands Bv Metrology method and apparatus, substrates for use in such methods, lithographic system and device manufacturing method
CN108139682B (zh) * 2015-10-02 2020-12-25 Asml荷兰有限公司 量测方法和设备、计算机程序及光刻系统

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060280357A1 (en) * 2002-06-05 2006-12-14 Kla-Tencor Technologies, Corporation Use of overlay diagnostics for enhanced automatic process control
CN101241312A (zh) * 2008-03-06 2008-08-13 上海微电子装备有限公司 一种光刻机成像质量现场测量方法
CN102967997A (zh) * 2011-08-30 2013-03-13 Asml荷兰有限公司 用于确定重叠误差的方法和设备
US20140136137A1 (en) * 2012-11-09 2014-05-15 Kla-Tencor Corporation Metrology target characterization
CN107077077A (zh) * 2014-09-22 2017-08-18 Asml荷兰有限公司 过程窗口识别符
CN107078074A (zh) * 2014-11-25 2017-08-18 科磊股份有限公司 分析及利用景观

Also Published As

Publication number Publication date
KR102253565B1 (ko) 2021-05-18
TW201923489A (zh) 2019-06-16
KR20200062347A (ko) 2020-06-03
WO2019078901A1 (en) 2019-04-25
CN111033382B (zh) 2021-12-14
US20190122357A1 (en) 2019-04-25
US10565697B2 (en) 2020-02-18
TWI736696B (zh) 2021-08-21

Similar Documents

Publication Publication Date Title
CN111033382B (zh) 在成像叠加计量中利用叠加错位误差估计
KR102351345B1 (ko) 오버레이 계측 데이터에 대한 확률적 행위의 영향 결정
CN108700463B (zh) 分析散射术计量中工艺变动的根本原因
CN105830069B (zh) 依据要求的目标及工艺灵敏度分析
KR100817092B1 (ko) 중첩계측오차를 보정하기 위한 계측시스템 및 이를 이용한계측방법
TWI614721B (zh) 在半導體製造中用於檢測之雜訊中所內嵌之缺陷之偵測
US10527952B2 (en) Fault discrimination and calibration of scatterometry overlay targets
KR101779636B1 (ko) 고급 공정 제어 기술의 최적화
CN111006612B (zh) 三维成像方法、装置、计算机设备和可读存储介质
CN107408522B (zh) 使用高维变量选择模型确定关键参数
JP2021506133A5 (zh)
CN110622287A (zh) 用于配方优化及测量的区域分析
CN114266223A (zh) 机台的故障确定方法、装置、设备和计算机可读存储介质
CN110763696A (zh) 用于晶圆图像生成的方法和系统
CN112514039B (zh) 减少装置覆盖误差
US20100021040A1 (en) Pattern evaluation apparatus and pattern evaluation method
KR20200096992A (ko) 전자 현미경을 사용한 반도체 계측 및 결함 분류
CN110870052B (zh) 在成像技术中估计振幅及相位不对称性以在叠加计量中达到高精准度
CN102262188B (zh) 工件抽样检验的方法
CN114762094A (zh) 用于基于计量景观优化计量的系统及方法
CN111582308A (zh) Opc建模数据的可信度的计算方法、设备和存储介质
TW202032686A (zh) 用於精確度量衡量測之每位點殘量分析
CN108846863B (zh) 定位标位置检测方法、装置、计算机和存储介质
CN112907684A (zh) 一种湿度检测方法、装置、设备及介质
CN116228800A (zh) 隔膜宽度检测方法、装置、电子设备及可读存储介质

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant