CN110955108A - 用于极紫外光微影制程的光罩 - Google Patents

用于极紫外光微影制程的光罩 Download PDF

Info

Publication number
CN110955108A
CN110955108A CN201910444603.3A CN201910444603A CN110955108A CN 110955108 A CN110955108 A CN 110955108A CN 201910444603 A CN201910444603 A CN 201910444603A CN 110955108 A CN110955108 A CN 110955108A
Authority
CN
China
Prior art keywords
layer
photoresist
extreme ultraviolet
pattern
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910444603.3A
Other languages
English (en)
Inventor
林雲躍
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110955108A publication Critical patent/CN110955108A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一种用于极紫外光微影制程的光罩包含多层堆叠、覆盖层、吸收层以及边界层。多层堆叠包含多层金属层和多层半导体层交替设置在光罩基板的第一表面上。覆盖层设置于多层堆叠的上方。影像图案形成在吸收层中且吸收层设置于覆盖层的上方。边界层环绕影像图案且设置于吸收层的上方。

Description

用于极紫外光微影制程的光罩
技术领域
本申请案是关于一种用于极紫外光(extreme ultra violet,EUV)微影制程的光罩及其制造方法。
背景技术
极紫外光微影制程(EUV lithography)是用于制造10纳米节点及以上的半导体装置的最有前景的技术。在极紫外光微影制程中,极紫外光光罩为关键要素之一。对于极紫外光光罩来说,应优化多个光照参数以在极紫外光微影制程中实现精确和高解析度的图案形成。这些参数包含,但不限于,吸收体高度(absorber height)、阴影校正(shadowingcorrection)所需的最佳光学邻近效应校正(optimum optical proximity effectcorrections,OPC)、影像场中的反射率增加以及最佳影像边界。
极紫外光光罩具有黑色边界区域,而极紫外光微影制程工具的遮罩叶片(maskblades)放置在黑色边界区域上方。黑色边界是光罩上的晶片周围的无图案暗区,作为光罩晶片部分与通过光罩遮蔽(reticle masking,REMA)叶片而被曝光光线屏蔽部分之间的过渡区域。当在极紫外光扫描仪上以密集间距印刷晶片时,从影像边界反射的极紫外光会与相邻晶片的边缘重叠。此反射光还包含各种不需要的波长,称为频外(out-of-band,OOB)光。频外光将会不利地影响要形成在基板上的图案的精度,特别是在基板上的图案周围附近的部分。此外,由于残留吸收体反射率和REMA叶片的不稳定性,在相邻晶片的曝光期间发生极紫外光辐射外泄,导致晶片边缘附近的过度曝光。为了减少这种影响,在相邻的晶片之间设置黑色边界区域。黑色边界区域可以解决因相邻晶片曝光而引起的临界尺寸不均匀性(CD non-uniformity)。
发明内容
根据本揭露的一态样,一种用于极紫外光微影制程的光罩包含:多层堆叠、覆盖层、吸收层以及边界层。多层堆叠包含多层金属层和多层半导体层交替设置在光罩基板的第一表面上。覆盖层设置于多层堆叠的上方。有一影像图案形成在吸收层中且吸收层设置于覆盖层的上方。边界层环绕影像图案且设置于吸收层的上方。
附图说明
当结合随附附图进行阅读时,本揭露发明实施例的详细描述将能被充分地理解。应注意,根据业界标准实务,各特征并非按比例绘制且仅用于图示目的。事实上,出于论述清晰的目的,可任意增加或减小各特征的尺寸。在说明书及附图中以相同的标号表示相似的特征。
图1示意性地绘示了在极紫外光光罩中影像场周边形成的黑色边界;
图2示意性地绘示了黑色边界区域在减少相邻晶片的不期望的曝光效果;
图3示意性地绘示了根据本揭露一实施方式的用于制造极紫外光光罩的极紫外光光罩坯料的剖面图;
图4绘示了根据本揭露一实施方式的制造极紫外光光罩过程的流程图;
图5示意性地绘示了根据本揭露一实施方式的在制造极紫外光光罩过程期间各阶段之一的剖面图;
图6示意性地绘示了根据本揭露一实施方式的在制造极紫外光光罩过程期间各阶段之一的剖面图;
图7示意性地绘示了根据本揭露一实施方式的在制造极紫外光光罩过程期间各阶段之一的剖面图;
图8示意性地绘示了根据本揭露一实施方式的在制造极紫外光光罩过程期间各阶段之一的剖面图;
图9示意性地绘示了根据本揭露一实施方式的在制造极紫外光光罩过程期间各阶段之一的剖面图;
图10示意性地绘示了根据本揭露一实施方式的在制造极紫外光光罩过程期间各阶段之一的剖面图;
图11示意性地绘示了根据本揭露一实施方式的在制造极紫外光光罩过程期间各阶段之一的剖面图;
图12示意性地绘示了根据本揭露一实施方式的在制造极紫外光光罩过程期间各阶段之一的剖面图;
图13示意性地绘示了根据本揭露一实施方式的在制造极紫外光光罩过程期间各阶段之一的剖面图;
图14示意性地绘示了根据本揭露一实施方式的在制造极紫外光光罩过程期间各阶段之一的剖面图;
图15示意性地绘示了根据本揭露一实施方式的极紫外光光罩的剖面图;
图16示意性地绘示了根据本揭露一实施方式的极紫外光光罩的俯视图。
【符号说明】
100:极紫外光反射光罩
125:影像场
150:黑色边界
220:ReMa叶片
240:相邻晶片
250:当前晶片
300:光罩坯料
310:光罩基板
315:导电层
320:多层堆叠
325:覆盖层
330:吸收层
335:抗反射层
350:硬遮罩层
355:第一光阻层
360:第二光阻层
360’:正色调第二光阻层
360”:负色调第二光阻层
400:极紫外光光罩
460:光阻层
560:边界图案
650:影像图案
S410、S420、S430、S440、S450、S460:步骤
R:幅射
具体实施方式
应理解,以下揭示内容提供许多不同实施例或实例,以便实施本揭露实施例的不同特征。下文描述组件及排列的特定实施例或实例以简化本揭露。当然,此等实例仅为示例性且并不欲为限制性。举例而言,以下描述中在第二特征上方或第二特征上形成第一特征可包含以直接接触形成第一特征及第二特征的实施例,且亦可包含可在第一特征与第二特征之间插入形成额外特征以使得第一特征及第二特征可不处于直接接触的实施例包含包含。另外,本揭示案在各实例中可重复元件符号及/或字母。此重复为出于简单清楚的目的,且本身不指示所论述各实施例及/或配置之间的关系。
另外,为了便于描述,本文可使用空间相对性术语(诸如“之下”、“下方”、“下部”、“上方”、“上部”及类似者)来描述诸图中所所示的一元件或特征与另一元件(或多个元件)或特征(或多个特征)的关系。除了诸图所描绘的定向外,空间相对性术语意欲包含使用或操作中装置的不同定向。设备可经其他方式定向(旋转90度或处于其他定向上)且因此可同样解读本文所使用的空间相对性描述词。在本揭示案中,用语“A、B及C中的一者”意谓“A、B及/或C”(A、B、C、A及B、A及C、B及C,或者A、B及C),并且除非另外描述,否则不代表来自A中的一个元件、来自B中的一个元件及来自C中的一个元件。
在极紫外光(extreme ultra violet,EUV)微影制程中,由电浆发射的极紫外光光线在收集器镜(collector mirror)上产生反射,指向图案化极紫外光光罩,并从极紫外光光罩反射至目标基板上。极紫外光反射光罩包含基板、极紫外光反射多层(multilayered,ML)结构以及极紫外光吸收层(吸收体(absorber))。通过蚀刻部分的吸收层来图案化极紫外光吸收层,以暴露出那些形成所需图案的下层部分的多层结构。极紫外光辐射从暴露的多层结构反射至涂布有极紫外光光阻的目标基板上。未被蚀刻的残留的极紫外光吸收层的多个部分吸收极紫外光辐射,以便不将极紫外光辐射反射至目标基板上,从而在目标基板上形成所需的图案。
在多个实施方式中,在目标基板上提供一层感光材料(对极紫外光感光)并在从极紫外光光罩反射的极紫外光光束下曝光。在一些实施方式中,目标基板是未图案化的晶圆,且在其他实施方式中,目标基板具有一或多个图案化层,其中的图案是事先使用微影、沉积和/或蚀刻制程印刷出来的。在极紫外光光罩包含例如用于校准晶圆图案的测试结构的实施方式中,未图案化的基板用于避免由图案化基板的不平坦表面所引起的复杂性。在一些实施方式中,未图案化的基板包含硅晶圆,其顶部具有二氧化硅层或氮化硅层。二氧化硅层或氮化硅层的厚度没有特别限制。在这样的实施方式中,诸如有光阻材料的感光层通过如旋涂的方式设置在二氧化硅层或氮化硅层上且在从极紫外光光罩反射的极紫外光光束下曝光,并且显影以在晶圆上形成图案化的光阻层。然后,通过使用图案化的光阻层作为蚀刻遮罩蚀刻二氧化硅层或氮化硅层,而将图案印刷在晶圆上。接着在一些实施例中移除光阻层。然而,在一些实施方式中,例如在测量光阻本身的特性时,感兴趣的是不去除光阻层。在这样的实施方式中,可以通过残留未蚀刻的二氧化硅层或氮化硅层来减少处理步骤。
极紫外光吸收层的厚度、多层结构中每一层的厚度、上述这些层的表面粗糙度以及遍及这些层的材料性质的均匀性(homogeneity)决定了照射目标基板的极紫外光辐射的品质。在工业应用实务上,离轴照明(off-axis illumination)或其他因素会对目标基板产生阴影效应(shadow effect),且极紫外光吸收层的厚度变化会影响极紫外光吸收层和多层结构的组合的正常运作。
图1示意性地绘示了在极紫外光光罩中影像场周边形成的黑色边界。“黑色边界”150形成在极紫外光反射光罩100的所需图案(例如,影像场125)的边缘处,以避免相邻晶片中的图案边缘过度曝光。“黑色边界”是一个非反射区域,用于防止相邻晶片因残留吸收体反射率(residual absorber reflectivity)、离轴反射阴影效应(off-axis reflectionshadow effects)、频外光等而曝光。
图2示意性地绘示了黑色边界如何减少相邻晶片的不期望的曝光效果。入射在黑色边界150的辐射R(尽管有ReMa叶片(ReMa blades)220的存在)会被黑色边界150吸收,进而防止与当前晶片250邻近的相邻晶片240的边缘区域的曝光。
在一些实施例中,通过蚀刻电路图案周围的预定区域来形成黑色边界150,以形成足够深度的非反射沟槽,其用于从该区域的任何反射极紫外光辐射的破坏性干涉(destructive interference)。然而,额外的蚀刻步骤也需要额外的微影步骤,这增加了处理时间并因此增加了损坏光罩上电路图案的可能性。此外,额外的蚀刻步骤可能导致粒状残留物扩散至电路图案上,进而造成不希望的缺陷。因此,黑色边界的这种实施例很容易受到较长处理时间和较低产率的影响。
为了缓和这些缺点中的一部分,在一些实施例中,电路图案周围的预定区域从光罩的背面(即,基板侧而不是图案侧)进行雷射退火用以故意改变多层结构,进而改变多层结构在所需波长的反射率。然而,在这样的过程中多层结构的反射率会发生变化是起因于热诱导的物理和化学变化,所以难以精确地控制发生这种变化的区域,进而可能损坏影像区域。因此,需要用于形成极紫外光光罩的黑色边界的替代形式和方法。
本揭示案一般涉及极紫外光光罩,且具体地涉及用于极紫外光光罩的非反射“黑色边界”,以及用于制造具有非反射黑色边界的极紫外光光罩的方法。极紫外光光罩和制造极紫外光光罩的方法提供了黑色边界区域的形成而没有实质上额外的微影制程或加热步骤,进而避免了损坏影像区域的潜在问题。
图3示意性地绘示了根据本揭露一实施方式的用于制造极紫外光光罩的极紫外光光罩坯料的剖面图。在一实施方式中,极紫外光光罩坯料300包含设置在光罩基板310的第一主表面上方的多层极紫外光反射(ML)堆叠320。覆盖层325设置在多层堆叠320上,且极紫外光吸收层或吸收体330设置在覆盖层325上方。
在一些实施方式中,如图3所示,抗反射层335设置在吸收层330上方,且导电背面涂层315设置在光罩基板310的第二主表面上。此第二主表面与其上设置有多层堆叠320的第一主表面相对。在一些实施例中,导电背面涂层315是通过静电吸附(electrostaticchucking)用以固定光罩以进行光微影成像操作。在一实施方式中,导电层315由陶瓷化合物形成,其包含CrN、CrO、TaB、TaBN、TaBO、TaO、TaN、Ta或用于光罩的静电吸附(electrostatic chucking)的任何合适的材料。
在一些实施方式中,光罩基板310由低热膨胀玻璃材料制成,包含氧化钛掺杂的二氧化硅或任何其他合适的低热膨胀材料,例如石英、硅、碳化硅和/或本领域已知的其他低热膨胀物质。这些低热膨胀物质可以最小化极紫外光光微影成像环境中由于光罩加热所引起的影像失真(image distortion)。在一些实施方式中,光罩基板310具有如高纯度单晶(single crystal)基板的低缺陷水平以及使用原子力显微镜(atomic force microscope)量测的低水平表面粗糙度。
多层堆叠320包含多层Mo层和多层Si层交替设置在光罩基板310上方。多层堆叠320通过对多层结构内的每一层使用适当的厚度,在不同折射指数的Mo层和Si层之间的介面提供菲涅耳共振反射(Fresnel resonant reflections)。高质量的反射依赖于相位匹配(phase-matching)的建设性干涉和从不同层反射的光线的强度累加。这些层的厚度取决于入射光的波长和入射至极紫外光光罩坯料300的角度。对于特定的入射角,选择多层堆叠320的每一层的厚度以对在多层堆叠320的不同界面处反射的光实现最大的建设性干涉。因此,多层堆叠320中的每一层的均匀厚度和低表面粗糙度是高质量菲涅耳共振反射所需要的。在一些实施方式中,多层堆叠320中的每一层的厚度为约3纳米至约7纳米。
在本揭示案的一些实施方式中,多层堆叠320包含交替的钼(molybdenum)层和铍(beryllium)层。在一些实施方式中,多层堆叠320中的层数在约20至100的范围内,即便允许任何数量的层,只要保持足够的反射率以对目标基板成像即可。在一些实施方式中,对于感兴趣的波长(例如,13.5纳米),反射率高于约70%。在一些实施方式中,多层堆叠320包含约30至约60个Mo和Si(或Be)的交替层。在本揭示案的其他实施方式中,多层堆叠320包含约40至约50个Mo和Si(或Be)的交替层。
形成多层堆叠320的多个层的方法包含,但不限于,物理气相沉积(physicalvapor deposition,PVD)制程,如蒸镀(evaporation)、RF或DC溅射;化学气相沉积(chemical vapor deposition,CVD)制程,如常压(atmospheric-pressure)、低压(low-pressure)、电浆增强(plasma-enhanced)和高密度电浆CVD(high-density plasma CVD);原子层沉积(atomic layer deposition,ALD);离子束沉积(ion beam deposition);以及液相非真空(liquid-phase non-vacuum)法,如溶胶凝胶(sol-gel)法和金属有机物分解(metal-organic decomposition)法;和/或本领域已知的任何其他合适的方法。
在一些实施方式中,形成在多层堆叠320上方的覆盖层325可以防止多层堆叠320的氧化。在一些实施方式中,覆盖层325由诸如硅(silicon)和钌(ruthenium)的材料形成。在一些实施方式中,覆盖层325的厚度在约2纳米至约7纳米的范围内。形成覆盖层325的方法包含,但不限于,离子束沉积(ion beam deposition,IBD)、物理气相沉积(physicalvapor deposition,PVD)制程,如蒸镀(evaporation)、RF或DC溅射;化学气相沉积(chemical vapor deposition,CVD)制程,如常压(atmospheric-pressure)、低压(low-pressure)、电浆增强(plasma-enhanced)和高密度电浆CVD(high-density plasma CVD);原子层沉积(atomic layer deposition,ALD);离子束沉积(ion beam deposition);以及液相非真空(liquid-phase non-vacuum)法,如溶胶凝胶(sol-gel)法和金属有机物分解(metal-organic decomposition)法;和/或本领域已知的任何其他合适的方法。
形成在覆盖层325上方的极紫外光吸收层或吸收体330吸收波长在极紫外光波长范围内的辐射,例如13.5纳米。在本揭示案的一些实施方式中,极紫外光吸收层330由单层或多层所形成。在一些实施方式中,极紫外光吸收层330由包含钽化合物(tantalumcompound)的材料形成。在一些实施方式中,极紫外光吸收层330由TaN或TaBN所形成。在一些实施方式中,用于制造极紫外光吸收层330的材料还包含钼(molybdenum)、钯(palladium)、锆(zirconium)、镍(nickel)、氧化镍(nickel oxide)、硅化镍(nickelsilicide)、钛(titanium)、氮化钛(titanium nitride)、铬(chromium)、氧化铬(chromiumoxide)、氧化铝(aluminum oxide)、铝铜合金(aluminum-copper alloy)或其他合适的材料。
形成极紫外光吸收层或吸收体330的方法包含,但不限于,物理气相沉积(physical vapor deposition,PVD)制程,如蒸镀(evaporation)、RF或DC溅射;化学气相沉积(chemical vapor deposition,CVD)制程,如常压(atmospheric-pressure)、低压(low-pressure)、电浆增强(plasma-enhanced)和高密度电浆CVD(high-density plasma CVD);原子层沉积(atomic layer deposition,ALD);离子束沉积(ion beam deposition);以及液相非真空(liquid-phase non-vacuum)法,如溶胶凝胶(sol-gel)法和金属有机物分解(metal-organic decomposition)法;和/或本领域已知的任何其他合适的方法。
在一些实施方式中,设置在极紫外光吸收层330上方的抗反射层335是由包含SiO2、SiN、TaBO、TaO、CrO、ITO(indium tin oxide)或任何合适材料的材料所形成。抗反射层335可减少来自吸收层330的残留反射。在一些实施方式中,抗反射层335由不同于吸收层330的极紫外光吸收材料所形成。在其他实施方式中,形成抗反射层335以改变从吸收层330反射的任何极紫外光辐射的相位,以便通过破坏性干涉降低极紫外光辐射的反射强度。
形成抗反射层335的方法包含,但不限于,物理气相沉积(physical vapordeposition,PVD)制程,如蒸镀(evaporation)、RF或DC溅射;化学气相沉积(chemicalvapor deposition,CVD)制程,如常压(atmospheric-pressure)、低压(low-pressure)、电浆增强(plasma-enhanced)和高密度电浆CVD(high-density plasma CVD);原子层沉积(atomic layer deposition,ALD);离子束沉积(ion beam deposition);以及液相非真空(liquid-phase non-vacuum)法,如溶胶凝胶(sol-gel)法和金属有机物分解(metal-organic decomposition)法;和/或本领域已知的任何其他合适的方法。
本揭示的一态样是提供一种制造极紫外光光罩的方法,此极紫外光光罩具有环绕影像区域的黑色边界。图4绘示了根据本揭露一实施方式的制造极紫外光光罩过程的流程图。图5至图14示意性地绘示了根据本揭露一实施方式的在制造极紫外光光罩过程期间各阶段的剖面图。在一实施方式中,制造极紫外光光罩的方法包含,在S410,在光罩坯料300上形成硬遮罩层350和第一光阻层355。具体的说,如图5所示,在抗反射层335上形成硬遮罩层350,且在硬遮罩层350上形成第一光阻层355。
在多个实施方式中,适于形成硬遮罩层350的材料包含,但不限于,二氧化硅(silicon dioxide)、氮化硅(silicon nitride)、旋涂碳(spin-on carbon)、旋涂氧化物(spin-on oxide)、CrO、CrN、CrON、TaO、TaN、Ru、RuN、RuB、TaB、TaBN、TaBO及其氮氧化物等。用于硬遮罩层350的材料不受限制,只要与吸收层330的材料不同(并且具有蚀刻选择性)。在多个实施方式中,硬遮罩层350的厚度在约1纳米至约100纳米的范围内。形成硬遮罩层350的方法包含,但不限于,物理气相沉积(physical vapor deposition,PVD)制程,如蒸镀(evaporation)、RF或DC溅射;化学气相沉积(chemical vapor deposition,CVD)制程,如常压(atmospheric-pressure)、低压(low-pressure)、电浆增强(plasma-enhanced)和高密度电浆CVD(high-density plasma CVD);原子层沉积(atomic layer deposition,ALD);离子束沉积(ion beam deposition);以及液相非真空(liquid-phase non-vacuum)法,如溶胶凝胶(sol-gel)法和金属有机物分解(metal-organic decomposition)法;和/或本领域已知的任何其他合适的方法。
如图5所示,第一光阻层355形成于硬遮罩层350上方。用于第一光阻层355的合适的光阻材料的实例包含,但不限于,电子束光阻(e-beam resists),如PMMA或其他市售的正色调(positive tone)或负色调(negative tone)电子束光阻;或光阻材料,如SU8或其他市售的正色调或负色调光阻材料。在一些实施方式中,通过旋涂技术将第一光阻层355涂布至硬遮罩层350上,然后进行烘烤。
在S420中,将第一光阻层355于光化束幅射(actinic beam radiation)下曝光并显影,以在第一光阻层355中形成影像图案650,如图6所示。在一些实施方式中,光化幅射包含电子束(electron beam),而在其他实施方式中,光化幅射包含深紫外光(deepultraviolet,DUV)。在光化幅射包含电子束的实施方式中,第一光阻层355由诸如PMMA的电子束光阻材料所形成,且通过如直接写入(direct write)制程形成影像图案650,其中直接写入制程为紧密聚焦的电子束在第一光阻层355的表面上扫描,使得仅有对应至影像图案的该区域曝光在电子束下。然后显影电子束光阻材料以在第一光阻层中形成影像图案650。另一方面,在光化幅射为深紫外光的实施方式中,执行一系列的微影成像步骤(即,通过光罩曝光然后显影),以获得在第一光阻层中的影像图案650,因为深紫外光辐射的波长通常比极紫外光光罩的临界尺寸(critical dimension,CD)长的多。在一些实施方式中,一系列的微影成像步骤包含在液体下进行曝光(例如,浸没式微影(immersion lithography))。在一些实施方式中,相较于通过单一微影成像步骤所获得的图案解析度,进行一系列的微影成像步骤可以获得较高的图案解析度。举例来说,在一些实施方式中,单一图案被分成两个或更多个交错部分,每个交错部分具有比单一图案的临界尺寸更长的临界尺寸。将单一图案的两个或多个部分进行单独处理,同时“覆盖”其他部分,并且最后组合的所有部分提供的临界尺寸小于每个单独部分的临界尺寸。举例来说,可以通过交错两个50纳米半间距图案、三个75纳米半间距图案或四个100纳米半间距图案来产生25纳米半间距图案。
如图7所示,形成在第一光阻层355中的影像图案650通过移除硬遮罩350的通过影像图案350而曝光的部分延伸至硬遮罩350(见图6)。在一些实施方式中,通过使用合适的蚀刻剂对硬遮罩350进行干式和/或湿式蚀刻来去除硬遮罩350曝光的部分。在其他实施方式中,使用例如离子铣(ion milling)或其他合适的技术去除硬遮罩350曝光的部分。如图8所示,在一些实施方式中,一旦影像图案650形成在硬遮罩350中,移除第一光阻层355。
如图9所示,接着将影像图案650进一步延伸至抗反射层335和吸收层330中,以便在光罩坯料300上形成影像图案650。通过移除抗反射层335和吸收层330的通过形成在硬遮罩350中的影像图案650的曝光的一部分来执行影像图案650在抗反射层335和吸收层330中的延伸。通过使用合适的蚀刻剂的干式和/或湿式蚀刻来去除抗反射层335和吸收层330曝光的部分。如图10所示,一旦影像图案650形成在抗反射层335和吸收层330中,移除硬遮罩350。
在S430中,形成如图11所示的第二光阻层360。在一些实施方式中,通过沉积第二光阻层的材料(为了简化在此称为第二光阻材料)在其中形成有影像图案650的吸收层330的上方形成第二光阻层360,并以合适的旋转速度旋转基板以形成具有合适厚度的第二光阻层360。在一些实施方式中,根据第二光阻层360材料的粘度和第二光阻层360所期望的厚度来决定旋转速度。在多个实施方式中,第二光阻层360的厚度在约50纳米至约1000纳米的范围内。在具有抗反射层335的实施方式中,第二光阻层设置在抗反射层335上方。
在一些实施方式中,第二光阻材料包含聚合物和金属氧化物、金属氮化物或金属氧氮化物。特别的是,在一些实施方式中,第二光阻材料包含聚合物和金属氮化物或金属氧氮化物的纳米粒子。在多个实施方式中,纳米粒子具有一平均粒径(average particlesize)为约1纳米至约50纳米。合适的聚合物的实例包含,但不限于,聚乙烯醇(polyvinylalcohol,PVA)、聚乙烯吡咯烷酮(polyvinylpyrrolidone,PVP)、聚乙二醇(poly(ethylene glycol),PEG)、聚酰胺(polyamide,PA)、聚丙烯酰胺(polyacrylamide)、聚丙烯酸(poly(acrylic acid))、聚甲基丙烯酸(poly(methacrylic acid))等等。合适的金属氧化物的实例包含,但不限于,Al、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Rb、Sr、Zr、Nb、Mo、Ru、Pd、Ag、Te、Ta、W、Ir、Pt、La、Ce的氧化物等。金属氮化物的实例包含诸如TiN、ZrN、WN、TaN等的过度金属氮化物或诸如AlN、BN、Si3N4等的p区氮化物(p-block nitrides)。氧氮化物的实例包含(化学计量或非化学计量的)诸如氧氮化钛(titanium oxynitride)等的过度金属氧氮化物,或诸如氧氮化铝(aluminum oxynitride)的p区(化学计量或非化学计量的)氧氮化物。也考虑了如那些适用于金属氧化物的相同金属的其他氮化物和氧氮化物。在多个实施方式中,聚合物与金属氧化物、金属氮化物或金属氧氮化物的重量比在约9:1至约50:1的范围内。
在多个实施方式中,第二光阻层360是由具有剂量依赖的光阻色调(dosedependent resist tone)的材料所形成。举例来说,在一实施方式中,第二光阻层360的材料(为了方便在此简称为“第二光阻材料”)为电子束光阻材料,其具有用于第一曝光剂量(例如,低曝光剂量)的正型光阻色调以及用于第二曝光剂量(例如,高曝光剂量)的负型光阻色调。如本文所提及的低曝光剂量是在显影光阻具有正色调的剂量,且如本文所提及的高曝光剂量是在显影光阻具有负色调的剂量。在一些实施方式中,高曝光剂量比低曝光剂量高至少一个数量级。
在一些实施方式中,对于极紫外光波长(即波长在约5纳米至约20纳米的范围内),具有负色调的第二光阻材料(即在第二曝光剂量下于光化幅射的曝光之后)具有小于约3%的反射率。在一些实施方式中,针对波长在约12纳米至约14纳米的范围内,具有负色调的第二光阻材料具有小于约1%的反射率。举例来说,在一些实施方式中,当曝光剂量在约1mC/cm2至约50mC/cm2的范围内时可观察到正色调光阻性质。在一些实施方式中,对于大于约50mC/cm2的曝光剂量可以观察到负色调光阻性质。
在一些实施方式中,负色调的第二光阻材料(即在第二曝光剂量下的曝光之后)具有一均方根(root-mean squared,rms)表面粗糙度为约0.1纳米至约2纳米。不希望受理论限制,在第二剂量下曝光第二光阻材料导致第二光阻材料中的纳米粒子附聚(agglomeration),以在第二光阻层360的表面上形成岛状物(islands)或凸块(bumps)而产生粗糙的表面。通过散射的极紫外光幅射入射在第二光阻层上,这种表面粗糙度可以进一步减少来自边界区域的不希望的反射。
在一些实施方式中,使用溶胶凝胶(sol-gel)制程形成第二光阻材料。在其他实施方式中,通过将合适的金属氧化物、金属氮化物或金属氧氮化物的纳米粒子分散在合适的聚合物中来形成第二光阻材料。
在S440中,在第二光阻材料具有正色调的第一剂量下,在第二光阻层360上以第一剂量进行第一次曝光。在一些实施方式中,使用与影像图案相同的图案来进行第一次曝光。在第一次曝光之后,正色调第二光阻层360’覆盖通过形成在吸收层330中的影像图案650而曝光的抗反射层335和覆盖层325,如图12所示。
在S450中,在第二光阻材料具有负色调的第二剂量下,在正色调第二光阻层360’上进行第二次曝光。如图13所示,使用与环绕影像图案650的边界图案560对应的图案来进行第二次曝光。在一些实施方式中,提供边界图案560,使得负色调第二光阻层360”覆盖影像图案650周围的部分560下的抗反射层335,所述位置将减少使用极紫外光光罩曝光的曝光晶圆上影像图案650附近的影像图案的不希望的曝光。换句话说,边界图案560对应至「黑色边界」区域。
在S460中,显影第二光阻层36’、360”以去除正色调光阻层360’。在一些实施方式中,在显影第二光阻层之前进行烘烤步骤,以预固化(pre-cure)光阻材料并减少光阻材料中的溶剂量。在显影操作之后,由于其负色调而留下的第二光阻层360”会覆盖对应于边界图案560的抗反射层335的该部分,如图14所示。在一些实施方式中,如果需要,可在显影第二光阻层之后进行硬烘烤(hardbake)步骤,以进一步硬化负色调第二光阻层360”。
在一些实施方式中,第二光阻材料是负色调光阻,其只在对应于边界图案560的区域中用适当的剂量曝光。在其他实施方式中,第二光阻材料是正色调光阻,其在对应于边界图案560的区域中用非常高的曝光剂量(例如,大于100mC/cm2)曝光,导致正色调光阻的烧结(sintering)且造成正色调光阻在对应于边界图案560的区域中表现得像负色调光阻。换句话说,可以在正色调光阻中实现负色调的行为。
本揭示的一另态样是提供一种极紫外光光罩,其具有环绕影像区域的黑色边界区域。图15示意性地绘示了根据本揭露实施方式的极紫外光光罩的剖面图。在一实施方式中,极紫外光光罩400包含基板310和设置在光罩基板310的第一主表面上方的多层极紫外光反射(ML)堆叠320。覆盖层325设置在多层堆叠320的上方,且极紫外光吸收层或吸收层330设置在覆盖层325的上方。对应至影像图案650的部分吸收层330被移除。光阻层460设置在环绕影像图案的部分的吸收层330上,以形成边界区域560。
在一些实施方式中,使用如图3所示的极紫外光光罩坯料300来形成极紫外光光罩400。有关基板310、多层堆叠320、覆盖层325、吸收层330以及抗反射层335的描述已提供在本揭露书的其他地方。加工此极紫外光光罩坯料300以形成极紫外光光罩400,其包含部分吸收层330被移除的影像区域650。移除的部分是对应至将要在极紫外光幅射下曝光的电路图案区域。因此,光罩400的极紫外光幅射入射是使用极紫外光光罩400从影像区域650反射至待曝光的晶圆上,进而将涂布在晶圆上的光阻曝光在极紫外光幅射下并在光阻中形成电路图案。
光罩400还包含边界区域560,其中光阻层460设置在吸收层330的顶部。选择边界区域560以为影像图案提供黑色边界,以便在使用给定光罩400的曝光期间减少正在曝光的晶片与相邻晶片的不希望的曝光。在多个实施方式中,光阻层460具有一厚度为约20纳米至约1000纳米。
在一实施方式中,光阻层460的材料(为了方便在此简称为“光阻材料”)为电子束光阻,其具有用于第一曝光剂量(例如,低曝光剂量)的正型光阻色调以及用于第二曝光剂量(例如,高曝光剂量)的负型光阻色调。在一些实施方式中,对于极紫外光波长(即波长在约5纳米至约20纳米的范围内),具有负色调的第二光阻材料(即在第二曝光剂量下于光化幅射的曝光之后)具有小于约3%的反射率。在许多实施方式中,针对波长在约12纳米至约14纳米的范围内,具有负色调的第二光阻材料具有小于约1%的反射率。
在一些实施方式中,光阻材料包含聚合物和金属氧化物、金属氮化物或金属氧氮化物。特别的是,在一些实施方式中,光阻材料包含聚合物和金属氮化物或金属氧氮化物的纳米粒子。在多个实施方式中,纳米粒子具有一平均粒径(average particle size)为约1纳米至约50纳米。合适的聚合物、金属氧化物、金属氮化物以及金属氧氮化物的实例已在本揭露书的其他地方讨论。
在一些实施方式中,光阻层460是由负型电子束光阻所形成。换句话说,只有被电子束曝光的部分光阻层460在显影后被留下。在其他实施方式中,光阻层460是由正型电子束光阻所形成,此正型电子束光阻在边界区域560中硬化(hard-cured)(例如,在非常高的曝光剂量下曝光)且在影像区域650中没有被曝光,使得只有被电子束曝光的部分光阻层460在显影后被留下。如本文所提及的术语“硬化(hard-cured)”是指正如负型光阻般在光阻聚合以“硬化”的剂量下曝光的正型电子束光阻。一般来说,正型电子束光阻在曝光剂量下被硬化,其中所述的曝光剂量比正型光阻的“正常”曝光剂量高一或多个数量级。
本揭示案的另一态样是提供一种极紫外光光罩,其具有围绕影像图案的黑色边界区域。图16示意性地绘示了根据本揭露一实施方式的极紫外光光罩的俯视图。在一实施方式中,极紫外光光罩400包含图案区域650和围绕图案区域650的边界区域560。在一些实施方式中,边界区域560是由具有剂量依赖的光阻色调的光阻材料所形成,且在光阻材料具有负型色调的剂量的光化幅射曝光后,在5纳米至20纳米的波长范围内,反射率小于3%。在多个实施方式中,边界区域560具有一厚度为约20纳米至约1000纳米。
在一实施方式中,光阻材料为一种电子束光阻,其包含聚合物和金属氧化物、金属氮化物或金属氧氮化物。在一些实施方式中,光阻材料包含聚合物和金属氮化物或金属氧氮化物的纳米粒子。在多个实施方式中,纳米粒子具有一平均粒径为约1纳米至约50纳米。
在一些实施方式中,光阻材料具有在低曝光剂量的正色调以及在高曝光剂量的负色调。
在一些实施方式中,边界区域560是由负型色调光阻材料所形成,此负型色调光阻材料在5纳米至20纳米的波长范围内固化后具有小于3%的反射率。在其他实施方式中,边界区域560是由正型色调光阻材料所形成,此正型色调光阻材料通过在非常高的曝光剂量下的曝光而硬化。在这样的实施方式中,正型色调光阻在20纳米至1000纳米的波长范围内硬化后具有小于3%的反射率。
本揭示中描述的各个态样提供了具有围绕极紫外光光罩的黑色边界区域的极紫外光光罩,以便减少基板上相邻晶片在极紫光幅射曝光下的不期望的曝光。在多个实施方式中,使用被适当剂量曝光的光阻材料形成黑色边界区域,使得边界区域中的光阻材料硬化并且在显影期间影像区域中的光阻材料被溶解掉。根据本揭示的制造极紫外光光罩的方法可以避免蚀刻步骤,所述蚀刻步骤可能会使极紫外光光罩的的其他失去价值和/或产生颗粒污染。本揭示的实施方式还通过从极紫外光光罩的背面雷射退火边界区域中的多层堆叠来避免边界区域中的多层堆叠的不受控制的物理和/或化学变化。使用本揭示描述的实施方式所形成的黑色边界可以以高通量(high-throughput)形成,而无须添加昂贵或耗时的制程步骤。
应理解,并非所有的优点都必须在本文中论述,所有的实施例或实例都不需要特别的优点,并且其他实施例或实例可以提供不同的优点。
根据本揭示案的一态样,一种用于极紫外光(extreme ultraviolet,EUV)微影制程的光罩包含多层(ML)堆叠、覆盖层、吸收层以及边界层。多层堆叠包含多层金属层和多层半导体层交替设置在光罩基板的第一表面上方。覆盖层设置于多层堆叠的上方。有一影像图案形成在吸收层中且吸收层设置于覆盖层的上方。边界层环绕影像图案且设置于吸收层的上方。在一些实施方式中,边界层包含光阻材料。在一些实施方式中,光阻材料包含聚合物和金属氧化物、金属氮化物或金属氧氮化物。在一些实施方式中,边界层具有一均方根(rootmean squared,rms)表面粗糙度为约0.1纳米至约2纳米的范围内。在一些实施方式中,光阻材料包含粒径为约1纳米至约50纳米的金属氮化物或金属氧氮化物颗粒。在一些实施方式中,边界层具有一厚度为约20纳米至约1000纳米。在一些实施方式中,光阻材料包含负型光阻或负型电子束光阻。在一些实施方式中,光阻材料包含硬化的正色调光阻。
根据本揭示案的另一态样,一种制造极紫外光微影光罩的方法包含在光罩坯料上方形成硬遮罩层和在硬遮罩层上方形成第一光阻层。光罩坯料包含设置在基板上的多层(ML)堆叠,设置在多层堆叠上的覆盖层,以及设置在覆盖层上的吸收层。通过将第一光阻层按照图案曝光于光化辐射下且移除第一光阻层的一部分,而将影像图案形成在光罩坯料上。影像图案被转移至硬遮罩层和吸收层。在吸收层上方形成图案化光阻层并环绕影像图案。图案化光阻层暴露出影像图案。在一些实施方式中,形成图案化光阻层包含在具有影像图案的吸收层上方设置图案化光阻层的材料的第二层。依照图案曝光第二层,以使影像图案周围的第二层的区域在材料具有负色调的曝光剂量下用光化辐射曝光。然后显影第二层以在影像图案周围的区域形成图案化光阻层。在一些实施方式中,图案化光阻层的材料包含聚合物和金属氧化物、金属氮化物或金属氧氮化物。在一些实施方式中,图案化光阻层的材料包含粒径为约1纳米至约50纳米的金属氮化物或金属氧氮化物颗粒。在一些实施方式中,图案化光阻层具有一厚度为约5纳米至约500纳米。在一些实施方式中,对于在约5纳米至约20纳米范围内的波长,图案化光阻层的材料具有小于3%的反射率,其中图案化光阻层的材料在一曝光剂量下曝光后具有负色调。在一些实施方式中,图案化光阻层的材料在第一曝光剂量下具有正色调,在高于第一曝光剂量的第二曝光剂量下具有负色调。在一些实施方式中,将影像图案转印硬遮罩层和吸收层包含蚀刻硬遮罩层和吸收层。
根据本揭示案的又一态样,一种用于极紫外光(extreme ultraviolet,EUV)微影制程的光罩包含图案区域和围绕图案区域的边界区域。边界区域具有一厚度大于图案区域的厚度且边界区域包含光阻材料。在一些实施方式中,光阻材料具有一厚度为约20纳米至约1000纳米。在一些实施方式中,光阻材料包含聚合物和金属氧化物、金属氮化物或金属氧氮化物。在一些实施方式中,光阻材料包含粒径为约1纳米至约50纳米的金属氮化物或金属氧氮化物颗粒。在一些实施方式中,光阻材料包含负型光阻或负型电子束光阻。
上文概述若干实施例的特征,使得熟悉此项技术者可更好地理解本揭示案的态样。熟悉此项技术者应了解,可轻易使用本揭示案作为设计或修改其他制程及结构的基础,以便实施本文所介绍的实施例的相同目的及/或实现本文所介绍的实施例的相同优势。熟悉此项技术者亦应认识到,此类等效结构并未脱离本揭示案的精神及范畴,且可在不脱离本揭示案的精神及范畴的情况下进行本文的各种变化、替代及更改。

Claims (1)

1.一种用于极紫外光微影制程的光罩,其特征在于,该光罩包含:
一多层堆叠,包含多层金属层和多层半导体层交替设置在一光罩基板的一第一表面上;
一覆盖层,设置于该多层堆叠上方;
一吸收层,有一影像图案形成在其中且该吸收层设置于该覆盖层上方;以及
一边界层,环绕该影像图案且设置于该吸收层上方。
CN201910444603.3A 2018-09-25 2019-05-27 用于极紫外光微影制程的光罩 Pending CN110955108A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/141,719 2018-09-25
US16/141,719 US10809613B2 (en) 2018-09-25 2018-09-25 Mask for EUV lithography and method of manufacturing the same

Publications (1)

Publication Number Publication Date
CN110955108A true CN110955108A (zh) 2020-04-03

Family

ID=69885415

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910444603.3A Pending CN110955108A (zh) 2018-09-25 2019-05-27 用于极紫外光微影制程的光罩

Country Status (3)

Country Link
US (3) US10809613B2 (zh)
CN (1) CN110955108A (zh)
TW (1) TW202013055A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114153125A (zh) * 2020-09-08 2022-03-08 中芯国际集成电路制造(上海)有限公司 掩膜及有效减小极紫外掩膜黑边界效应的方法
TWI771111B (zh) * 2021-05-17 2022-07-11 台灣積體電路製造股份有限公司 用於半導體微影製程的光罩、製造光罩的方法、以及半導體微影方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11086215B2 (en) * 2017-11-15 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same
US11294271B2 (en) * 2020-04-30 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for extreme ultraviolet photolithography

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007039161A1 (en) * 2005-09-27 2007-04-12 Schott Ag Mask blanc and photomasks having antireflective properties
US8592103B2 (en) * 2011-07-25 2013-11-26 GlobalFoundries, Inc. Extreme ultraviolet masks having annealed light-absorptive borders and associated fabrication methods
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9052595B2 (en) 2013-03-15 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process
US9310675B2 (en) 2013-03-15 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9261774B2 (en) 2013-11-22 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9377693B2 (en) 2014-03-13 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Collector in an extreme ultraviolet lithography system with optimal air curtain protection
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
KR102325314B1 (ko) * 2014-12-01 2021-11-11 삼성전자주식회사 반사형 극자외선 마스크 및 그의 제조 방법
KR102395197B1 (ko) * 2015-09-04 2022-05-06 삼성전자주식회사 반사형 마스크용 펠리클 및 이를 포함하는 반사형 마스크 조립체
US10962873B2 (en) * 2017-09-29 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask and method of manufacturing the same
US10866504B2 (en) * 2017-12-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with a black border region and method of fabricating the same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114153125A (zh) * 2020-09-08 2022-03-08 中芯国际集成电路制造(上海)有限公司 掩膜及有效减小极紫外掩膜黑边界效应的方法
CN114153125B (zh) * 2020-09-08 2024-03-26 中芯国际集成电路制造(上海)有限公司 掩膜及有效减小极紫外掩膜黑边界效应的方法
TWI771111B (zh) * 2021-05-17 2022-07-11 台灣積體電路製造股份有限公司 用於半導體微影製程的光罩、製造光罩的方法、以及半導體微影方法
US11899357B2 (en) 2021-05-17 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask

Also Published As

Publication number Publication date
US10809613B2 (en) 2020-10-20
US20220334463A1 (en) 2022-10-20
US20200096858A1 (en) 2020-03-26
US20210033962A1 (en) 2021-02-04
US11402745B2 (en) 2022-08-02
TW202013055A (zh) 2020-04-01

Similar Documents

Publication Publication Date Title
US11402745B2 (en) Mask for EUV lithography and method of manufacturing the same
US6632593B2 (en) Pattern-forming method using photomask, and pattern-forming apparatus
US11249384B2 (en) Mask for EUV lithography and method of manufacturing the same
JP2004518990A (ja) 二重層レティクル素材及びその製造方法
TWI655509B (zh) 半導體裝置的製造方法、微影材料及製造系統
US11735421B2 (en) Reflection mode photomask and method of making
US7923177B2 (en) Method for making a reflection lithographic mask and mask obtained by said method
US11150561B2 (en) Method and apparatus for collecting information used in image-error compensation
US9995999B2 (en) Lithography mask
US11846881B2 (en) EUV photomask
US7972751B2 (en) Reflection photolithography mask, and process for fabricating this mask
CN110658676B (zh) 极紫外光微影光罩及其制造方法
JP6944255B2 (ja) 転写用マスクの製造方法、および半導体デバイスの製造方法
CN112445060A (zh) 针对污染控制进行改进的光掩模及其形成方法
CN112305856B (zh) 极紫外光微影光罩与图案化半导体晶圆的方法
US20240053669A1 (en) Euv photo masks and manufacturing method thereof
CN117250822A (zh) Euv光掩模及其制造方法
KR20100076686A (ko) 극자외선 리소그래피를 위한 마스크 및 그 제조방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20200403

WD01 Invention patent application deemed withdrawn after publication