CN110952081A - 用于形成互连部的方法和溶液 - Google Patents

用于形成互连部的方法和溶液 Download PDF

Info

Publication number
CN110952081A
CN110952081A CN201910926074.0A CN201910926074A CN110952081A CN 110952081 A CN110952081 A CN 110952081A CN 201910926074 A CN201910926074 A CN 201910926074A CN 110952081 A CN110952081 A CN 110952081A
Authority
CN
China
Prior art keywords
platinum group
solution
group metal
amine complex
ruthenium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910926074.0A
Other languages
English (en)
Other versions
CN110952081B (zh
Inventor
H·菲利普森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of CN110952081A publication Critical patent/CN110952081A/zh
Application granted granted Critical
Publication of CN110952081B publication Critical patent/CN110952081B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/42Coating with noble metals
    • C23C18/44Coating with noble metals using reducing agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1635Composition of the substrate
    • C23C18/1639Substrates other than metallic, e.g. inorganic or organic or non-conductive
    • C23C18/1642Substrates other than metallic, e.g. inorganic or organic or non-conductive semiconductor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1655Process features
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1683Control of electrolyte composition, e.g. measurement, adjustment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1872Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment
    • C23C18/1875Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment only one step pretreatment
    • C23C18/1879Use of metal, e.g. activation, sensitisation with noble metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/42Coating with noble metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/54Contact plating, i.e. electroless electrochemical plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/18Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material
    • H05K3/181Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material by electroless plating
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4644Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits
    • H05K3/4661Adding a circuit layer by direct wet plating, e.g. electroless plating; insulating materials adapted therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/48Coating with alloys

Abstract

本发明涉及一种用于形成互连部的方法和溶液,具体涉及一种用于铂族金属无电沉积的无氧或贫氧溶液,其包含:a.具有第一氧化电势的钌(II)胺络合物,以及b.铂族金属化合物,其还原电势大于钌(II)胺络合物的氧化电势相反数。

Description

用于形成互连部的方法和溶液
技术领域
本公开涉及铂族金属互连部形成领域以及用于形成其的溶液。
背景技术
集成电路(IC)包括器件以及这些器件之间的互连部。
为了集成电路的最佳操作,互连部水平面(level)处的泄漏和电阻必须尽可能小。
最初,将铝用于形成互连部,因为铝比电阻小的铜更易于加工。自1997年起,所谓的镶嵌工艺能够使用铜,并且形成多层器件。由于该技术,业界已经大幅增加了集成电路的复杂性,并且大幅减小了特征尺寸。新的生产技术(如,EUV光刻)能够产生测量为仅数纳米的特征。然而,由于当前的材料和光刻技术都已达到其极限,摩尔定律变得相当难以维持。
铜发生的问题是由材料的化学和机械性质所引起的,而某些问题是由互连部层的连续缩小引起的。与其它过渡金属相比,铜在硅中的扩散性非常高,因为其相对小,并且与硅晶格相互作用很弱,而与硅本身的相互作用很强。通常,铜离子通过扩散进行迁移,该扩散由电场的存在得以增强。已经引入屏障层(例如,氮化钽或氮化钛)以防止铜迁移。然而,该屏障层不能随互联部快速缩小,导致每个沟槽的较大部分被高电阻率屏障所占据,使整个互连部的有效电阻率增加。这对信号传播的速度限制有负面影响。
解决该问题的一种方案是用不像铜那样的需要阻挡层的材料代替筒,从而增加了各互连部中的金属空间。在这些材料中,因为铂族金属的良好体积电阻率、高熔点、高化学稳定性、催化筒匣和抗氧化性的组合,显示出铂族金属是良好的候选。此外,在相同厚度范围内,超薄铂族金属显示出比铜更弱的电阻率厚度依赖性。而且,这些金属的回收利用率高达95%,因此对环境的负面影响相对较小。然而,这些材料的主要问题是其高初始成本。尽管自1960年以来已随时间流逝通过采矿提高了一个数量级,但对这些金属进行提取、浓缩和精炼仍需要复杂且耗能的过程,因此与其它金属相比,它们非常昂贵。因此,如果要在纳米电子学中利用这些材料的所需性质,则必须在没有太多浪费的情况下形成金属互连部。用于铜的现有镶嵌工艺由于其产生的大量浪费,并不适用于铂族金属。要了解铜的问题在哪里,就必须看看当前的工艺。
在当前的微/纳米电子器件生产过程中,通常使用镶嵌工艺,该工艺包括以下步骤。在第一步骤中,在电介质基材中形成腔室。在第二步骤中,腔室内衬有屏障层。在第三步骤中,将铜晶种层设置在腔室中。在第四步骤中,通过电镀覆(电化学沉积)用铜完全填充腔室。
然而,使用该方法不仅填充了沟槽,而且还在基材顶部栅形成了层。该层必须被蚀刻或抛光掉,直至电介质暴露。大多数情况下,为此使用化学机械抛光(CMP),其使用机械输入研磨颗粒(例如,硅或铝)来去除多余的金属,而过氧化氢则在化学侵袭中使金属层氧化。镶嵌工艺的主要优点在于:与PVD或CVD等替代性沉积技术相比,其能够使用较高的沉积速率即使在腔体底部也可以实现无空隙填充,而所述替代性沉积技术无法实现该质量的填充。然而,在最后的CMP步骤中,镶嵌工艺中浪费的材料量使得在使用比铜更昂贵的材料时使用成本太高。
一个候选是无电沉积(ELD)。无电沉积需要使用还原剂。之前就已经在ELD中使用了多种还原剂。其实例为硼氢化物和次磷酸盐。然而,这些还原剂具有分别将B和P结合在沉积金属中的趋势,这是不期望的,因为这会影响金属电阻率。在ELD中已经使用的其它还原剂是甲醛、乙醛酸和甲酸。然而,这种含有羰基的还原剂具有在待ELD涂覆的基材表面形成羰基的风险。这就不会留有空间使待还原金属在该表面上发生反应。对于甲酸,已经证实了该现象。肼是通常使用的另一还原剂。但是,众所周知,它有毒。还已使用金属基还原剂。例如,具有CN或CO配体的金属络合物。然而,CN和CO配体在酸性条件下使用是危险的,而为了避免所沉积金属的氧化,酸性条件通常是优选的。
因此,在本领域中仍然需要允许沉积铂族金属的新方法。特别是,在本领域中,仍然需要一种新的方法,其允许安全地形成互连部,而不必蚀刻或抛光掉其一半,同时仍实现未被还原剂污染或较少被还原剂污染的无空隙填充。
发明内容
本发明的一个目的是提供用于在集成电路制造期间形成铂族金属互联部的良好溶液和方法。
第一方面的溶液的实施方式的优点在于其相对稳定。
第一方面的溶液的实施方式的优点在于其使用安全。
第一方面的溶液的实施方式的优点在于其跨越由碱到酸的大范围pH使用。
第二方面方法的实施方式的一个优点在于其允许形成具有高纯度、未受还原剂污染或受还原剂污染程度低的金属。
第二方面方法的实施方式的优点在于其不是浪费的。
第二方面方法的实施方式的优点在于其允许无空隙填充腔室。
通过根据本公开的方法和溶液来实现上述目的。
在第一方面中,本公开涉及一种用于铂族金属无电沉积的无氧或贫氧溶液,其包含:
a.具有氧化电势的钌(II)胺络合物,以及
b.铂族金属化合物,其还原电势大于钌(II)胺络合物的氧化电势相反数(opposite)。
在第二方面,本公开涉及一种在集成电路制造期间形成铂族金属互连部的方法,所述方法包括以下步骤:
a.提供基材,所述基材包括:
i.半导体基材;
ii.电介质层,该电介质层在其中具有形成互联部的腔室,所述腔室具有底部;以及
iii.至少在腔室底部上的催化层,其包含导电材料;以及
b.使催化层暴露于含有Ru(II)胺络合物和铂族金属化合物的无氧或贫氧溶液,所述Ru(II)胺络合物具有第一氧化电势,所述铂族金属化合物的还原电势大于钌(II)胺络合物的氧化电势相反数。
在第三方面中,本公开涉及一种用于铂族金属无电沉积的组件盒(kit of part),其包含:
a.钌(III)胺络合物的溶液,所述钌(III)胺络合物能够以电化学方式还原成具有氧化还原电势的钌(II)胺络合物,以及
b.铂族金属化合物的溶液,所述铂族金属化合物的还原电势大于钌(II)胺络合物的氧化电势相反数(即,大于钌(III)胺络合物的还原电势)。
在第四方面中,本公开涉及一种用于铂族金属无电沉积的组件盒,其包含:
a.具有氧化电势的钌(II)胺络合物溶液,以及
b.铂族金属化合物的溶液,所述铂族金属化合物的还原电势大于钌(II)胺络合物的氧化电势相反数。
在第五方面中,本公开涉及钌(II)胺络合物用于铂族金属无电沉积的用途。
本公开特定和优选的方面在所附独立和从属权利要求中阐述。可以将从属权利要求中的特征与独立权利要求中的特征以及其它从属权利要求中的特征进行适当组合,而并不仅限于权利要求书中明确所述的情况。
虽然本领域中一直存在对装置的改进、改变和发展,但本发明的概念被认为代表了充分新和新颖的改进,包括改变现有实践,导致提供了该性质的更有效、更稳定和更可靠的装置。
本公开的上述和其它特性、特征和优点会在下文具体实施方式中结合附图变得显而易见,其通过实例说明本公开的原理。本说明书仅为了举例,而不是限制本公开的范围。下文引用的参考图是指附图。
附图说明
图1是根据本公开实施方式比较PVD-PT和PVD-Rh上ELD的计时电位测定图。
图2是根据本公开实施方式在不同比率Rh:Ru的情况下比较PVD-PT和PVD-Rh上ELD的计时电位测定图。
图3是根据本公开实施方式比较不同浓度的Rh和Ru化合物在PVD-Rh上的ELD的计时电位测定图。
图4显示在存在1mM RhCl3和不存在1mM RhCl3的情况下0.5M NH4Cl和HCl的阴极伏安图。
图5显示了溶解氧对还原剂的阳极极限电流的影响,这是对其浓度的测量。
图6是根据第一方面实施方式在电沉积的Rh晶种层上由溶液形成的铂族金属层的透射电子显微镜(TEM)图像。
图7是图6所示层的高角度环形暗场成像扫描透射电子显微镜(HAAFDT-STEM)图像。
图8是图6样品在退火前的另一TEM图像,其中显示了层厚度。
图9是图8样品在退火后的TEM图像。
图10是在已经用Rh进行电沉积(ECD)来预涂覆的基材上的Rh的ELD层的XPS深度分布图。
图11是经预涂覆的Rh-ECD基材的XPS深度分布图。
具体实施方式
将就具体实施方式并参照某些附图对本公开进行描述,但本公开并不受此限制,仅由权利要求书限定。
此外,在说明书和权利要求书中的术语第一、第二、第三等用来区别类似的元件,而不一定是用来描述时间、空间、等级顺序或任何其它方式的顺序。应理解,在合适的情况下,如此使用的术语可互换使用,本发明所述的实施方式能够按照除本文所述或说明的顺序以外的其它顺序进行操作。
此外,在说明书和权利要求书中,术语顶部、底部、之上、之下等用于描述目的,而不一定用于描述相对位置。应理解,在合适的情况下,如此使用的术语可互换使用,本发明所述的实施方式能够按照除本文所述或说明的取向以外的其它取向进行操作。
应注意,权利要求中使用的术语“包含”不应解释为被限制为其后列出的部分,其不排除其它元件或步骤。因此,其应被理解为指出所述特征、整数、步骤或组分的存在,但这并不排除一种或多种其它特征、整数、步骤或组分或其组合的存在或添加。因此,术语“包括”覆盖了仅存在所述特征的情况以及存在这些特征以及一种或多种其它特征的情况。因此,表述“包括部件A和B的器件”的范围不应被理解为限制所述器件仅由组件A和B构成。其表示对于本发明,所述器件的相关组件仅为A和B。
说明书中提及的“一个实施方式”或“一种实施方式”是指就该实施方式描述的具体特征、结构或特性包括在本公开的至少一个实施方式中。因此,在说明书中各处出现的短语“在一个实施方式中”或“在一种实施方式中”不一定全部指同一个实施方式,但可能全部都指同一个实施方式。此外,具体特征、结构或特性可以任何合适方式在一个或多个实施方式中组合,这对于本领域普通技术人员而言是显而易见的。
类似地,应理解,在本公开的示例性实施方式的描述中,本公开的不同特征有时组合成一个单一实施方式、附图或其描述,这是为了简化公开内容并帮助理解本发明的一个或多个不同方面。然而,本公开内容中的方法不应被理解为反映这样一个意图,即请求保护的本公开需要的特征比各权利要求中明确引用的更多。并且,如同所附权利要求所反映的那样,发明方面包括的特征可能会少于前述公开的一个单一实施方式的全部特征。因此,具体说明之后的权利要求将被明确地纳入该具体说明,并且各权利要求本身就是本公开独立的实施方式。
此外,当本文所述的一些实施方式包括一些但不包括其它实施方式中所包括的其它特征时,不同实施方式的特征的组合应意在包括在本公开范围内,并且形成不同的实施方式,这应被本领域技术人员所理解。例如,在之后的权利要求中,所请求保护的任何实施方式可以任何组合形式使用。
本文的描述中阐述了众多的具体细节。然而应理解,本公开的实施方式可不用这些具体细节进行实施。在其它情况中,为了不混淆对该说明书的理解,没有详细描述众所周知的方法、步骤和技术。
提供以下术语,仅仅是为了有助于理解本发明。
如本文所用,除非另外说明,术语“还原电势”(也称为“氧化还原电势”,并且缩写为E°还原)是指在25℃和1atm下,相对于标准氢电极(SHE)的以伏特计的电势,在该电势下活性为1M的化学物质获取一个或多个电子并由此被还原。
类似地,术语“氧化电势(缩写为E°氧化)”是指在25℃和1atm下,相对于标准氢电极(SHE)的以伏特计的电势,在该电势下活性为1M的化学物质失去一个或多个电子并由此被氧化。
例如,Rh的氧化电势(存在6Cl-的情况下)为-0.431V,并且对应于反应Rh+6Cl-→[RhCl6]3-+3e-,而[RhCl6]3-的还原电势为+0.431V,并且对应于反应[RhCl6]3-+3e-→Rh+6Cl-
作为另一实例,[Ru(NH3)6]3+的还原电势为+0.10V,并且对应于反应[Ru(NH3)6]3++e-→[Ru(NH3)6]2+,而[Ru(NH3)6]2+的氧化电势为–0.10V,并且对应于反应[Ru(NH3)6]2+→[Ru(NH3)6]3++e-。
氧化剂的还原电势和还原剂的氧化电势的知识允许确定该氧化剂和该还原剂之间的反应是否可以自发。实际上当氧化剂的还原电势E°还原大于还原剂的氧化电势E°氧化的相反值时,即,当E°还原>-E°氧化时,反应可以发生。是否实际观察到反应将取决于动力学的有利程度。
现在通过对本公开若干实施方式的详细说明来描述本公开。很明显,可根据本领域技术人员的知识来构建本公开的其它实施方式,而不背离本公开的技术教导,本公开仅受所附权利要求书的限制。
在第一方面中,本公开涉及一种用于铂族金属无电沉积的溶液。该溶液特别适用于在集成电路制造期间形成铂族金属互联部。该方法在本公开的第二方面中进行更详细地解释。
该溶液至少是贫氧的,并且优选基本是无氧的。最优选地,溶液是无氧的。为了成为贫氧,通常对该溶液进行处理以去除存在于其中的氧。该处理是本领域技术人员已知的。例如,可以使用氮气鼓泡和/或该方法可以在手套箱中进行。在一些实施方式中,溶液中可能存在除氧剂。
优选的是,如果用于形成溶液的Ru(II)胺络合物在密闭容器中、在干燥的惰性气氛中保持室温或保持低于室温。
所用溶液可以是不同于室温的另一温度。所用溶液可以是不同于标准大气压力(1013hPa;1atm)的另一压力。在测量所用溶液的氧浓度时,所用溶液的盐度要予以考虑。因此,在其实际温度、压力和盐度下测量溶液的氧浓度。通常,所用溶液在室温(例如,25℃)和大气压(例如,1013hPa;1atm)下,并且在这些温度和压力下测量其氧浓度。
如本文所用,术语“贫氧”是指低于4mg/l的氧浓度。这意味着小于室温和大气压力下典型饱和度值的一半。
优选地,溶液的氧浓度低于1mg/l,并且优选低于0.2mg/l。0.2mg/l是光学传感器的典型检测限。
“基本无氧”或“无氧”是指浓度低于0.2mg/l。
氧浓度的测量优选用光学传感器进行,因为存在于溶液中的离子可能在一定程度上干扰电化学传感器。
氧浓度优选通过以下国际标准ISO 5814:2012(E)来确定。
氧对溶液的效力有不利影响,因此优选其浓度是低的。不囿于理论,认为氧将Ru的氧化值从(II)改变为(III)。
该溶液通常是水性溶液,但是可与水混溶的有机溶剂也可以存在于该溶液中。因此,存在于溶液中的溶剂是水、或水和可与水混溶的有机溶剂的混合物。可与水混溶的溶剂的实例为:C1-3醇(甲醇、乙醇、正丙醇和异丙醇)。
在一些实施方式中,溶液可以包含一种或多种添加剂。添加剂可能有助于沉积工艺,并且影响结构填充性能。例如,用于在制造集成电路期间形成铂族金属互连部的方法中,当提供包括具有腔室的电介质层的基材时,如果不仅在腔室的底部而且在电介质层的顶表面上存在催化层,则铂族金属可以沉积在腔室中和电介质层上。溶液包含一种或多种添加剂的本公开的一些实施方式可以至少部分解决该问题。特别是在根据本公开的溶液中可以存在用于防止金属沉积在顶表面上的抑制剂。抑制剂的典型实例是可溶于溶液中的聚合物。聚合物由于其相对较高的分子量,与空腔相比更容易覆盖电介质层的顶表面。由于其存在于电介质层的顶表面上,这些聚合物降低了铂族金属在电介质层顶表面上沉积的可能性,因此增加了向腔室沉积的选择性。该聚合物的典型实例是聚亚烷基二醇,例如聚乙二醇。
所述溶液包含钌(II)胺络合物(即,Ru(II)胺络合物)。换言之,其可以通过将钌(II)胺络合物溶解在其中获得。
该溶液可以包含超过一种Ru(II)胺络合物。
Ru(II)胺络合物是指具有与其络合的至少一种胺配体的Ru(II)络合物,其中,胺配体的氮是供体原子。Ru(II)胺络合物在本公开中是有效的,部分原因是它们的氧化电势较高,而且还由于其污染沉积金属的趋势低、污染待沉积金属的基材(通常是催化层(例如晶种层)的趋势低以及其相对低的毒性。
优选地,胺配体选自NH3和伯胺。合适伯胺的实例是乙二胺。
优选地,Ru(II)胺络合物是Ru(II)氨络合物,即,包含至少一个NH3配体的Ru(II)胺络合物。
优选地,Ru(II)胺(或氨)络合物具有一个至六个NH3配体,更优选具有两个至六个NH3配体,更优选具有三个至六个NH3配体,更优选具有四个至六个NH3配体,甚至更优选具有五个至六个NH3配体,并且最优选具有六个NH3配体。
优选的钌(II)胺络合物是[Ru(NH3)6]2+。其通常是[Ru(NH3)6]2+Cl2形式的。胺络合物[Ru(NH3)6]2+在25℃和1atm的氧化电势为相对于标准氢电极-0.10V。由于铂族金属化合物的还原电势通常大于+0.10V,该-0.10V的氧化电势通常使得其有效还原铂族金属化合物。
具有伯胺配体的合适Ru(II)胺络合物的实例是[Ru(en)3]2+,其中,“en”表示乙二胺。该胺络合物在25℃和1atm的氧化电势为相对于标准氢电极-0.21V。由于铂族金属化合物的还原电势通常大于+0.21V,该-0.21V的氧化电势通常使得其有效还原铂族金属化合物。
优选地,溶液中钌(II)胺络合物(或者,如果存在超过一种,则钌胺络合物全体一起计数)的浓度可以是2mM至40mM,优选3mM至5mM。
溶液含有铂族金属化合物。换言之,其由将铂族金属化合物溶解在其中获得。
该溶液可以包含超过一种铂族金属化合物。
钌(II)胺络合物具有氧化电势。铂族金属化合物的还原电势大于钌(II)胺络合物的氧化电势相反数。还原电势E°还原大于氧化电势E°氧化的相反值表示E°还原>-E°氧化。例如,氧化电势和还原电势在25℃相对于标准氢电极进行测量。
当存在超过一种Ru(II)胺络合物时,至少一种Ru(II)胺络合物的氧化电势大于铂族金属化合物的还原电势的相反数。
当存在超过一种铂族金属时,至少一种铂族金属的还原电势大于Ru(II)胺络合物的氧化电势的相反数。
铂族金属化合物可以具有通式M1X或M2M1X,其中,M1是总计正价为v1的一个或多个铂族金属原子,M2是不同于M1的总计正价为v2的一个或多个原子、一个或多个官能团或其组合,并且X是总计负价等于–(v1+v2)的一个或多个原子、一个或多个官能团或其组合。
如本文所用,术语“原子”是指单原子化学物质(例如Cl),而术语“官能团”是指多原子化学物质(例如NH4)。因此,当X表示多个原子时,其是多个单原子化学物质(例如,三个Cl原子或者一个Cl原子和一个Br原子)。
如本文所用,当用于原子或官能团时,术语“正价”是指为了形成中性化合物必须与该原子或官能团结合的氯原子的数量。
如本文所用,当用于原子或官能团时,术语“负价”是指形成中性化合物必须与该原子或官能团结合的氢原子的数量。
例如,对于RhCl3,M1是Rh原子,并且其具有总计+3的正价,而X表示三个Cl原子,并且其具有总计-3的负价(即,三个Cl原子中每个Cl原子-1价的总和等于-3)。
又例如,在(NH4)2Na[RhCl6]中,M1是Rh原子,并且其具有总计+3的正价;M2表示两个NH4官能团和Na原子,并且其具有总计+3的正价(两个NH4中每个NH4和Na的+1价总和);并且X表示六个Cl原子,并且其具有总计-6的负价(即,六个Cl原子中每个Cl原子-1价的总和等于-6)。
铂族金属化合物也可以是水合形式的,在该情况下,其可以由通式M1X·xH2O或M2M1X·xH2O表示,其中,x是整数。
优选,M1由单个元素形成,即,由单一类型的原子形成,但是本公开不限于此。铂族金属化合物的金属M1通常可以选自Rh、Ir、Pd和Pt。优选地,其选自Rh和Ir。更优选地,其是Rh。
在优选实施方式中,溶液用于沉积铑,其中铂族金属化合物是铑化合物。
当X是多个原子时,其可以是相同元素的多个原子(例如,RhCl3中的Cl3)或不同元素的多个原子(例如,RhBrCl2中的BrCl2)。
X是一个或多个原子或一个或多个官能团的组合的实例是[RhOH]Cl2中的OH和Cl2,其中,存在一个OH官能团和两个Cl原子。
在一些实施方式中,X可以是卤素(例如,Cl)、NO2、NO3、OH、O或它们的组合。
当M2是多个原子时,其可以是相同元素的多个原子(例如,Na2[Pt(OH)6中的Na)或不同元素的多个原子(例如,KNa[Pt(OH)6]中的Na和K)。
M2是一个或多个原子或一个或多个官能团的组合的实例是(NH4)2Na[RhCl6])中的NH4和Na。
该溶液通常可由将铂族金属化合物和钌(II)胺配合物溶解在溶剂中获得。由于例如水合,溶液中实际存在的化学物质可能不同于所涉及化合物的通式。然而,本领域技术人员将理解含有铂族金属化合物和钌(II)胺络合物的溶液是指其中溶解了铂族金属化合物和钌(II)胺络合物的溶液。
合适的铂族金属化合物的实例是RhCl3、RhCl3.xH2O、[RhOH]2+X、M2[RhCl6]3-、Rh(NO3)3、M2[Rh(NO2)6]3-、Rh(NH3)3(NO2)3、M2[IrCl6]3-、M2[PdCl4]2-、M2[PtCl4]2-]、[PtOH]+X、M2[Pt(OH)6]、M2[Pt(NO2)4]2-、Pt(NH3)2(NO2)2和PtO2等。例如,M2[RhCl6]3-可以是(NH4)2Na[RhCl6],M2[Rh(NO2)6]3-可以是(NH4)3[Rh(NO2)6],并且M2[Pt(OH)6]可以是Na2[Pt(OH)6]。合适的铂族金属化合物的这些实例的共同之处在于,其还原电势大于Ru(II)胺络合物的常规氧化电势,尤其是大于[Ru(NH3)6]2+或[Ru(en)3]2+的氧化电势相反数。
两种特别优选的铂族金属化合物是RhCl3·H2O和(NH4)3[RhCl6]。
优选地,溶液中铂族金属化合物(或者,如果存在超过一种,则铂族金属化合物全体一起计数)的浓度可以是0.2mM至2mM。优选,其至少0.3mM。
溶液的温度通常是室温。例如,其是15℃至30℃。然而,可以调节温度以减缓(较低的温度)或加速(较高的温度)沉积。较慢的沉积获得更好质量的沉积金属,而较快的沉积可以更经济。
本公开的溶液可以具有中性pH、酸性pH或碱性pH。然而,通常优选酸性pH。例如,优选0至5的pH。例如,容易可以用无机酸进行酸化,例如,HCl、H2SO4、HNO3、H3PO4或其组合。在一些实施方式中,也可以使用有机酸,例如,磺酸(甲磺酸)或羧酸(例如,柠檬酸)。在溶解时形成酸性溶液的中性盐(例如NH4 +Cl-)也可单独使用或与酸结合使用以使溶液酸化。不囿于理论,溶液的pH可能影响铂族金属化合物的还原电势。酸性溶液还具有避免沉积金属氧化或避免铂族金属作为氧化物沉淀的优点。
溶液还可以包含一种或多种络合剂。络合剂可以有助于调整溶液的反应性。例如,如果不存在待沉积铂族金属的催化表面(通常是催化层,例如晶种层),反应物倾向于容易在溶液中反应。络合剂的存在可以帮助充分降低反应性,以使得反应物不再会仅在溶液中反应,而是至少部分在催化表面上反应,优选主要在催化表面上反应,更优选仅在催化表面上反应。其中,该络合剂是例如柠檬酸盐(例如柠檬酸钠)、柠檬酸、EDTA、甘氨酸和氢氧化铵。EDTA、甘氨酸和氢氧化铵是优选的。在一些实施方式中,所述络合剂起着络合剂和pH调节剂的双重作用。
在一些实施方式中,络合剂:铂族金属化合物的摩尔比为1:1至10:1。
在一些实施方式中,钌(II)胺络合物:铂族金属化合物的摩尔比为5:1至20:1。
在第一方面的一些实施方式中,溶液可以允许以0.5nm每分钟至2nm每分钟的速率沉积铂族金属。
在第二方面,本公开涉及一种在集成电路制造期间形成铂族金属互连部的方法。例如,该互连部可以是连接集成电路中两个水平分离元件的水平线(例如,填充的沟槽)。该互连部也可以是连接集成电路中两个垂直分离元件的垂直线(例如,填充的通道)。所述方法包括步骤a和步骤b。
在步骤a中,提供基材。在该基材中将形成铂族金属互连部。
基材包括半导体基材。其可以是任意类型的半导体基材,例如,IV族基材或III-V族基材。本公开在任意类型半导体基材的存在下工作。
基材还包括电介质层。电介质层可以是任意类型的电介质层。本公开可以与任意类型的电介质层兼容。其可以是SiO2层、高k电介质层或低k电介质层。最通常是低k电介质层。
电介质层具有形成互联部的腔室。
腔室通常是通道(via)、沟槽(trench)或其组合。组合的一个实例是在沟槽底部存在通道。
当腔室是通道时,腔室底部通常是由导电层制成而不是由电介质层制成。通道的底部通常属于两个垂直位移的元件中较低的一个,所述通道用于进行互连。可以形成通道底部的材料典型实例是Ti、TiN和W。当在生产线的前端(也称为M0)实施时,即,当通道底部通常为W时,本公开是特别有利的。当然,本公开也可以在更高水平的形成集成电路中使用。例如,水平M1、M2,甚至更高水平。
在一些实施方式中,在制造集成电路的生产线前端(FEOL)之后,可以直接形成铂族金属互连部。
在一些实施方式中,在制造集成电路的生产线后端(BEOL),可以形成铂族金属互连部。
生产线前端是指集成电路制造中已形成电子器件(例如晶体管、电容器、电阻器、二极管等)的部分,包括与其接触的导电(金属或掺杂的半导体)接触部,但不包括这些器件之间的金属互连部。
生产线后端是指制造集成电路中存在于电子器件上的导电接触部互连的部分。
通道的侧壁通常由电介质材料制成,而一层或多层的层可以对侧壁进行内衬,例如,用作扩散屏障。然而,在本公开中对该扩散屏障的需要受限。
当腔室是沟槽时,腔室底部通常是由电介质层制成(至少不存在通道)。当然,在催化层和沟槽的电介质底部之间可以存在一个或多个中间层。在该情况下,沟槽底部可以是该一个或多个中间层的顶层。典型的中间层可以是Ta/TaN屏障层。
在一些实施方式中,扩散屏障(例如,Ta或TaN)对腔室的底部和/或侧壁进行内衬。本公开不需要扩散屏障,因为铂族金属的迁移趋势低。
一旦用铂族金属进行填充,通道通常使集成电路的两个垂直分离元件电连接。一旦用铂族金属进行填充,构成通常使集成电路的两个水平分离元件电连接。
本公开特别适合于填充非常小尺寸的腔室。例如,腔室的较小的横向尺寸可以是1nm至10nm,1nm至7nm,或者1nm至5nm。
在一些实施方式中,可以沉积厚度为1至40nm、优选5至10nm的铂族金属。
由于沟槽通常比通道更容易填充,因此当腔体同时包括沟槽和位于沟槽底部的通道时,根据本公开一些实施方式进行的一种方法是首先根据本公开填充通道,然后根据除了无电沉积以外的方法(例如ALD、CVD或PVD)填充沟槽。由于该方法(如CVD)可以比无电沉积更快,因此本实施方式允许结合对腔室最难填充的部分(通道)进行高质量填充以及对腔室最容易填充的部分(沟槽)进行高速填充。
催化至少存在于腔室底部上。在一些实施方式中,催化层对腔室的侧壁和底部进行内衬。催化层包含导电材料。优选地,催化层由导电材料组成。更优选地,催化层包含铂族金属或由铂族金属组成。催化层通常是由铂族金属化合物组成的晶种层。催化层也可以是属于FEOL接触部或BEOL互连部的导电材料。在该情况下,例如,催化层可以由Ti、TiN或W形成,其是通常用于在FEOL结束时用于形成接触部的导电材料。催化层可以包含超过一种铂族金属。通常,催化层由一种或多种铂族金属组成。更典型地,催化层由单一铂族金属组成。
包含在催化层中的铂族金属可以选自Pt、Pd、Ir和Rh。优选地,其是Rh。
催化层具有催化性质,并且允许优选在催化层上而不是溶液中形成铂族金属。
在一些实施方式中,提供基材的步骤a可以包括:
ai.提供半导体基材;
aii.在半导体基材上提供电介质层,所述电介质层包括腔室;
aiii.至少在腔室底部上沉积催化层(例如,铑晶种层)。
在一些实施方式中,为了使催化层活化以促进无电沉积/增强催化层活性,可以进行预处理,例如通过湿化学溶解来还原或去除天然表面氧化物,例如,在高温处理步骤中,在能够使氧化物还原的气体气氛中或通过向溶解氧化物的ELD溶液中添加化合物来进行。
沉积催化层可以通过物理气相沉积(PVD)、原子层沉积(ALD)、或化学气相沉积(CVD)完成。
PVD是合适的。ALD和CVD具有提供比PVD更好的覆盖范围的优点,当腔室的宽度低于10nm时尤为如此。
ALD和CVD还具有选择性的优点,并且可以更容易地用于仅在腔室的底部而不是底部和侧壁上沉积催化层。
例如,催化层的厚度可以是1nm至20nm,而且优选1nm至5nm。催化层优选是闭合的层。催化层优选是单层,即,原子厚度的层。
在步骤b中,使催化层暴露于含有Ru(II)胺络合物和铂族金属化合物的无氧或贫氧溶液,所述Ru(II)胺络合物具有氧化电势,并且所述铂族金属化合物的还原电势大于钌(II)胺络合物的氧化电势相反数。
所述暴露通常通过将包含催化层的基材浸入包含溶液的浴中来进行。然而,以使用任意其它暴露方法。
所述溶液可以如第一方面中所述。
在一些实施方式中,步骤b可以通过如下进行:
(b1)将具有催化层的基材浸没在含有铂族金属化合物的溶液中,并且
(b2)从溶液逐步添加Ru(II)胺络合物。
含有铂族金属化合物的溶液和含有Ru(II)胺化合物的溶液可以是这样的溶液:如果使其立即混合在一起,则将会形成如第一方面所述的溶液。特别是,含有铂族金属化合物的溶液和/或含有Ru(II)胺络合物的溶液可以具有如第一方面的溶液所述的氧含量、温度、溶剂组成、分别在铂族金属化合物和/或Ru(II)胺络合物中的组成、pH、和络合剂的含量。
最终结果是催化层暴露于第一方面溶液的基材。进行该方法的优点在于发生均相反应的程度较低。换言之,更多的铂族金属沉积在催化层上,并且更少的铂族金属形成于溶液本身中。该实施方式的另一优点在于所用的两种溶液的稳定性较好,特别是保质期较长。实际上,不同于储存第一方面的溶液(即使在没有催化层的情况下也存在溶液发生反应的风险),Ru(II)胺溶液和铂族金属溶液可以在此分开存储直至其使用。
在一些实施方式中,在步骤b中所用的无氧或贫氧溶液可以通过包括如下步骤的方法获得:通过由Ru(III)胺络合物还原,以电化学方式产生Ru(II)胺络合物。例如,在第一步骤中,可以对(在NH4Cl中HCl的存在下)溶液中的Ru(III)胺络合物进行处理,以使其贫氧或无氧。在第二步骤中,该溶液可以电化学方式还原成Ru(II)胺络合物溶液。该以电学方式产生的Ru(II)胺络合物溶液可以随后与溶液中的铂族金属混合(例如,在HCl或NH3Cl的存在下),从而形成含有Ru(II)胺络合物和铂族金属化合物的无氧或贫氧溶液,所述Ru(II)胺络合物具有氧化电势,并且所述铂族金属化合物的还原电势大于钌(II)胺络合物的氧化电势相反数。
在一些实施方式中,步骤b可以通过如下进行:
b1.将具有催化层的基材浸没在含有铂族金属化合物的溶液中,并且
b2.从如上所述的以电化学方式产生的溶液逐步添加钌(II)胺络合物。
该实施方式由将具有催化层的基材浸没在仅含有铂族金属化合物而不含Ru(II)胺络合物的溶液中开始。该实施方式的优点在于所用的两种溶液的稳定性较好、特别是保质期较长。实际上,不同于储存第一方面的溶液(即使在没有催化层的情况下也存在使溶液发生反应的风险),Ru(III)胺溶液(或Ru(II)胺溶液)和铂族金属溶液可以在此分开存储直至其使用。当涉及Ru(III)时,本文所指的使用是将其以电化学方式还原为Ru(II)。当涉及Ru(II)时,本文所指的使用是将其添加到含有铂族金属化合物的溶液中。
在第二方面的一些实施方式中,所述方法可以允许以0.5nm每分钟至2nm每分钟的速率沉积铂族金属。
本公开第二方面的任意特征可以相应地如本公开第一方面中所述。
在第三方面中,本公开涉及一种用于铂族金属无电沉积的组件盒,其包含:
a.钌(III)胺络合物的溶液,所述钌(III)胺络合物能够以电化学方式还原成具有氧化还原电势的钌(II)胺络合物,以及
b.铂族金属化合物的溶液,所述铂族金属化合物的还原电势大于钌(II)胺络合物的氧化电势相反数(即,大于钌(III)胺络合物的还原电势)。
本公开第三方面的任意特征可以相应地如本公开第一方面中所述。
特别是,含有铂族金属化合物的溶液和/或含有Ru(III)胺络合物的溶液可以具有如第一方面的溶液所述的氧含量、温度、溶剂组成、分别在铂族金属化合物或Ru胺络合物中的组成、pH、和络合剂的含量。
这意味着第三方面的溶液中钌(III)胺络合物的浓度可以与第一方面溶液中的钌(II)胺浓度相同。同样,关于钌(III)胺络合物的性质,该络合物可以对应于在第一方面中描述的任何钌(II)胺络合物的氧化形式。
在第四方面中,本公开涉及一种用于铂族金属无电沉积的组件盒,其包含:
a.具有氧化电势的钌(II)胺络合物溶液,以及
b.铂族金属化合物的溶液,所述铂族金属化合物的还原电势大于钌(II)胺络合物的氧化电势相反数。
本公开第四方面的任意特征可以相应地如本公开第一方面中所述。
特别是,含有铂族金属化合物的溶液和/或含有Ru(II)胺络合物的溶液可以具有如第一方面的溶液所述的氧含量、温度、溶剂组成、分别在铂族金属化合物或Ru胺络合物中的组成、pH、和络合剂的含量。
在第五方面中,本公开涉及一种钌(II)胺络合物用于铂族金属无电沉积的用途。
在一些实施方式中,该用途是用于在集成电路制造期间形成铂族金属互联部。
在一些实施方式中,可以沉积厚度为1至40nm、优选5至10nm的铂族金属。
在第一方面的一些实施方式中,该用途可以允许以0.5nm每分钟至2nm每分钟的速率沉积铂族金属。
第五方面的任意特征(特别是钌(II)胺络合物、钌(II)胺络合物可存在于其中的溶液、沉积方法和铂族金属)可以对应于如本公开的第一方面和第二方面所述。
实施例1:PVD-Pt基材的形成
以如下方式形成PVD-Pt基材,该基材用作位于集成电路腔室底部的Pt晶种层的模型:
使用金刚石钻头笔(diamond-tipped pen)将PVD-Pt晶片切割成约1.5cm×1.5cm的矩形。PVD-Pt晶片由厚度为750μm的硅晶片组成,其顶部为厚度30nm的Ti层,并且顶层为25nm的PVD-Pt。在对晶片进行切割后,将其在食人鱼溶液(piranha solution)中清洗5分钟,所述溶液由1份H2O2(30%)和3份H2SO4(96%)制成。然后,用超纯水(UPW)冲洗掉并用N2吹干。然后以Pt侧向下将其放入转盘式电极(RDE)支架中。
实施例2:Rh在实施例1的PVD-Pt基材上ELD
将一块用食人鱼溶液(piranha)清洁的PVD-Pt在RDE外侧的某个角度下浸渍在0.5mM RhCl6溶液中。然后将5mM钌(II)六胺络合物直接逐步添加到基材上。通过搅拌棒进行混合。在6次沉积后,在该基材上看到沉积的Rh层,证明无需外部电源即可沉积Rh。
实施例3:PVD-Rh基材的形成
PVD-Rh在实施例1的PVD-Pt层的顶部具有通过PVD沉积的10nm铑层。在对晶片进行切割后,将其在食人鱼溶液中清洗5分钟,所述溶液由1份H2O2(30%)和3份H2SO4(96%)制成。然后,用超纯水(UPW)冲洗掉并用N2吹干。然后以Pt/Rh侧向下将其放入RDE支架中。
实施例4:Rh在实施例3的PVD-Rh基材上ELD
用该新基材重复实施例2。在6次沉积后,在该基材上看到沉积的Rh层。
实施例5:Rh在PVD-Pt或PVD-Rh上ELD的计时电位测定研究
计时电位测定法用于在零施加电流下进行ELD测量的期间检查一段时间内的开路电势(OCP)。对于该测量,首先将160mL的0.18M H2SO4溶液用氮气脱气1小时,以确保溶液尽可能不含氧气,从而制备ELD溶液。然后将该溶液分为两份,分别为60mL和100mL。在最大的份中,加入了所需量的待还原络合物。向另一份加入所需量的钌六胺(II)络合物。对这些浓度进行选择以使两种溶液完全混合后符合特定实验所需的特定Rh:Ru摩尔比。在当前情况下,选择Rh:Ru的摩尔比为1:10。通常,钌络合物等于5mM,Rh络合物等于0.5mM。然后将RDE与PVD-Pt/Rh基材一起放入具有待还原的金属络合物溶液中,然后再脱气30分钟。钌络合物也仍进行脱气。然后开始计时电位测定。5分钟后,添加总体积为仅10mL的所有钌溶液。为了逐步添加,每5分钟添加10mL钌络合物溶液,直到溶液被完全添加为止。在最后一次添加后开路电势(OCP)稳定后,暂停测量,并用超纯水冲洗基材,然后用氮气吹干。
现在参见图1。每次添加后,初始溶液的OCP均向着负值减小,从而允许Rh沉积在PVD-Pt上。有趣的是,随着每次添加,电势恢复到高于0.4V的电势所花费的时间增加,表明随着溶液中钌浓度的增加,稳定性更长久。PVD-Pt上第六次添加的异常现象诠释为气泡,该气泡被捕获在RDE下,其通过在测量期间从溶液中取出RDE并将其再次放入溶液中来去除。否则,预计会出现类似于第五次添加后的峰,但恢复时间更长。
暴露于溶液中的PVD-Pt(0.82V)和PVD-Rh(0.59V)电极的初始OCP值不同。在Rh无电沉积在PVD-Pt基材上后,OCP在45分钟后达到接近PVD-Rh电极的OCP,这显示出金属已经沉积。
对于PVD-Rh,还观察到立即下降至负电势。当观察连续添加时,PVD-Rh显示出比PVD-Pt更好的电势(保持负数更长久)。特别是,其在五分钟后仅达到0.1V,并且在四次添加后甚至并未达到正电势,显示出六次添加后的恢复时间超过1000秒。这意味着对于PVD-Rh,存在其中铑可在沉积在基材上的较大窗口。
实施例6:使用不同比率Rh:Ru在PVD-Pt基材上的进行Rh的ELD
可以看出,Rh:Ru比率的比率越小,则恢复时间越长,导致沉积时间越长,因此以更小的比率进行试验。图2显示出将比率降低至1:20会导致每次添加后恢复时间进一步增加,现在,在添加钌溶液之前,PVD-Pt的电势下降的趋势也达到了约0V的值。
实施例7:使用较低浓度的Rh和Ru在PVD-Rh基材上进行Rh的ELD
如图所示,在0.5mM铑络合物和5mM钌络合物的浓度下,ELD的Rh:Ru比为1:10是成功的,检查是否浓度为五分之一也会成功。在PVD-Rh样品上进行沉积以优化沉积条件。其余过程保持不变。图3中的曲线表明,即使加入六次钌溶液之后,较低的浓度也无法实现负电势。Rh的沉积未得到确认。
从这些实验可以得出结论,无电沉积已经成功。使用Ru(NH3)6 2+作为还原络合物,并用RhCl6作为还原成其金属态的络合物,将铑沉积在经脱气的0.18M硫酸溶液中的转盘式电极内的PVD-Pt和PVD-Rh基材上。已经显示出,使用较高的钌/铑比率导致负电势的稳定性增加,这使得金属能够在基材上沉积更长的时间,因为负电势对于铑的沉积是优选的。预计每次添加钌络合物后电势的增加是由于PVD-Rh和PVD-Pt基材的非惰性行为、以及溶液中可能存在的氧气所致。为了克服该再氧化作用,Rh:Ru比率的增加似乎增加了恢复时间。0.05M的铑含量不足以使ELD成功。
实施例8:pH值对Rh化合物还原电势的影响
由图4可以看出,溶液的pH(0.5M HCl或0.5M NH4Cl)会影响Rh在Pt基材上电沉积的电势,pH降低会导致还原电势更正(较小的负值)。
实施例9:氧气对Ru(NH 3 ) 6 Cl2的影响
图5显示出随着时间流逝在正式脱气的1mM Ru(NH3)6Cl2/0.5M NH4Cl溶液上去除任意氧气屏蔽的效果。
实施例10:已经通过用Rh进行电沉积(ECD)而预涂覆的基材上Rh的ELD
首先,制备0.5M NH4Cl电解质溶液,并用N2脱气20-25分钟。所获得的溶液随后用封口膜覆盖。
从Pt基材开始获得ELD-Rh,在Pt基材顶部存在14nm的ECD-Rh预涂层。这种预涂层有助于均匀的Rh成核。
用胶带将基材粘到平坦的转盘式电极(RDE)上,浸入电解质溶液中并以100rpm的速度旋转。
一旦尽可能消除了氧气,就加入还原剂[Ru(NH3)6]Cl2,以获得其0.001M的溶液。然后以间隔7分钟的4次添加方式添加Rh前体RhCl3.H2O,从而获得其0.001M溶液。在四次添加后,溶液是暗黑色的。
总沉积时间为约半小时。
观察到均相反应和非均相反应。
然后将所得的ELD+ECD-Rh样品切为两部分。然后,两个部分中的一个在420℃退火20分钟。然后,未退火的ELD+ECD-Rh样品和经退火的ELD+ECD-Rh样品经受TEM分析。
图8对应于未退火的样品,并且显示出不同的层。首先,在基材上可以看到30nm的PVD-Ti层和25nm的PVD-Pt层。在顶部上,可看到~37nm ECD+ELD-Rh层。
具体来说,ECD-Rh的厚度为约14nm,ELD-Rh的厚度为约23nm。由于Pt-Rh界面紧密且均匀,因此ECD-Rh层似乎是沉积的(而不仅仅是吸附)。图7是HAADF-STEM图像,其对比度与TEM样品的厚度、密度和~<Z>2成正比,其中Z为原子数。
尽管具有相同的材料,但ECD和ELD层之间的界面作为较低密度区域清晰可见(图7)。从图6也可以辨识出该界面。ELD-Rh顶层显示出非常细的非致密柱状生长,并且微晶尺寸为2-3nm。退火后,ELD-Rh和ECD-Rh界面消失,形成约35nm的Rh层。由于退火,结晶导致收缩2nm(图9)。
RBS结果(未显示)表明ELD+ECD-Rh样品确实比ECD-Rh样品厚。这也被XPS分析证实了。图10和图11分别显示了ELD+ECD-Rh样品和ECD-Rh样品的XPS深度分布。
这些结果证明了ELD-Rh在420℃下退火20分钟后仍能幸存,而不会分层。该退火在生产线后端处理期间是典型的。在500℃和600℃下进行20分钟退火后,获得了相似的结果。
这些结果还证明了所沉积的Rh具有极好的纯度。
应理解,虽然本文已对本公开器件的优选实施方式、特定构造和构型以及材料进行了讨论,可对形式和细节进行各种改变或修改,而不背离本公开的范围。例如,上面给出的任何方案仅仅代表可以使用的过程。可以使本公开范围内的所述方法的步骤增加或减少。

Claims (16)

1.一种用于铂族金属无电沉积的无氧或贫氧溶液,其包含:
a.具有氧化电势的钌(II)胺络合物,以及
b.铂族金属化合物,其还原电势大于所述钌(II)胺络合物的氧化电势相反数。
2.如权利要求1所述的溶液,该溶液用于沉积铑,其中,铂族金属化合物是铑化合物。
3.如权利要求1或权利要求2所述的溶液,其中,钌胺络合物选自[Ru(NH3)6]2+和[Ru(en)3]2+,其中,en表示乙二胺。
4.如前述权利要求中任一项所述的溶液,其中,铂族金属化合物具有选自M1X、M2M1X、M1X·xH2O和M2M1X·xH2O的通式,其中,x是整数,M1是总计正价为v1的一个或多个铂族金属原子,M2是不同于M1的总计正价为v2的一个或多个原子、一个或多个官能团或其组合,并且X是总计负价等于–(v1+v2)的一个或多个原子、一个或多个官能团、或其组合。
5.如前述权利要求中任一项所述的溶液,其中,所述溶液还包含络合剂。
6.如前述权利要求中任一项所述的溶液,其中,钌(II)胺络合物:铂族金属化合物的摩尔比为5:1至20:1。
7.一种在集成电路制造期间形成铂族金属互连部的方法,所述方法包括以下步骤:
a.提供基材,所述基材包含:
i.半导体基材;
ii.电介质层,该电介质层在其中具有形成互联部的腔室,所述腔室具有底部;以及
iii.至少在腔室底部上的催化层,其包含导电材料;以及
b.使催化层暴露于含有Ru(II)胺络合物和铂族金属化合物的无氧或贫氧溶液,所述Ru(II)胺络合物具有氧化电势,所述铂族金属化合物的还原电势大于Ru(II)胺络合物的氧化电势相反数。
8.如权利要求7所述的方法,其中,含有Ru(II)胺络合物和铂族金属化合物的溶液如权利要求1至6中任一项所述。
9.如权利要求7或权利要求8所述的方法,其中,步骤b通过如下进行:
b1.将具有催化层的基材浸没在含有铂族金属化合物的溶液中,并且
b2.从溶液逐步添加Ru(II)胺络合物。
10.如权利要求7至9中任一项所述的方法,其中,步骤b中所用的无氧或贫氧溶液通过包括如下步骤的方法获得:通过从Ru(III)胺络合物还原,以电化学方式产生Ru(II)胺络合物。
11.如权利要求7至10中任一项所述的方法,其中,所述腔室是通道、沟槽或两者的组合。
12.如权利要求7至11中任一项所述的方法,其中,在制造集成电路的生产线前端之后直接形成铂族金属互连部。
13.如权利要求7至12中任一项所述的方法,其中,催化层包含铂族金属,例如,铑。
14.如权利要求7至13中任一项所述的方法,其中,提供基材包括:
a.提供半导体基材;
b.在半导体基材上方提供电介质层,所述电介质层包括腔室;
c.至少在腔室底部上沉积包含导电材料的催化层。
15.钌(II)胺络合物用于铂族金属无电沉积的用途。
16.如权利要求15所述的用途,用于在集成电路制造期间形成铂族金属互联部。
CN201910926074.0A 2018-09-27 2019-09-27 用于形成互连部的方法和溶液 Active CN110952081B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP18197361.1 2018-09-27
EP18197361 2018-09-27

Publications (2)

Publication Number Publication Date
CN110952081A true CN110952081A (zh) 2020-04-03
CN110952081B CN110952081B (zh) 2022-04-29

Family

ID=63762214

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910926074.0A Active CN110952081B (zh) 2018-09-27 2019-09-27 用于形成互连部的方法和溶液

Country Status (2)

Country Link
US (1) US10914008B2 (zh)
CN (1) CN110952081B (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5980766A (ja) * 1982-10-29 1984-05-10 Agency Of Ind Science & Technol ルテニウムの無電解メツキ浴
DE19909678C1 (de) * 1999-03-05 2000-07-27 Bosch Gmbh Robert Rhodiumbad und Verfahren zum Abscheiden von Rhodium
US20050005820A1 (en) * 2003-07-11 2005-01-13 Tom Etheridge Palladium complexes for printing circuits
US20100314766A1 (en) * 2008-01-23 2010-12-16 Junnosuke Sekiguchi Ulsi micro-interconnect member having ruthenium electroplating layer on barrier layer

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1394164A (en) * 1919-06-09 1921-10-18 Daniel Kernan Oil-burning furnace
US4427502A (en) * 1981-11-16 1984-01-24 Bell Telephone Laboratories, Incorporated Platinum and platinum alloy electroplating baths and processes
US5242551A (en) * 1991-03-28 1993-09-07 International Business Machines Corporation Electron induced transformation of an isoimide to an n-imide and uses thereof
US6074945A (en) * 1998-08-27 2000-06-13 Micron Technology, Inc. Methods for preparing ruthenium metal films
US7074640B2 (en) * 2000-06-06 2006-07-11 Simon Fraser University Method of making barrier layers
WO2003024174A1 (fr) * 2001-09-05 2003-03-20 Zeon Corporation Carte de circuit imprime multicouche, materiau a base de resine et procede de production associe
US7279423B2 (en) * 2002-10-31 2007-10-09 Intel Corporation Forming a copper diffusion barrier
US7229922B2 (en) * 2003-10-27 2007-06-12 Intel Corporation Method for making a semiconductor device having increased conductive material reliability
US7049234B2 (en) * 2003-12-22 2006-05-23 Intel Corporation Multiple stage electroless deposition of a metal layer
US7192670B2 (en) 2003-12-26 2007-03-20 Hitachi Maxell, Ltd. Fuel cell and membrane electrode assembly
US7001782B1 (en) * 2003-12-29 2006-02-21 Intel Corporation Method and apparatus for filling interlayer vias on ferroelectric polymer substrates
US20090304914A1 (en) * 2006-08-30 2009-12-10 Lam Research Corporation Self assembled monolayer for improving adhesion between copper and barrier layer
US20060063379A1 (en) * 2004-09-17 2006-03-23 Dory Thomas S Forming a combined copper diffusion barrier and seed layer
US7476618B2 (en) * 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US20070054487A1 (en) * 2005-09-06 2007-03-08 Applied Materials, Inc. Atomic layer deposition processes for ruthenium materials
EP1937419A4 (en) * 2005-09-08 2009-11-04 Applied Materials Inc ELECTRICITY-FREE MODELING METALLIZATION PROCESS FOR LARGE-SURFACE ELECTRONICS
US7850779B2 (en) * 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7851360B2 (en) * 2007-02-14 2010-12-14 Intel Corporation Organometallic precursors for seed/barrier processes and methods thereof
JP5192713B2 (ja) * 2007-03-30 2013-05-08 富士フイルム株式会社 導電膜及びその製造方法
US8013446B2 (en) * 2008-08-12 2011-09-06 International Business Machines Corporation Nitrogen-containing metal cap for interconnect structures
US7977235B2 (en) * 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
KR101078738B1 (ko) * 2009-09-08 2011-11-02 한양대학교 산학협력단 반도체 소자의 구리배선 및 그 형성방법
KR101521113B1 (ko) 2011-03-29 2015-05-19 서울대학교산학협력단 전기화학 및 라만 분광학적 모니터링, 스캐닝 및 피드백 자극이 가능한 겸용 탐침
KR102475024B1 (ko) * 2015-06-18 2022-12-07 타호 리서치 리미티드 제2 또는 제3 행 전이 금속 박막들의 퇴적을 위한 선천적으로 선택적인 전구체들
TWI649449B (zh) * 2015-11-27 2019-02-01 德國艾托特克公司 鈀之電鍍浴組合物及無電電鍍方法
US10847413B2 (en) * 2017-11-30 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact plugs for semiconductor device
US20190309422A1 (en) * 2018-04-06 2019-10-10 Versum Materials Us, Llc Spin-On Metallization

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5980766A (ja) * 1982-10-29 1984-05-10 Agency Of Ind Science & Technol ルテニウムの無電解メツキ浴
DE19909678C1 (de) * 1999-03-05 2000-07-27 Bosch Gmbh Robert Rhodiumbad und Verfahren zum Abscheiden von Rhodium
EP1035229A1 (de) * 1999-03-05 2000-09-13 Robert Bosch Gmbh Rhodiumbad und Verfahren zum Abscheiden von Rhodium
DE50013442D1 (de) * 1999-03-05 2006-10-26 Bosch Gmbh Robert Rhodiumbad und Verfahren zum Abscheiden von Rhodium
US20050005820A1 (en) * 2003-07-11 2005-01-13 Tom Etheridge Palladium complexes for printing circuits
US20100314766A1 (en) * 2008-01-23 2010-12-16 Junnosuke Sekiguchi Ulsi micro-interconnect member having ruthenium electroplating layer on barrier layer

Also Published As

Publication number Publication date
CN110952081B (zh) 2022-04-29
US10914008B2 (en) 2021-02-09
US20200102655A1 (en) 2020-04-02

Similar Documents

Publication Publication Date Title
JP4771945B2 (ja) バリヤ金属上に直接銅めっきするマルチステップ電着法
CN108475625B (zh) 用于集成电路中的小及大特征的钴或镍及铜整合
US8691687B2 (en) Superfilled metal contact vias for semiconductor devices
JP5203602B2 (ja) 銅でないメッキ可能層の上への銅の直接電気メッキのための方法
US7752996B2 (en) Apparatus for applying a plating solution for electroless deposition
US20070125657A1 (en) Method of direct plating of copper on a substrate structure
KR20170002606A (ko) 수퍼 컨포멀 도금
US10472726B2 (en) Electrolyte and process for electroplating copper onto a barrier layer
CN105274595B (zh) 用于在反应性金属膜上电化学沉积金属的方法
CN110168146B (zh) 用于高纵横比图案的铜电沉积溶液和方法
CN110952081B (zh) 用于形成互连部的方法和溶液
KR20150138086A (ko) 반응성 금속 필름 상에 금속을 전기화학적으로 증착시키기 위한 방법
CN111771016B (zh) 用于钴的电沉积的方法
WO2022012993A1 (en) Electrolyte and deposition of a copper barrier layer in a damascene process
JP7244533B2 (ja) コバルト電着プロセス
US20150322587A1 (en) Super conformal plating
JP5309495B2 (ja) 半導体装置の製造方法
TW201727829A (zh) 用於銅金屬化之方法及用於形成鈷或鎳矽化物之方法
WO2023194802A1 (en) Electrolyte comprising an accelerator agent for bottom-up copper electroplating
TWI415962B (zh) 具備由具有障蔽機能之金屬元素與具有觸媒能之金屬元素所成之合金膜的基板
EP1022355A2 (en) Deposition of copper on an activated surface of a substrate

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant