CN110892513B - Vertical pass transistor with equal gate stack thickness - Google Patents

Vertical pass transistor with equal gate stack thickness Download PDF

Info

Publication number
CN110892513B
CN110892513B CN201880026997.4A CN201880026997A CN110892513B CN 110892513 B CN110892513 B CN 110892513B CN 201880026997 A CN201880026997 A CN 201880026997A CN 110892513 B CN110892513 B CN 110892513B
Authority
CN
China
Prior art keywords
type
layer
work function
region
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880026997.4A
Other languages
Chinese (zh)
Other versions
CN110892513A (en
Inventor
鲍如强
李忠贤
徐铮
毕振兴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN110892513A publication Critical patent/CN110892513A/en
Application granted granted Critical
Publication of CN110892513B publication Critical patent/CN110892513B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8222Bipolar technology
    • H01L21/8228Complementary devices, e.g. complementary transistors
    • H01L21/82285Complementary vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823885Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0641Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region without components of the field effect type
    • H01L27/0647Bipolar transistors in combination with diodes, or capacitors, or resistors, e.g. vertical bipolar transistor and bipolar lateral transistor and resistor
    • H01L27/0652Vertical bipolar transistor in combination with diodes, or capacitors, or resistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0641Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region without components of the field effect type
    • H01L27/0647Bipolar transistors in combination with diodes, or capacitors, or resistors, e.g. vertical bipolar transistor and bipolar lateral transistor and resistor
    • H01L27/0652Vertical bipolar transistor in combination with diodes, or capacitors, or resistors
    • H01L27/0658Vertical bipolar transistor in combination with resistors or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0641Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region without components of the field effect type
    • H01L27/0647Bipolar transistors in combination with diodes, or capacitors, or resistors, e.g. vertical bipolar transistor and bipolar lateral transistor and resistor
    • H01L27/0652Vertical bipolar transistor in combination with diodes, or capacitors, or resistors
    • H01L27/0664Vertical bipolar transistor in combination with diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66719With a step of forming an insulating sidewall spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66734Vertical DMOS transistors, i.e. VDMOS transistors with a step of recessing the gate electrode, e.g. to form a trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7803Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • H10B63/34Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors of the vertical channel field-effect transistor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Thin Film Transistor (AREA)

Abstract

A semiconductor device and method of forming the same includes forming a vertical semiconductor channel on a bottom source/drain layer in a first type region and a second type region. A gate dielectric layer is formed on sidewalls of the vertical semiconductor channel. A first type work function layer is formed in the first type region. A second type work function layer is formed in the first type region and the second type region. A thickness matching layer is formed in the second type region such that the layer stack in the first type region has the same thickness as the layer stack in the second type region. A top source/drain region is formed on a top portion of the vertical channel.

Description

Vertical pass transistor with equal gate stack thickness
Technical Field
The present invention relates generally to transistor fabrication and, more particularly, to fabrication of vertical transfer transistors having uniform gate thickness between device types.
Background
As manufacturing technology improves, field Effect Transistors (FETs) have been employed to shrink device dimensions. The vertical pass FET provides an opportunity for further device scaling. However, forming devices with equal gate thickness is particularly important in high-k/metal gate fabrication processes for vertical transfer FETs to reduce gate variation during gate recessing. This defines the gate length and having a uniform gate length helps to reduce the challenges of gate packaging and gate isolation.
Disclosure of Invention
A method for forming a semiconductor device includes forming a vertical semiconductor channel on a bottom source/drain layer in a first type region and a second type region. A gate dielectric layer is formed on sidewalls of the vertical semiconductor channel. A first type work function layer is formed in the first type region. A second type work function layer is formed in both the first type region and the second type region. The thickness matching layer is formed in the second type region such that the layer stack in the first type region has the same thickness as the layer stack in the second type region. A top source/drain region is formed on a top portion of the vertical channel.
A method for forming a semiconductor device includes forming a vertical semiconductor channel on a bottom source/drain layer in a first type region and a second type region. A gate dielectric layer is formed on sidewalls of the vertical semiconductor channel. A first type work function layer is formed in the first type region. A second type work function layer is formed in both the first type region and the second type region. The thickness matching layer is formed in the second type region such that the layer stack in the first type region has the same thickness as the layer stack in the second type region. The first type stack formed by the first type work function layer and the second type work function layer is recessed below the height of the vertical semiconductor channel. The second type stack formed by the second type work function layer and the thickness matching layer is recessed below the height of the vertical semiconductor channel. Spacers are formed on exposed sidewalls of the vertical semiconductor channels. The spacers are etched to expose a top portion of each vertical channel. A top source/drain region is formed on a top portion of the vertical channel.
The integrated chip includes a first semiconductor device of a first type and a second semiconductor device of a second type. The first semiconductor device includes a vertical semiconductor channel, a gate dielectric layer formed on sidewalls of the vertical semiconductor channel, a first type work function layer formed on the gate dielectric layer, and a second type work function layer formed on the first type work function layer. The second semiconductor device includes a vertical semiconductor channel, a gate dielectric layer formed on sidewalls of the vertical semiconductor channel, a second type work function layer formed on the gate dielectric layer, and a thickness matching layer formed on the second type work function layer, the thickness matching layer having a thickness substantially equal to a thickness of the first type work function layer.
These and other features and advantages will become apparent from the following detailed description of illustrative embodiments thereof, which is to be read in connection with the accompanying drawings.
Drawings
FIG. 1 is a cross-sectional view of a step of forming different types of vertical Field Effect Transistors (FETs) having equal gate thicknesses according to an embodiment of the present invention;
FIG. 2 is a cross-sectional view of a step of forming different types of vertical FETs having equal gate thicknesses according to an embodiment of the present invention;
FIG. 3 is a cross-sectional view of a step of forming different types of vertical FETs having equal gate thicknesses according to an embodiment of the present invention;
FIG. 4 is a cross-sectional view of a step of forming different types of vertical FETs having equal gate thicknesses according to an embodiment of the present invention;
FIG. 5 is a cross-sectional view of a step of forming different types of vertical FETs having equal gate thicknesses according to an embodiment of the present invention;
FIG. 6 is a cross-sectional view of a step of forming different types of vertical FETs having equal gate thicknesses according to an embodiment of the present invention;
FIG. 7 is a cross-sectional view of a step of forming different types of vertical FETs having equal gate thicknesses according to an embodiment of the present invention;
FIG. 8 is a cross-sectional view of a step of forming different types of vertical FETs having equal gate thicknesses according to an embodiment of the present invention;
FIG. 9 is a cross-sectional view of a step of forming different types of vertical FETs having equal gate thicknesses according to an embodiment of the present invention;
FIG. 10 is a cross-sectional view of a step of forming different types of vertical FETs having equal gate thicknesses according to an embodiment of the present invention;
FIG. 11 is a cross-sectional view of a step of forming different types of vertical FETs having equal gate thicknesses according to an embodiment of the present invention;
FIG. 12 is a cross-sectional view of a step of forming different types of vertical FETs having equal gate thicknesses according to an embodiment of the present invention;
FIG. 13 is a cross-sectional view of a step of forming different types of vertical FETs having equal gate thicknesses according to an embodiment of the present invention;
FIG. 14 is a cross-sectional view of a step of forming different types of vertical FETs having equal gate thicknesses according to an alternative embodiment of the present invention;
FIG. 15 is a cross-sectional view of a step of forming different types of vertical FETs having equal gate thicknesses according to an alternative embodiment of the present invention;
FIG. 16 is a cross-sectional view of a step of forming different types of vertical FETs having equal gate thicknesses according to an alternative embodiment of the present invention; and
fig. 17 is a block/flow diagram of a process for forming different types of vertical FETs with equal gate thickness in accordance with an embodiment of the invention.
Detailed Description
Embodiments of the present invention provide a Vertical Transfer Field Effect Transistor (VTFET) structure having equal gate thickness by using selective etching of one gate metal relative to the metal in the other gate stack between the n-type FET and the p-type FET. Different gate stacks with different metals may be used for each device type so that thicker gate stacks may be etched to match the thickness of gate stacks used for other device types.
Referring now to the drawings, in which like numerals represent the same or similar elements, and initially to fig. 1, a cross-sectional view of a step of forming vertical transistors having equal gate thicknesses is shown. The substrate 102 is divided into a first region 116 and a second region 118 using, for example, a shallow trench isolation process that cuts into the substrate 102 and deposits a dielectric barrier 108 in the trench. In some embodiments, the substrate 102 may be a semiconductor substrate. The dielectric barrier 108 may be formed of, for example, silicon dioxide or any other suitable material.
The semiconductor substrate 102 may be a bulk semiconductor substrate. In one example, the bulk semiconductor substrate may be a silicon-containing material. Illustrative examples of silicon-containing materials suitable for use in the bulk semiconductor substrate include, but are not limited to, silicon germanium carbide, silicon carbide, polysilicon, epitaxial silicon, amorphous silicon, and multilayers thereof. Although silicon is the semiconductor material primarily used in wafer fabrication, alternative semiconductor materials may be used, such as but not limited to germanium, gallium arsenide, gallium nitride, cadmium telluride, and zinc selenide. Although not shown in the present figure, the semiconductor substrate 102 may also be a semiconductor-on-insulator (SOI) substrate.
A bottom source/drain layer 104 is formed on the substrate 104. The bottom source/drain layer 104 is formed of, for example, a doped semiconductor material. The semiconductor material may be the same material as that used in the substrate 102, or may be a different semiconductor material. The dopant used in the bottom source/drain layer 104 will depend on the type of device being formed. For example, an n-type device may be formed in the first region 116 and will use n-type dopants. A p-type device may be formed and a p-type dopant used in the second region 118. Each of regions 116 and 118 will have a different dopant material. The dopant material may be added by implantation, for example.
As used herein, "p-type" refers to the addition of impurities to the intrinsic semiconductor that create defects in the valence electrons. In silicon-containing substrates, examples of p-type dopants (i.e., impurities) include, but are not limited to: boron, aluminum, gallium and indium. As used herein, "n-type" refers to the addition of impurities that contribute free electrons to the intrinsic semiconductor. In silicon-containing substrates, examples of n-type dopants (i.e., impurities) include, but are not limited to, antimony, arsenic, and phosphorus.
Channel fin 112 is formed on bottom source/drain region 104. It is specifically contemplated that channel fins 112 may be formed of silicon, but any other suitable semiconductor material may alternatively be used. Channel fins may be formed by depositing a layer of semiconductor material on the bottom source/drain layer 104, depositing a fin mask 114 on the layer, and anisotropically etching the layer around the fin mask 114. Reactive Ion Etching (RIE) is a form of plasma etching in which the surface to be etched is placed on a radio frequency powered electrode during etching. During RIE, the surface to be etched has a potential that accelerates etching species extracted from the plasma toward the surface, wherein a chemical etching reaction occurs in a direction perpendicular to the surface. Other examples of anisotropic etching that may be used at this point of the present invention include ion beam etching, plasma etching or laser ablation. Alternatively, channel fins 112 may be formed by spacer imaging transfer.
An isolation layer 106 is deposited over the bottom source/drain layer 104 to provide electrical isolation between the channel fins 112. Any suitable dielectric material may be used for the isolation layer 106, such as silicon dioxide. A layer of gate dielectric material 110 is then conformally deposited over channel fin 112 and spacer 106. The layer of gate dielectric material may be any suitable dielectric, including, for example, a high-k dielectric.
As used herein, the term "high k" refers to a material having a dielectric constant k that is higher than the dielectric constant of silicon dioxide. Examples of high-k materials include, but are not limited to, metal oxides such as hafnium oxide, hafnium silicon oxide, hafnium oxynitride, lanthanum oxide, lanthanum aluminum oxide, zirconium silicon oxynitride, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate. The high-k dielectric material may also include dopants, such as lanthanum and aluminum.
The gate dielectric material layer 110 may be deposited by any suitable conformal process, including, for example, chemical Vapor Deposition (CVD), physical Vapor Deposition (PVD), atomic Layer Deposition (ALD), or Gas Cluster Ion Beam (GCIB) deposition. CVD is a deposition method in which deposition species are formed above room temperature (e.g., from about 25 ℃ to about 900 ℃) due to chemical reactions between gaseous reactants. The solid product of the reaction is deposited on the surface of the film, coating or layer on which the solid product is to be formed. Variations of CVD processes include, but are not limited to, atmospheric Pressure CVD (APCVD), low Pressure CVD (LPCVD), plasma Enhanced CVD (PECVD), and Metal Organic CVD (MOCVD), and combinations thereof may also be employed. In alternative embodiments using PVD, the sputtering apparatus may comprise a direct current diode system, radio frequency sputtering, magnetron sputtering or ionized metal plasma sputtering. In an alternative embodiment using ALD, chemical precursors are reacted with the material surface one at a time to deposit a thin film on the surface.
Referring now to fig. 2, a cross-sectional view of a step of forming vertical transistors having equal gate thicknesses is shown. A p-type gate metal layer 202 is deposited in the second region 118. The material may be deposited using a conformal process, such as CVD, ALD or PVD, and may be formed from a suitable p-type work function material, such as, for example, titanium nitride. Other types of p-type work function materials include, for example, titanium aluminum nitride, ruthenium, platinum, molybdenum, cobalt, and alloys thereof. A p-type gate metal layer may be deposited in regions 116 and 118 and then etched away from first region 116 by masking second region 118.
The work function material is a layer that provides a shift in the threshold voltage of the transistor device. In particular, the p-type work function material may be a material that shifts the voltage threshold toward the valence band of silicon. In one embodiment, the p-type workfunction metal layer has a workfunction in the range of 4.9eV to 5.2eV. As used herein, a "threshold voltage" is the lowest gate voltage achievable that turns on a semiconductor device, such as a transistor, by making the channel of the device conductive. The term "p-type threshold voltage shift" as used herein refers to a shift in the fermi energy of a p-type semiconductor device toward the valence band of silicon in the silicon-containing substrate of the p-type semiconductor device. The "valence band" is the highest range of electron energies, where electrons are typically present at absolute zero degrees.
The present embodiments utilize different work function metals in different regions, with some layers shared between devices in different regions. In this way, the voltage threshold of each device can be controlled by using an appropriate metal without compromising gate thickness uniformity.
Referring now to fig. 3, a cross-sectional view of a step of forming vertical transistors having equal gate thicknesses is shown. The n-type work function stack is formed of, for example, a titanium nitride layer 302 and a titanium aluminum carbide layer 304. The stack is conformally deposited using any suitable process, such as CVD, ALD or PVD. The n-type work function material may be a material that shifts a voltage threshold toward a conduction band of silicon in an n-type semiconductor device.
The "conduction band" is the lowest electron band of the dopant material that is not fully filled with electrons. In one embodiment, the n-type workfunction metal layer has a workfunction in the range of 4.1eV to 4.3eV. In alternative embodiments, the n-type work function stack may include a layer of titanium aluminum, tantalum nitride, hafnium silicon, or a combination thereof. The layer of the n-type work function stack may be formed by any suitable deposition process including, for example, CVD, ALD, or PVD.
Referring now to fig. 4, a cross-sectional view of a step of forming vertical transistors having equal gate thicknesses is shown. The matching layer 402 is formed of, for example, titanium nitride in the first region 116. The matching layer 402 may be formed by conformally depositing material over the two regions 116 and 118, masking the first region 116, and then etching material away from the second region 118, for example. The thickness of the matching layer 402 is formed such that the thickness of the material stack on the channel fins 112 of the first region 116 is equal to the thickness of the stacked material on the channel fins 112 of the second region. It is noted that the thickness of the matching layer will be approximately equal to the thickness of the p-type gate metal layer 202.
In one exemplary embodiment, the p-type gate metal layer 202 may have an exemplary thickness between about 3nm and about 5nm, the titanium nitride layer may have an exemplary thickness between about 0.5nm and about 1.5nm, the titanium aluminum carbide layer 304 may have an exemplary thickness between about 3nm and about 5nm, and the matching layer may have an exemplary thickness between about 3nm and about 5 nm. The total thickness in first region 116 will be the sum of layers 302, 304, and 402, with an exemplary range of between about 6.5nm and about 11.5nm in this embodiment. The total thickness in the second region 118 will be the sum of the layers 202, 302 and 304, having the same thickness as the layer stack in the first region 116.
Referring now to fig. 5, a cross-sectional view of a step of forming vertical transistors having equal gate thicknesses is shown. A layer of planarizing material is deposited over the first region 116 and the second region 118. The planarization material may be, for example, an organic planarization layer. The gate metal layer and work function metal layer are etched back together with the planarization material to form an etched gate stack 502. The planarization material is then etched away to expose the gate stack 502. The use of planarizing material serves to protect the horizontal portions of the gate stack around the fins 112.
Referring now to fig. 6, a cross-sectional view of a step of forming vertical transistors having equal gate thicknesses is shown. An encapsulation layer 602 is conformally deposited over the fins 112 and the recessed gate stack 502. The encapsulation layer 602 may be formed by any suitable deposition process, such as CVD, PVD, or ALD. Encapsulation layer 602 provides a barrier to oxygen used in downstream processes. The encapsulation layer 602 may be formed of, for example, silicon nitride, silicon oxynitride, or boron silicon carbonitride.
Referring now to fig. 7, a cross-sectional view of a step of forming vertical transistors having equal gate thicknesses is shown. A gap dielectric 702 is deposited over the encapsulation layer 602 and recessed back to the height of the gate stack 502. It is specifically contemplated that silicon dioxide may be used for this purpose, but any suitable dielectric material may alternatively be used. The gap dielectric 702 fills the space between the two fins 112 and may be deposited using any suitable process, including, for example, CVD, PVD, ALD, or spin-on process with flowable dielectric material.
Referring now to fig. 8, a cross-sectional view of a step of forming vertical transistors having equal gate thicknesses is shown. Spacers 802 are formed around the exposed portions of encapsulation layer 602. The spacers 802 may be formed, for example, by depositing a layer of hard mask material and then anisotropically etching the hard mask material to remove the material from the horizontal surfaces, leaving only the spacers 802 formed on the vertical sidewalls of the channel fins 112 outside of the encapsulation layer 602. It is specifically contemplated that the spacers 802 may be formed of silicon nitride, but any suitable dielectric material may alternatively be used.
Referring now to fig. 9, a cross-sectional view of a step of forming vertical transistors having equal gate thicknesses is shown. The spacers 802 and fin mask 114 serve as a mask, while the horizontal portions of the gate stack 502 are anisotropically etched away. The result is an n-type gate stack 902 formed in the first region 116 and a p-type gate stack 904 formed in the second region 118. Notably, the thickness of the n-type gate stack 902 is equal to the thickness of the p-type gate stack 904, although their layers and compositions are different.
Referring now to fig. 10, a cross-sectional view of a step of forming vertical transistors having equal gate thicknesses is shown. A layer of dielectric fill is deposited over the first region 116 and the second region 118. It is particularly contemplated that the dielectric filler may be formed of, for example, silicon dioxide, but any suitable dielectric material may be used. Fin mask 114, dielectric fill, and spacers 802 are etched to expose top portions of channel fins 112, leaving dielectric layer 1002 between first region 116 and second region 118.
Referring now to fig. 11, a cross-sectional view of a step of forming vertical transistors having equal gate thicknesses is shown. Top source/drain regions 1102 and 1104 are formed on top portions of channel fins 112. It is specifically contemplated that the top source/drain regions 1102 and 1104 may be epitaxially grown and may have the same basic composition as the channel fin 112 and the bottom source/drain layer 104, but it should be appreciated that the top source/drain regions 1102 and 1104 may be formed of any suitable material and may be formed by any suitable process.
N-type source/drain regions 1102 are formed in the first region 116 and p-type source/drain regions 1104 are formed in the second region 118. It should be appreciated that the corresponding source/drain regions 1104 are doped with appropriate n-type or p-type dopants either by in situ doping or by implantation.
Referring now to fig. 12, a cross-sectional view of a step of forming vertical transistors having equal gate thicknesses is shown. Interlayer dielectric 1202 is formed of, for example, silicon dioxide, with dielectric fill 1002 extending above the height of top source/drain regions 1102 and 1104. Conductive contact 1204 is then formed through interlayer dielectric 1202 to contact top source/drain regions 1102 and 1104 and bottom source/drain region 104. The conductive contacts may be formed of any suitable conductive material including, for example, tungsten, nickel, titanium, molybdenum, tantalum, copper, platinum, silver, gold, ruthenium, iridium, rhenium, rhodium, and alloys thereof.
Referring now to fig. 13, a cross-sectional view of an alternative embodiment of a vertical transistor with equal gate thickness is shown. In this embodiment, the gate stack connecting the two regions 116 and 118 is not etched away, leaving a conductive connection between the two regions. In this way, devices in both regions can be triggered by a single shared gate. This may be achieved by adding a mask in the region between the two regions prior to forming the spacers 802.
Referring now to fig. 14, a cross-sectional view of a step of forming an alternate embodiment vertical transistor having equal gate thickness is shown. This embodiment includes an additional step prior to the step of fig. 4, wherein an additional layer 1402 is formed in the n-type workfunction metal stack. The additional layer 1402 may be formed of, for example, titanium nitride. In this embodiment, the titanium nitride matching layer 402 (which matches the thickness of the gate stack in the first region 116 to the thickness of the gate stack in the second region 118) may alternatively be formed of a conductor such as tungsten or cobalt for the matching layer 1404. This embodiment may then be formed as an n-type and p-type transistor device having a split or common gate structure as described above.
Referring now to fig. 15, a cross-sectional view of a step of forming an alternate embodiment vertical transistor having equal gate thickness is shown. This embodiment first forms an n-type workfunction metal stack and employs a scavenging layer in the second region 118. The n-type workfunction metal stack is formed from, for example, titanium nitride layer 1502, titanium aluminum carbon layer 1504, and titanium nitride layer 1506. After depositing the layer, the stack is patterned to confine it over the first region 116.
A p-type work function layer 1508 is then deposited over regions 116 and 118, wherein p-type work function layer 1508 is formed, for example, of titanium nitride. A scavenging layer 1510 is formed on the p-type work function layer 1508. Then, the matching layer 1512 is formed of, for example, silicon nitride in the second region 118 so that the thickness of the layer stack in the second region 118 is equal to the thickness of the layer stack in the first region 116. The scavenging layer 1510 may be formed of, for example, titanium aluminum carbide, and blocks oxygen diffusion from outside the gate or scavenges oxygen from the p-type work function layer 1508.
Referring now to fig. 16, a cross-sectional view of a step of forming an alternate embodiment vertical transistor having equal gate thickness is shown. As shown in fig. 15, an n-type workfunction metal stack is formed in first region 116. A layer, such as titanium nitride 1508 and a scavenging layer 1510 are then deposited over regions 116 and 118.
A thin layer 1602 of, for example, titanium nitride is deposited over the two regions, followed by formation of a matching layer 1604 in the second region 118, the matching layer 1604 being formed of, for example, cobalt or tungsten. As in other embodiments, matching layer 1604 matches the thickness of the gate stack in second region 118 to the thickness of the gate stack in first region 116.
It should be understood that aspects of the invention will be described in terms of a given illustrative architecture; however, other architectures, structures, substrate materials and process features and steps may be varied within the scope of aspects of the present invention.
It will also be understood that when an element such as a layer, region or substrate is referred to as being "on" or "over" another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being "directly on" or "directly over" another element, there are no intervening elements present. It will also be understood that when an element is referred to as being "connected" or "coupled" to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being "directly connected" or "directly coupled" to another element, there are no intervening elements present.
The present embodiments may include designs for integrated circuit chips that may be created in a graphical computer programming language and stored on a computer storage medium (such as a diskette, tape, physical hard drive, or virtual hard drive such as in a storage access network). If the designer does not fabricate chips or the photolithographic masks used to fabricate chips, the designer may transfer the resulting design by physical means (e.g., by providing a copy of the storage medium storing the design) or electronically (e.g., through the Internet) to such entities, directly or indirectly. The stored design is then converted to an appropriate format (e.g., GDSII) for the fabrication of photolithographic masks, which typically include multiple copies of the chip design in question that are to be formed on a wafer. A photolithographic mask is utilized to define areas of the wafer (and/or the layers thereon) to be etched or otherwise processed.
The methods as described herein may be used to fabricate integrated circuit chips. The resulting integrated circuit chips may be distributed by the manufacturer in raw wafer form (i.e., as a single wafer with multiple unpackaged chips), bare chip, or packaged form. In the latter case, the chips are mounted in a single chip package (such as a plastic carrier with leads affixed to a motherboard or other higher level carrier) or a multi-chip package (such as a ceramic carrier with any one or more surface interconnections or buried interconnections). In any case, the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of (a) an intermediate product (such as a motherboard) or (b) an end product. The end product may be any product that includes integrated circuit chips, from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
It should also be understood that the material compounds will be described in terms of the listed elements (e.g., siGe). These compounds include elements of varying proportions in the compound, e.g. SiGe includes Si wherein x is less than or equal to 1 x Ge 1-x Etc. Furthermore, other elements may be included in the compounds and still function in accordance with the principles of the present invention. The compound with the additional element is referred to herein as an alloy.
Reference in the specification to "one embodiment" or "an embodiment" means that a particular feature, structure, characteristic, or the like described in connection with the embodiment is included in at least one embodiment. Thus, the appearances of the phrase "in one embodiment" or "in an embodiment" in various places throughout this specification are not necessarily all referring to the same embodiment.
It should be understood that, for example, in the case of "a/B", "a and/or B" and "at least one of a and B", the use of any of the following "/", "and/or" and "at least one" is intended to include the selection of only the first listed option (a), or only the second listed option (B), or both options (a and B). As another example, in the case of "a, B and/or C" and "at least one of a, B and C", such a phrase is intended to include selecting only the first listed option (a), or selecting only the second listed option (B), or selecting only the third listed option (C), or selecting only the first and second listed options (a and B), or selecting only the first and third listed options (a and C), or selecting only the second and third listed options (B and C), or selecting all three options (a and B and C). This can be extended for many of the items listed, as will be apparent to those of ordinary skill in the art and related arts.
The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms "a", "an" and "the" are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms "comprises," "comprising," "includes" and/or "including" when used herein, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof.
Spatially relative terms, such as "lower," "upper," and the like, may be used herein to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as "below" or "beneath" other elements or features would then be oriented "above" the other elements or features. Thus, the term "below" may include both above and below orientations. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly. In addition, it will be understood that when a layer is referred to as being "between" two layers, it can be the only layer between the two layers or one or more intervening layers may also be present.
It will be understood that, although the terms first, second, etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another element. Accordingly, a first element discussed below could be termed a second element without departing from the scope of the present concepts.
Referring now to fig. 17, a method of forming a transistor is shown. Block 1702 forms a vertical channel 112 on the lower source/drain layer 104. The lower source/drain layer 104 may be divided into different regions, including a first region 116 and a second region 118, in each of which a respective vertical channel 112 is formed. Block 1703 forms gate dielectric 110 over vertical channel 112, and in particular forms gate dielectric 110 on sidewalls of vertical channel 112.
Block 1404 forms a first type (e.g., p-type or n-type) work function layer in one of the two regions. In some embodiments, a p-type work function layer may be deposited first in the p-type region, and in other embodiments, an n-type work function layer may be deposited in the n-type region. In some embodiments, block 1404 may conformally form a first-type work function layer over the two regions and then etch the first-type work function layer from the second region.
In one embodiment, the first type work function layer may be a p-type titanium nitride layer formed in the p-type region 118. In another embodiment, the first type work function layer may be an n-type stack including an n-type titanium nitride layer and a titanium aluminum carbon layer and optionally a second titanium nitride layer, the n-type stack being formed in the n-type region 116.
Block 1706 forms a second type work function layer over regions 116 and 118. In one embodiment, the second type work function layer may be an n-type stack comprising an n-type titanium nitride layer and a titanium aluminum carbon layer and optionally a second titanium nitride layer. In another embodiment, the second type work function layer may be a p-type titanium nitride layer. The polarity of the second type work function layer is opposite to the polarity of the first type work function layer.
Block 1707 optionally forms a scavenging layer 1510 over the second work function layer. As described above, the scavenging layer 1510 may be formed of titanium aluminum carbide, and may block oxygen from the outside and/or may scavenge oxygen from the underlying second type work function layer. The scavenging layer 1510 can have an exemplary thickness of between about 2nm and about 5 nm.
Block 1708 forms a thickness matching layer in regions that do not have a work function layer of the first type. The thickness of the matching layer is approximately equal to the thickness of the work function layer of the first type, such that the thickness of the stack in the two regions is approximately equal. In one embodiment, the matching layer may be formed of titanium nitride. In another embodiment, the matching layer may be formed of a metal conductor such as cobalt or tungsten.
Block 1710 recesses the gate stack in two regions below the height of the vertical fins 112. Block 1712 forms spacers 802 on exposed sidewalls of vertical fins 112. Block 1714 optionally etches away the gate stack between the first region and the second region to sever an electrical connection between the two regions. In alternative embodiments, block 1714 may be omitted to maintain a shared gate between the two regions.
Block 1716 etches the spacers 802 to expose top portions of the vertical channels 112. Block 1718 forms top source/drain regions 1102 and 1104 on top portions of vertical channel 112. It is specifically contemplated that the top source/drain regions 1102 and 1104 may be formed by epitaxial growth, wherein dopants appropriate for the respective device type of each region are doped in situ. Block 1720 completes the device, forming interlayer dielectric 1202 and electrical contacts 1204 to the top and bottom source/drain regions and gates.
Having described preferred embodiments for vertical pass transistors with equal gate stack thickness (which are intended to be illustrative and not limiting), it is noted that modifications and variations can be made by persons skilled in the art in light of the above teachings. It is therefore to be understood that changes may be made in the particular embodiments disclosed which are within the scope of the invention as outlined by the appended claims.

Claims (20)

1. A method of forming a semiconductor device, comprising:
forming a vertical semiconductor channel on the bottom source/drain layer in the first type region and the second type region;
forming a gate dielectric layer on sidewalls of the vertical semiconductor channel;
forming a first type work function layer in the first type region;
forming a second-type work function layer in both the first-type region and the second-type region;
forming a thickness matching layer in the second type region such that the layer stack in the first type region has the same thickness as the layer stack in the second type region; and
a top source/drain region is formed at a top portion of the vertical semiconductor channel.
2. The method of claim 1, further comprising forming a scavenging layer on the second type work function layer.
3. The method of claim 1, wherein a portion of the first and second type work function layers remain in a region between the first and second type regions.
4. The method of claim 1, further comprising recessing first and second type stacks below a height of the vertical semiconductor channel, the first type stack being formed by the first and second type work function layers, the second type stack being formed by the second type work function layer and the thickness matching layer.
5. The method of claim 4, further comprising forming spacers on exposed sidewalls of the vertical semiconductor channels.
6. The method of claim 5, further comprising etching away a portion of the first and second type of work function layers from a region between the first and second type of regions.
7. The method of claim 5, further comprising etching back the spacers prior to forming the top source/drain regions.
8. The method of claim 1, wherein the thickness matching layer comprises titanium nitride.
9. The method of claim 1, wherein the thickness matching layer comprises a material selected from the group consisting of cobalt and tungsten.
10. A method for forming a semiconductor device, comprising:
forming a vertical semiconductor channel on the bottom source/drain layer in the first type region and the second type region;
forming a gate dielectric layer on sidewalls of the vertical semiconductor channel;
forming a first type work function layer in the first type region;
forming a second-type work function layer in both the first-type region and the second-type region;
forming a thickness matching layer in the second type region such that the layer stack in the first type region has the same thickness as the layer stack in the second type region;
recessing a first type stack and a second type stack below a height of the vertical semiconductor channel, the first type stack being formed by the first type work function layer and the second type work function layer, the second type stack being formed by the second type work function layer and the thickness matching layer;
forming spacers on exposed sidewalls of the vertical semiconductor channels;
etching back the spacers to expose a top portion of each vertical semiconductor channel; and
a top source/drain region is formed on the top portion of the vertical semiconductor channel.
11. An integrated chip, comprising:
a first semiconductor device of a first type, the first semiconductor device comprising:
a vertical semiconductor channel;
a gate dielectric layer formed on sidewalls of the vertical semiconductor channel;
a first type work function layer formed on the gate dielectric layer; and
a second type work function layer formed later on the first type work function; and a second semiconductor device of a second type, the second semiconductor device comprising:
a vertical semiconductor channel;
a gate dielectric layer formed on sidewalls of the vertical semiconductor channel;
a second type work function layer formed on the gate dielectric layer; and
a thickness matching layer formed on the second type work function layer, the thickness matching layer having a thickness substantially equal to a thickness of the first type work function layer.
12. The integrated chip of claim 11, further comprising an inter-device connection connecting the first semiconductor device and the second semiconductor device and comprising portions of the first type work function layer, the second type work function layer, and the thickness matching layer.
13. The integrated chip of claim 11, wherein the first semiconductor device is an n-type transistor, and wherein the second semiconductor device is a p-type transistor.
14. The integrated chip of claim 13, wherein the first type work function layer comprises an n-type titanium nitride layer, a titanium aluminum carbon layer, and a second titanium nitride layer, and wherein the second type work function layer comprises a p-type titanium nitride layer.
15. The integrated chip of claim 13, wherein the first semiconductor device further comprises a scavenging layer on the second type work function layer, and wherein the second semiconductor device further comprises a scavenging layer between the second type work function layer and the thickness matching layer.
16. The integrated chip of claim 15, wherein the thickness matching layer comprises a material selected from the group consisting of titanium nitride, cobalt, and tungsten.
17. The integrated chip of claim 11, wherein the first semiconductor device is a p-type transistor, and wherein the second semiconductor device is an n-type transistor.
18. The integrated chip of claim 17, wherein the first type work function layer comprises a p-type titanium nitride layer, and wherein the second type work function layer comprises an n-type titanium nitride layer and a titanium aluminum carbon layer.
19. The integrated chip of claim 17, wherein the thickness matching layer comprises a material selected from the group consisting of titanium nitride, cobalt, and tungsten.
20. The integrated chip of claim 17, wherein the second type work function layer further comprises a second titanium nitride layer.
CN201880026997.4A 2017-05-01 2018-04-13 Vertical pass transistor with equal gate stack thickness Active CN110892513B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/582,905 2017-05-01
US15/582,905 US10103147B1 (en) 2017-05-01 2017-05-01 Vertical transport transistors with equal gate stack thicknesses
PCT/IB2018/052586 WO2018203162A1 (en) 2017-05-01 2018-04-13 Vertical transport transistors with equal gate stack thicknesses

Publications (2)

Publication Number Publication Date
CN110892513A CN110892513A (en) 2020-03-17
CN110892513B true CN110892513B (en) 2023-08-18

Family

ID=63761328

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880026997.4A Active CN110892513B (en) 2017-05-01 2018-04-13 Vertical pass transistor with equal gate stack thickness

Country Status (6)

Country Link
US (3) US10103147B1 (en)
JP (1) JP7004742B2 (en)
CN (1) CN110892513B (en)
DE (1) DE112018002294B4 (en)
GB (1) GB2575933B (en)
WO (1) WO2018203162A1 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10229986B1 (en) * 2017-12-04 2019-03-12 International Business Machines Corporation Vertical transport field-effect transistor including dual layer top spacer
US10818756B2 (en) * 2018-11-02 2020-10-27 International Business Machines Corporation Vertical transport FET having multiple threshold voltages with zero-thickness variation of work function metal
CN113053815A (en) * 2019-12-26 2021-06-29 中芯国际集成电路制造(上海)有限公司 Semiconductor device and forming method
CN113363211B (en) * 2020-03-05 2023-10-20 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
CN113838802B (en) * 2020-06-24 2023-10-17 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US11699736B2 (en) * 2020-06-25 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
CN113629148A (en) * 2021-06-24 2021-11-09 湖南大学 Double-gate enhanced gallium oxide MESFET device and manufacturing method thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102956702A (en) * 2011-08-31 2013-03-06 中国科学院微电子研究所 Semiconductor apparatus and manufacturing method thereof
CN105280698A (en) * 2014-06-30 2016-01-27 台湾积体电路制造股份有限公司 Vertical device architecture
CN106505104A (en) * 2015-09-04 2017-03-15 台湾积体电路制造股份有限公司 FINFET device and its manufacture method

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10350751B4 (en) 2003-10-30 2008-04-24 Infineon Technologies Ag Method for producing a vertical field effect transistor and field effect memory transistor, in particular FLASH memory transistor
US7736965B2 (en) 2007-12-06 2010-06-15 International Business Machines Corporation Method of making a FinFET device structure having dual metal and high-k gates
JP4316657B2 (en) * 2008-01-29 2009-08-19 日本ユニサンティスエレクトロニクス株式会社 Semiconductor device
US8021949B2 (en) 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
KR20140106903A (en) * 2013-02-27 2014-09-04 에스케이하이닉스 주식회사 Transistor, Variable Memory Device and Method of Manufacturing The Same
US9330937B2 (en) 2013-11-13 2016-05-03 Intermolecular, Inc. Etching of semiconductor structures that include titanium-based layers
US9105662B1 (en) * 2014-01-23 2015-08-11 International Business Machines Corporation Method and structure to enhance gate induced strain effect in multigate device
US9564431B2 (en) * 2014-02-19 2017-02-07 Taiwan Semiconductor Manufacturing Company Limited Semiconductor structures and methods for multi-level work function
KR102212267B1 (en) * 2014-03-19 2021-02-04 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9941394B2 (en) 2014-04-30 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Tunnel field-effect transistor
US10418271B2 (en) 2014-06-13 2019-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming isolation layer
US9831100B2 (en) 2014-06-24 2017-11-28 Intermolecular, Inc. Solution based etching of titanium carbide and titanium nitride structures
US9786774B2 (en) 2014-06-27 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate of gate-all-around transistor
US9318447B2 (en) 2014-07-18 2016-04-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of forming vertical structure
US9985026B2 (en) * 2014-08-15 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor, integrated circuit and method of fabricating the same
US9893159B2 (en) * 2014-08-15 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor, integrated circuit and method of fabricating the same
US9911848B2 (en) 2014-08-29 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical transistor and method of manufacturing the same
CN105405886B (en) 2014-09-10 2018-09-07 中国科学院微电子研究所 A kind of FinFET structure and its manufacturing method
CN105513967A (en) * 2014-09-26 2016-04-20 中芯国际集成电路制造(上海)有限公司 Transistor forming method
KR20160054830A (en) * 2014-11-07 2016-05-17 삼성전자주식회사 Method for manufacturing semiconductor device
KR102242989B1 (en) * 2014-12-16 2021-04-22 에스케이하이닉스 주식회사 Semiconductor device having dual work function gate structure and method for manufacturing the same, memory cell having the same and electronic device having the same
KR102250583B1 (en) * 2014-12-16 2021-05-12 에스케이하이닉스 주식회사 Semiconductor device having dual work function gate structure and method for manufacturing the same, memory cell having the same and electronic device having the same
US9343372B1 (en) 2014-12-29 2016-05-17 GlobalFoundries, Inc. Metal stack for reduced gate resistance
US9349860B1 (en) * 2015-03-31 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistors and methods of forming same
US9553092B2 (en) 2015-06-12 2017-01-24 Globalfoundries Inc. Alternative threshold voltage scheme via direct metal gate patterning for high performance CMOS FinFETs
CN106601605B (en) 2015-10-19 2020-02-28 中芯国际集成电路制造(北京)有限公司 Gate stack structure, NMOS device, semiconductor device and manufacturing method thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102956702A (en) * 2011-08-31 2013-03-06 中国科学院微电子研究所 Semiconductor apparatus and manufacturing method thereof
CN105280698A (en) * 2014-06-30 2016-01-27 台湾积体电路制造股份有限公司 Vertical device architecture
CN106505104A (en) * 2015-09-04 2017-03-15 台湾积体电路制造股份有限公司 FINFET device and its manufacture method

Also Published As

Publication number Publication date
US20180350811A1 (en) 2018-12-06
GB2575933B (en) 2021-09-29
US20180315755A1 (en) 2018-11-01
US10103147B1 (en) 2018-10-16
WO2018203162A1 (en) 2018-11-08
JP2020519006A (en) 2020-06-25
JP7004742B2 (en) 2022-01-21
US10312237B2 (en) 2019-06-04
DE112018002294T5 (en) 2020-02-13
US10157923B2 (en) 2018-12-18
DE112018002294B4 (en) 2023-05-17
US20180315756A1 (en) 2018-11-01
GB2575933A (en) 2020-01-29
GB201915589D0 (en) 2019-12-11
CN110892513A (en) 2020-03-17

Similar Documents

Publication Publication Date Title
CN111183518B (en) Nanoflake transistors with different gate dielectrics and work function metals
CN110892513B (en) Vertical pass transistor with equal gate stack thickness
US10263075B2 (en) Nanosheet CMOS transistors
US11515214B2 (en) Threshold voltage adjustment by inner spacer material selection
US10777469B2 (en) Self-aligned top spacers for vertical FETs with in situ solid state doping
US11444165B2 (en) Asymmetric threshold voltages in semiconductor devices
US20230038957A1 (en) Complementary field effect transistor devices
US11107923B2 (en) Source/drain regions of FinFET devices and methods of forming same
US11764265B2 (en) Nanosheet transistor with inner spacers
US11791342B2 (en) Varactor integrated with complementary metal-oxide semiconductor devices
US20230197814A1 (en) Hybrid gate cut for stacked transistors
US11916073B2 (en) Stacked complementary field effect transistors
US11869812B2 (en) Stacked complementary field effect transistors
WO2023051596A1 (en) Nanosheet transistors with self-aligned gate cut

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant