CN110783194A - 半导体元件的制造方法 - Google Patents

半导体元件的制造方法 Download PDF

Info

Publication number
CN110783194A
CN110783194A CN201910354383.5A CN201910354383A CN110783194A CN 110783194 A CN110783194 A CN 110783194A CN 201910354383 A CN201910354383 A CN 201910354383A CN 110783194 A CN110783194 A CN 110783194A
Authority
CN
China
Prior art keywords
layer
silicon
semiconductor
fin
amorphous
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910354383.5A
Other languages
English (en)
Inventor
黄耀陞
孙宏彰
张毅敏
方子韦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110783194A publication Critical patent/CN110783194A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02513Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching

Abstract

一种半导体元件的制造方法包括以下步骤。在横跨半导体鳍片的第一部分上形成虚设栅极结构。在横跨半导体鳍片的第二部分上形成掺杂的半导体层。在横跨掺杂的半导体层上形成介电层。介电层和掺杂的半导体层之间的界面实质上与半导体鳍片的顶面和侧壁的组合的轮廓共形。使用金属栅极结构替换虚设栅极结构。

Description

半导体元件的制造方法
技术领域
本揭露关于一种半导体元件的制造方法。
背景技术
为了追求更高装置密度、更高的性能和更低的成本,半导体工业的发展来到了纳米技术的制程节点,制造和设计上的挑战业已促使了三维设计的发展,例如鳍片场效应晶体管(fin field effect transistor,Fin FET)和使用具有高k(介电常数)材料的金属栅极结构。金属栅极结构通常透过使用栅极替换技术制造,并且透过使用磊晶生长方法形成源极和漏极。
发明内容
根据本揭露的部分实施方式,本揭露一种半导体元件的制造方法包含形成虚设栅极结构横跨于半导体鳍片的第一部分上;形成掺杂的半导体层横跨于半导体鳍片的第二部分上;形成介电层横跨于掺杂的半导体层上,其中介电层和掺杂的半导体层之间的界面实质上与半导体鳍片的顶面和复数侧壁的组合的轮廓共形;以及使用金属栅极结构替换虚设栅极结构。
附图说明
当结合附图阅读时,从以下详细描述中可以最好地理解本揭露的各方面。应注意,根据业界中的标准实践,各种特征未按比例绘制。实际上,为了清楚地讨论,可以任意增加或减少各种特征的尺寸。
图1是根据本揭露的部分实施方式中,形成半导体元件的方法流程图;
图2A、图3A、图4A、图5A、图6A、图7A、图8A、图9A、图10A和图11A绘示根据本揭露的部分实施方式中,根据图1的方法来形成半导体元件的立体图;
图2B、图3B、图4B、图5B、图6B、图7B、图8B、图9B、图10B和图11B绘示根据本揭露的部分实施方式中,根据图1的方法来形成半导体元件的剖面图;
图2C、图3C、图4C、图5C、图6C、图7C、图8C、图9C、图10C和图11C绘示根据本揭露的部分实施方式中,根据图1的方法来形成半导体元件的另一剖面图;
图12绘示根据本揭露的部分实施方式的炉管;
图13是根据本揭露的部分实施方式中,形成半导体元件的方法流程图;
图14A、图15A、图16A、图17A、图18A、图19A、图20A、图21A和图22A绘示根据本揭露的部分实施方式中,根据图13的方法来形成半导体元件的立体图;
图14B、图15B、图16B、图17B、图18B、图19B、图20B、图21B和图22B绘示根据本揭露的部分实施方式中,根据图13的方法来形成半导体元件的剖面图;
图14C、图15C、图16C、图17C、图18C、图19C、图20C、图21C和图22C绘示根据本揭露的部分实施方式中,根据图13的方法来形成半导体元件的另一剖面图。
具体实施方式
以下公开内容提供了用于实现所提供主题的不同特征的许多不同实施方式或示例。以下描述元件和配置的具体示例以简化本揭露。当然,这些仅仅是示例,而不是限制性的。例如,在随后的描述中,在第二特征之上或上方形成第一特征可以包括其中第一特征和第二特征以直接接触形成的实施方式,并且还包括可以在第一特征和第二特征之间形成附加特征,使得第一特征和第二特征不直接接触的实施方式。另外,本揭露可以在各种示例中重复参考数字及/或文字。此重复是为了简单和清楚的目的,并且其本身并不表示所讨论的各种实施方式及/或配置之间的关系。
此外,这里可以使用空间相对术语,例如“在…下方”、“下方”、“低于”、“在…上方”、“高于”等,以便于描述一个元件或特征与如图所示的另一个元件或特征的关系。除了图中所示的取向之外,空间相对术语旨在包括使用或操作中的装置的不同取向。装置可以以其他方式定向(旋转90度或在其他方向上),并且同样可以相应地解释这里使用的空间相对描述符号。
所揭露的实施方式是有关于形成与位于下方的半导体基板共形的源极/漏极结构的方法和结构,以用于鳍式场效应晶体管(fin field-effect transistors,FinFET)。例如本文所揭露的实施方式,其通常不仅适用于鳍式场效应晶体管,而且也适用于双栅极(double-gate)、环绕栅极(surround-gate)、Ω栅极(omega-gate)或环绕式栅极(gate-all-around)晶体管、二维场效应晶体管及/或纳米线晶体管,或者任何具有源极/漏极区域的合适的元件。
鳍式场效应晶体管的鳍片可以透过任何合适的方法被图案化。例如,可以使用一个或多个微影制程来图案化鳍片,包括双图案化或多重图案化制程。一般而言,双图案化或多重图案化制程组合微影和自对准制程,以允许产生具有例如比使用单一、直接微影制程所获得的间距更小的间距的图案。例如,在部分实施方式中,在基板上形成牺牲层并使用微影制程图案化。使用自对准制程在图案化的牺牲层旁边形成间隔物。接着移除牺牲层,然后可以使用剩余的间隔物来图案化鳍片。
图1绘示根据本揭露的部分实施方式中形成半导体元件的方法。图2A至图11C绘示根据本揭露的部分实施方式中,根据图1的方法的各个阶段的制程。在各种视图和示例性实施方式中,相同的元件符号用于表示相同的元件。在图2A至图11C中,“A”图(例如,图2A、图3A等)绘示立体图,“B”图(例如,图2B、图3B等)绘示对应于“A”图沿着Y方向的剖线B-B的剖面图,并且“C”图(例如,图2C、图3C等)绘示对应于“A”图沿着X方向的剖线C-C的剖面图。应当理解,可以在图2A至图11C所示的制程之前、期间和之后提供额外步骤,并且可以替换或消除下面描述的一些步骤以作为此方法的另外的实施方式。步骤/制程的顺序是可互换的。
在图1的步骤S101中,形成横跨半导体鳍片的虚设栅极结构,如图2A至图2C所示。例如,具有一个或多个半导体鳍片104的基板102的半导体晶圆W1被绘示。应当理解,出于说明的目的绘示了两个半导体鳍片,然而,其他实施方式可以包括任何数量的半导体鳍片。在部分实施方式中,主动式鳍式场效应晶体管(active FinFET)具有一个或多个形成于邻近于半导体鳍片的虚设半导体鳍片。半导体鳍片104沿X方向延伸并且从基板沿Z方向突出,而虚设栅极结构106沿Y方向延伸。
基板102可包括各种掺杂区域。在部分实施方式中,掺杂区域可以掺杂有p型或n型掺杂物。例如,掺杂区域可以掺杂有p型掺杂物,例如硼或二氟化硼(BF2);n型掺杂物,如磷或砷;及/或以上的组合。可以将掺杂区域配置用于n型鳍式场效应晶体管,或者配置用于p型鳍式场效应晶体管。
在部分实施方式中,基板102可以由合适的元素半导体制成,例如硅、钻石或锗;合适的合金或化合物半导体,如IV族化合物半导体(硅锗(SiGe)、碳化硅(SiC)、碳化硅锗(SiGeC)、锗锡(GeSn)、硅锡(SiSn)、锡化硅锗(SiGeSn)),III-V族化合物半导体(如,砷化镓、铟镓砷(InGaAs)、砷化铟、磷化铟、锑化铟、磷化镓砷或磷化镓铟)或类似物。此外,基板102可以包括磊晶层,其可以是具有应变的以提高性能,及/或可以包括绝缘体上覆硅(silicon-on-insulator,SOI)结构。
可以使用例如图案化制程来形成半导体鳍片104,以及在相邻的半导体鳍片104之间形成沟槽。如下面更详细地讨论的,半导体鳍片104将用于形成鳍式场效应晶体管。
例如浅沟槽隔离(shallow trench isolations,STI)的隔离区域设置在基板102上的沟槽中。在部分实施方式中,隔离区域可等效地称为隔离绝缘层105。隔离绝缘层105可以由合适的介电材料制成,例如氧化硅、氮化硅、氮氧化硅、氟掺杂的硅酸盐玻璃(fluorine-doped silicate glass,FSG)、低介电常数介电质(例如,掺杂碳的氧化物)、极低介电常数介电质(例如,掺杂多孔碳的二氧化硅)、聚合物(例如,聚酰亚胺)、以上的组合或类似物等。在部分实施方式中,隔离绝缘层105透过例如化学气相沉积(chemical vapordeposition,CVD)、流动式化学气相沉积(flowable chemical vapor deposition,FCVD)或旋涂玻璃(spin-on-glass)制程的制程形成,亦可以使用任何可接受的制程。随后,使用例如回蚀刻制程、化学机械平坦化(chemical mechanical polishing,CMP)或类似方法移除在半导体鳍片104的顶面上延伸的部分隔离绝缘层105。
在部分实施方式中,凹陷隔离绝缘层105以暴露半导体鳍片104的顶部,如图2A至图2C所示。在部分实施方式中,使用单一蚀刻制程或多个蚀刻制程来使隔离绝缘层105凹陷。在隔离绝缘层105由氧化硅制成的部分实施方式中,蚀刻制程可以是例如干式蚀刻、化学蚀刻或湿式清洁制程。例如,化学蚀刻可以使用含氟化学物质(例如,稀释的氢氟酸)。
在形成半导体鳍片104之后,在暴露的半导体鳍片104上方形成虚设栅极结构106,其中每个虚设栅极结构106包括栅极介电层108和虚设栅极电极110。在部分实施方式中,透过在暴露的半导体鳍片104上沉积和图案化栅极介电层来形成栅极介电层108,并且在栅极介电层上沉积和图案化虚设栅极电极层来形成虚设栅极电极110。栅极介电层可以透过热氧化、化学气相沉积、溅射或其他合适的技术形成。在部分实施方式中,栅极介电层108可以由一种或多种合适的介电材料制成,例如氧化硅、氮化硅、氮碳化硅(SiCN)、氮氧化硅(SiON)和氮化硅(SiN)、类似物或以上的组合。
在部分实施方式中,虚设栅极电极110是导电材料并且可以选自由非晶硅、多晶硅、非晶锗、多晶锗、非晶硅锗、多晶硅锗、金属氮化物、金属硅化物、金属氧化物和金属组成的群组。可以透过物理气相沉积(physical vapor deposition,PVD)、化学气相沉积、溅射沉积等来沉积虚设栅极电极110,亦可以使用其他导电和非导电材料。在部分实施方式中,使用多晶硅。
可以在虚设栅极电极层上形成遮罩图案以辅助图案化。在部分实施方式中,在多晶硅的毯覆层上形成包括第一遮罩层112和第二遮罩层114的硬遮罩图案。硬遮罩图案由一层或多层的二氧化硅(SiO2)、氮碳化硅(SiCN)、氮氧化硅(SiON)、氧化铝(Al2O3)、氮化硅(SiN)或其他合适的材料制成。在部分实施方式中,第一遮罩层112包括氮化硅(SiN),第二遮罩层114包括氧化硅。透过使用遮罩图案作为蚀刻遮罩,将虚设电极层图案化为虚设栅极电极110。在部分实施方式中,虚设介电层也被图案化以定义栅极介电层108。
在图1的步骤S102中,形成间隔层116作为毯覆层以覆盖图2A至图2C中所示的结构。所得到的结构如图3A至图3C所示。在部分实施方式中,间隔层116由氮化硅形成,并且可以具有单层结构。在其他实施方式中,间隔层116是包括多个层的复合层。例如,间隔层116可以包括氧化硅层和位于氧化硅层上方的氮化硅层。可以形成实质上共形的间隔层116,因此在半导体鳍片104和虚设栅极结构106的侧壁上的间隔层116的垂直部分的厚度T1接近间隔层116的水平部分的厚度T2。例如,厚度T1和厚度T2的差值可小于厚度T2的约20%。
接下来,在图1的步骤S103中,图案化间隔层116,形成栅极间隔物118和鳍片间隔物120,如图4A至图4C所示。在间隔层116(图3A至图3C)包括氮化硅的部分实施方式中,氮化硅层的图案化包括使用二氟甲烷(CH2F2)作为蚀刻剂的干式蚀刻。在间隔层116(图3A至图3C)包括氧化硅层和氮化硅层的其他实施方式中,间隔层116的图案化包括使用二氟甲烷(CH2F2)作为蚀刻剂以图案化氮化硅的干式蚀刻,接着使用四氟化碳(CF4)作为蚀刻剂以图案化氧化硅层的干式蚀刻。图案化包括非等向性效应,使得间隔层116的水平部分被移除,而保留虚设栅极结构106侧壁上的垂直部分以形成栅极间隔物118。在半导体鳍片104的侧壁上的间隔层116的垂直部分被保留以形成鳍片间隔物120。
控制用于图案化间隔层116的制程条件,使得鳍片间隔物120的顶端120t低于半导体鳍片104的顶面104t。以这种方式,将半导体鳍片104的顶面104t和侧壁104s的顶部露出。在部分实施方式中,暴露的侧壁104s的高度h1在约10纳米(nm)至约60纳米的范围内。如果暴露的侧壁104s的高度h1大于约60纳米,则鳍片104可能在间隔层116的图案化制程遭受不想要的损坏。如果暴露的侧壁104s的高度h1小于约10纳米,则随后形成的源极/漏极区域的尺寸可能不足。在部分实施方式中,所得的鳍片间隔物120的高度h2在约10纳米至约60纳米的范围内。如果鳍片间隔物120的高度h2大于约60纳米,则随后形成的源极/漏极区域的尺寸可能不足。如果鳍片间隔物120的高度h2小于约10纳米,则鳍片104可能在间隔层116的图案化制程遭受不想要的损坏。间隔层116的剩余部分116p可保留在隔离绝缘层105上,并且连接相邻的鳍片间隔物120。在两个相邻的半导体鳍片104之间所得到的鳍片间隔物120和间隔层116的剩余部分116p可以类似于U形或盘型凹陷的形状,如图4B的剖面图所绘示。
在实质上没有空气的制程腔体中执行图案化间隔层116。然而,制程腔体中仍可能存在空气,特别是氧气。由于半导体材料(例如,半导体鳍片104)的天性,氧化物层122可以自然地形成在半导体鳍片104暴露的顶面104t和侧壁104s上,如图5A至图5C所示。自然形成的氧化物层122可以等同地称为自然氧化物层。氧化物层122是非晶结构,可能阻碍结晶源极/漏极层的形成。
在图1的步骤S104中,从半导体鳍片104中移除氧化物层122,如图6A至图6C所示。氧化物层122的移除有利于随后在结晶半导体鳍片104上形成结晶源极/漏极层。可以使用合适的蚀刻制程移除氧化物层122,例如对氧化物层122的材料具有选择性的选择性蚀刻制程。例如,可以使用Tokyo Electron CERTAS、Applied Material SICONI工具及/或类似物的化学氧化物移除(chemical oxide removal,COR)。在一些实施方式中,化学氧化物移除制程的压力为约200毫托至约600毫托。如果化学氧化物移除制程的压力小于约200毫托,则蚀刻持续时间可能过长。如果化学氧化物移除制程的压力大于约600毫托,则半导体鳍片104可能遭受不想要损坏。蚀刻气体包括氨(NH3)气体、氟化氢(HF)气体、氟气、类似物或以上的组合。在其他部分实施方式中,可以使用合适的湿式蚀刻制程,例如稀释的氢氟酸。
在部分实施方式中,化学氧化物移除制程在炉管中执行,此炉管用于在随后的步骤中在半导体鳍片104上沉积源极/漏极层。以这种方式,可以原位(in-situ)执行化学氧化物移除制程和随后的源极/漏极层沉积。在这里,术语“原位”是指在不破坏真空的情况下将源极/漏极层沉积在移除氧化物层122的炉管中。此外,炉管提供了提高产量的益处,因为可以实质上同时处理多个半导体晶圆W1(如下面将进一步详细讨论)。
图12绘示可用于原位执行化学氧化物移除制程和源极/漏极层沉积的示例性炉管(furnace)300。炉管300可以包括包围中心腔体303的外部主体301。外部主体301可以成形为具有封闭的顶端和开放的底端的圆筒,以允许在炉管300中移入和移出晶舟(waferboat)400。炉管300的外部主体301可以由耐热材料形成,例如石英、碳化硅、莫来石(mullite)、类似物或以上的组合,以便将热能保持并重新定向到中心腔体303。
由控制器307控制的一系列加热器305设置在外部主体301内。此系列的加热器305可用于控制中心腔体303内的温度并加热晶舟400内的半导体晶圆W(例如,如图2A至图11C所示的半导体晶圆W1),因为其位于中心腔体303内。在部分实施方式中,加热器305可以是电阻加热器,然而,可以替代地使用任何合适类型的加热器,例如使用蒸汽的辐射加热器,使用燃烧的碳氢化合物的辐射加热器,或用于传递热量的任何其他合适的元件。
控制器307可以是例如具有处理器、记忆体和输入/输出端口的电脑,其用于运行控制程序以控制炉管300内的热。另外,控制器307可具有一个或多个温度感测器309以向控制器307提供加热讯息。温度感测器309可以是例如安装在中心腔体303内的热电偶,以监控中心腔体303的温度并相应地调节一系列加热器305以获得和保持所需的退火温度。然而,可以替代地使用任何合适类型的感测器来测量中心腔体303的温度并将此测量值传输到控制器307。
内管311可以放置在外部主体301内并环绕中心腔体303。内管311可以是例如石英、碳化硅或莫来石之类的材料。内管311可以是圆柱形的并且与外部主体301间隔开,以便在内管311和外部主体301之间提供通道以使制程气体流动。
入口313和出口315可以延伸穿过外部主体301,以提供处理气体进出中心腔体303的入口和出口。入口313可以延伸到中心腔体303的底部区域,以将处理气体提供到中心腔体303中。出口315可以延伸穿过外部主体301,使得出口315通向外部主体301和内管311之间的空间。透过在这些位置设置入口313和出口315,想要的处理气体可以被引入中心腔体303的底部,在内管311内向上流过中心腔体303,流过内管311的端部,向下穿过在内管311和外部主体301之间的空间,并且透过出口315流出。可选地,真空帮浦可以连接到出口315,以便于从中心腔体303中移除环境气体。
在部分实施方式中,为了将中心腔体303密封以与与周围大气隔开,底座317可以沿着外部主体301的底部附接到外部主体301。底座317可以由与外部主体301类似的材料(例如,石英、碳化硅、莫来石及以上的组合等)制成并覆盖外部主体301的底部的开口。密封环319可用于气密地密封外部主体301和底座317之间的中心腔体303。
附接到底座317的可以是晶舟连接平台321。晶舟连接平台321允许晶舟400放置和连接到底座317。一旦附接到底座317,晶舟400可以放置在中心腔体303中并待处理。在将晶舟400放置在底座317的晶舟连接平台321上之后,底座317可以与外部主体301配合,使得晶舟400和半导体晶圆W位于炉管300的中心腔体303内。一旦中心腔体303气密地密封在外部主体301和底座317之间,控制器307可以使加热器305开始将中心腔体303加热到化学氧化物移除制程的预定温度,化学氧化物移除制程气体(例如氨(NH3)、氟化氢(HF)、类似物或以上的组合)可以透过入口313进入中心腔体303,流过晶舟400和半导体晶圆W上,并通过出口315流出。如此,化学氧化物移除制程可以在炉管300中进行以移除氧化物层122。
在图1的步骤S105中,形成硅层(在上下文中也称为含硅层)124作为毯覆层以共形地覆盖图6A至图6C中所示的结构。所得到的结构如图7A至图7C所示。介电材料(例如,间隔层116、隔离绝缘层105和第二遮罩层114的材料)本质上是非晶的,而鳍片104的半导体材料本质上是结晶的(例如,具有单晶结构)。不同的固体子类型造成硅层124具有结晶部分(例如:结晶硅层126)(即,具有单晶结构)和非晶部分(例如非晶硅层128)。进一步而言,形成在半导体鳍片104的顶面104t和侧壁104s上的硅层124的部分126本质上是结晶的,因为结晶部分126沉积在晶体顶面104t和晶体侧壁104s上。相反地,形成在剩余的间隔层(即,栅极间隔物118、鳍片间隔物120和间隔层的剩余部分116p)和第二遮罩层114上的硅层124的部分128本质上是非晶的,因为它与剩余的间隔层和第二遮罩层114的非晶材料形成界面。因此,硅层124的部分126可以等效地称为结晶硅(crystalline silicon,c-Si)层或环绕各个半导体鳍片104的部分,并且硅层124的部分128可以等效地称为非晶硅(amorphous silicon,a-Si)层或部分。
硅层124的形成包括化学气相沉积、原子层沉积(atomic layer deposition,ALD)、类似制程或其他合适的制程。控制形成硅层124的制程条件,使得在半导体鳍片104上形成结晶硅而不是非晶硅。此外,控制形成硅层124的制程条件以得到共形的硅层。因此,半导体鳍片104的侧壁104s上的结晶硅层126的垂直部分的厚度T3接近结晶硅层126的水平部分的厚度T4。例如,厚度T3和厚度T4的差异可以小于厚度T4的约20%。在部分实施方式中,厚度T3和厚度T4在约5纳米至约10纳米的范围内。如果厚度T3和厚度T4大于约10纳米,则可能对随后的沉积制程(例如,层间介电质(interlayer dielectric,ILD)沉积)产生不利影响。如果厚度T3和厚度T4小于约5纳米,则结晶硅层126可能太薄而不能用作晶体管的源极/漏极区域。在部分实施方式中,各自的半导体鳍片104上的结晶硅层126间隔约10纳米至约60纳米的距离。如果相邻的结晶硅层126之间的距离大于约60纳米,则由于结晶硅层126厚度的减小,晶体管的元件性能可能不足。如果相邻的结晶硅层126之间的距离小于约10纳米,则可能对随后的沉积过程(例如,层间介电质沉积)产生不利影响。在部分实施方式中,硅层124可以透过使用炉管(例如,如图12所示的炉管300)形成,此炉管设置有含硅前驱物气体,例如甲硅烷(SiH4)、乙硅烷(Si2H6)、丙硅烷(Si3H8)、类似物或以上的组合。在一些实施方式中,含硅前驱物气体的流速在约1000标准立方厘米/分钟(standard cubiccentimeters per minute,sccm)至约2000sccm的范围内。例如,用于形成硅层124的温度在约摄氏380度至约摄氏620度的范围内。用于形成硅层124的压力范围例如为约400毫托(mTorr)至约1托(Torr)。在炉管中执行的沉积制程的制程条件可以导致共形的硅层124具有与其下面的结构共形的结晶硅部分126和非晶硅部分128。在含硅前驱物气体是甲硅烷(SiH4)的部分实施方式中,甲硅烷(SiH4)可以以约1000sccm至约2000sccm的流速和约摄氏550度至约摄氏620度的温度提供。在一些实施方式中,其中含硅前驱物气体是乙硅烷(Si2H6),乙硅烷(Si2H6)可以以约200sccm至约600sccm的流速范围和约摄氏400度至约摄氏420度的温度范围的制程条件提供。如果制程条件超出上述选定范围,则结晶硅部分126可能不会共形地形成在半导体鳍片104上。
将硅层124掺杂,例如原位掺杂。在这里术语“原位”是指在不破坏真空的情况下将硅层124的前驱物气体和掺杂物气体引入相同的制程腔体(例如,如图12所示的炉管300)。掺杂物质可以包括p型掺杂物,例如来自含硼气体(例如三氟化硼(BF3)、乙硼烷(B2H6)、三氯化硼(BCl3))的硼;n型掺杂物,例如来自含磷气体(例如磷化氢(PH3)、三氟化磷(PF3)、五氟化磷(PF5))的磷;及/或其他合适的掺杂物(例如氟化锗(GeF3))。因此,p型掺杂的结晶硅层126可以用作p型鳍式场效应晶体管的源极/漏极层或区域。相反地,n掺杂的结晶硅层126可以用作n型鳍式场效应晶体管的源极/漏极层或区域。如果源极/漏极区域类似于类钻石结构,则当它们在紧密排列的半导体鳍片上磊晶生长时,类钻石结构将不可避免地合并在一起。然而,本揭露的实施方式形成与半导体鳍片共形的源极/漏极区域,而不是类钻石结构。因此,可以防止不想要的合并的源极/漏极区域。
在图1的步骤S106中,执行退火制程以使非晶硅层128结晶成多晶硅(polycrystalline silicon,poly-Si)层130,如图8A至图8C所示。在部分实施方式中,非晶硅层128的结晶包括固相磊晶再生长(solid phase epitaxial regrowth,SPER)退火、快速热退火(rapid thermal anneal,RTA)、激光退火、毫秒退火(millisecond anneal,mSA)、类似制程或以上的组合。退火制程可以以超过非晶硅层128的结晶阈值(例如,大于摄氏600度)的温度加热非晶硅层128,以便将非晶硅层128转换为多晶硅层130。退火制程不仅导致非晶硅层128的结晶,并且更活化掺杂的结晶硅层126。在部分实施方式中,退火制程可以在沉积硅层124之后原位执行。在此,术语“原位”意味着在不破坏真空的情况下,在相同的制程腔体(例如,如图12所示的炉管300)中进行沉积和退火硅层124。在炉管300中进行的退火制程的温度例如在约摄氏500度至约摄氏700度的范围内。如果退火制程的温度超出此范围,则掺杂的结晶硅层126的品质可能不足以用作源极/漏极区域。在一些其他实施方式中,退火制程是在例如约摄氏700度至约摄氏1100度的温度下进行的快速热退火制程。
如上所述,在部分实施方式中,步骤S104至步骤S106可以原位执行,例如,在如图12所示的相同炉管300中进行。这些原位制程可使半导体晶圆W1上的污染减少。此外,可以在炉管300中实质上同时处理多个半导体晶圆W1,其有利于提高产量并因此降低制造成本。例如,在步骤S104的部分实施方式中,透过将蚀刻气体(例如,氨(NH3)气体及/或、氟化氢(HF)气体)引入到炉管300内的中心腔体303中,可以在炉管300中实质上同时移除相应晶圆W1上的自然生成的氧化物层122。在步骤S105的部分实施方式中,将含硅前驱物气体(例如,甲硅烷(SiH4)、乙硅烷(Si2H6)及/或丙硅烷(Si3H8))引入炉管300的中心腔体303中,使得硅层124分别形成在半导体晶圆W1上。在步骤S106的部分实施方式中,在炉管300内执行退火制程以使各个晶圆W1上的非晶硅层128结晶成多晶硅层130,并活化各个晶圆W1上的半导体鳍片104上的结晶硅层126。
在步骤S107中,在半导体鳍片104上移除多晶硅层130而保留结晶硅层126。所得到的结构在图9A至图9C中示出。在部分实施方式中,多晶硅层130的移除包括选择性蚀刻制程,选择性蚀刻制程以比蚀刻单晶硅更快的速率蚀刻多晶硅。换句话说,结晶硅层126对蚀刻制程中使用的蚀刻剂的抗蚀刻能力高于多晶硅层130的抗蚀刻能力。如此,选择性蚀刻制程可以在半导体鳍片104上移除多晶硅层130而保留结晶硅层126。选择性蚀刻制程可以是干式蚀刻、湿式蚀刻或以上的组合。
在步骤S108中,在结晶硅层126上方形成介电层132,如图10A至图10C所示。在部分实施方式中,在结晶硅层126、第二遮罩层114、栅极间隔物118和鳍片间隔物120上方形成介电层132,接着透过使用例如化学机械平坦化制程,移除介电层132的过量材料以暴露虚设栅极结构106。化学机械平坦化制程可以使介电层132的顶面与虚设栅极结构106和栅极间隔物118的顶面平坦化。在部分实施方式中,介电层132包括氧化硅、氮化硅、氮氧化硅、四乙氧基硅烷(tetraethoxysilane,TEOS)、磷硅酸盐玻璃(phosphosilicate glass,PSG)、硼磷硅酸盐玻璃(borophosphosilicate glass,BPSG)、低介电常数介电质材料及/或其他合适的介电质材料。低介电常数介电材料的例子包括但不限于氟化硅玻璃(fluorinatedsilica glass,FSG)、碳掺杂氧化硅、非晶氟化碳、聚对二甲苯、双苯并环丁烯(bis-benzocyclobutenes,BCB)或聚酰亚胺。可以使用例如化学气相沉积、原子层沉积、旋涂玻璃(spin-on-glass,SOG)或其他合适的技术来形成介电层132。在部分实施方式中,介电层132是多层结构,包括接触蚀刻停止层(contact etch stop layer,CESL)和在接触蚀刻停止层上方的层间介电质(interlayer dielectric,ILD),其中层间介电质与接触蚀刻停止层具有不同的蚀刻选择性。
如图10B所示,介电层132和结晶硅层126形成与半导体鳍片104共形的界面133,因为结晶硅层126是具有实质上均匀厚度的共形层。换句话说,结晶硅层126的外部轮廓与结晶硅层126的内部轮廓实质上相同。具体地,介电层132和结晶硅层126之间的界面133具有实质上垂直的部分133v和连接实质上垂直的部分133v的实质上水平的部分133h。实质上水平的部分133h与半导体鳍片104的顶面104t平行,并且实质上垂直的部分133v与半导体鳍片104的侧壁104s平行。因此,介电层132和结晶硅层126之间的界面133与半导体鳍片104的顶面104t和侧壁104s的组合的轮廓实质上共形。
在步骤S109中,移除虚设栅极结构106并用替换栅极结构(也称为金属栅极结构)134替换,如图11A至图11C中所示。在部分实施方式中,透过执行第一蚀刻制程并在第一蚀刻制程之后执行第二蚀刻制程来移除虚设栅极结构106(例如,虚设栅极电极110和栅极介电层108)。在部分实施方式中,虚设栅极电极110(如图10A至图10C所示)主要透过第一蚀刻制程移除,并且栅极介电层108(如图10A至图10C所示)主要透过第二蚀刻制程移除,其中第二蚀刻制程使用的蚀刻剂与第一蚀刻制程中使用的蚀刻剂不同。在部分实施方式中,移除虚设栅极电极110,而保留栅极介电层108于半导体鳍片104上。
形成替换栅极结构134的示例性方法包括在晶圆W1上方毯覆式形成栅极介电层,在毯覆式栅极介电层上形成一个或多个功函数金属层,在一个或多个功函数金属层上形成填充金属层,并执行化学机械平坦化制程以移除介电层132上的填充金属层、一个或多个功函数金属层和栅极介电层的过量材料。所得到的每个替换栅极结构134都包括栅极介电层136和被栅极介电层136环绕的金属结构138(例如,一个或多个功函数金属和填充金属的组合)。
在部分实施方式中,栅极介电层136例如包括高介电常数介电材料(例如,金属氧化物、金属氮化物、金属硅酸盐、过渡金属氧化物、过渡金属氮化物、过渡金属硅酸盐、金属的氮氧化物、金属铝酸盐、硅酸锆、铝酸锆或以上的组合)。在部分实施方式中,栅极介电层136包括氧化铪(HfO2)、氧化铪硅(HfSiO)、氮氧化铪硅(HfSiON)、氧化铪钽(HfTaO)、氧化铪钛(HfTiO)、氧化铪锆(HfZrO)、氧化镧(LaO)、氧化锆(ZrO)、氧化钛(TiO)、氧化钽(Ta2O5)、氧化钇(Y2O3)、钛酸锶(SrTiO3、STO)、钛酸钡(BaTiO3、BTO)、钡氧化锆(BaZrO)、氧化铪镧(HfLaO)、氧化镧硅(LaSiO)、氧化铝硅(AlSiO)、氧化铝(Al2O3)、氮化硅(Si3N4)、氮氧化硅(SiON)及以上的组合。在其他部分实施方式中,栅极介电层136可以具有多层结构,例如一层氧化硅(例如,界面层)和另一层高介电常数材料。在部分实施方式中,栅极介电层136由相同材料制成,因为它们由毯覆式沉积在半导体晶圆W1上的相同介电层形成。
金属结构138的功函数金属用于为替换栅极结构134提供合适的功函数。在部分实施方式中,功函数金属可包括一种或多种用于形成n型鳍式场效应晶体管的适合的n型功函数金属(N金属)。n型功函数金属具有低于中间能隙功函数(mid-gap work function)(约4.5eV)的功函数,其中此中间能隙功函数位于硅的价电带(valance band)和导电带(conduction band)中间。n型功函数金属可示例性地包括但不限于钛铝(TiAl)、氮化钛铝(TiAlN)、碳氮化钽(TaCN)、铪(Hf)、锆(Zr)、钛(Ti)、钽(Ta)、铝(Al)、金属碳化物(例如,碳化铪(HfC)、碳化锆(ZrC)、碳化钛(TiC)、碳化铝(AlC))、铝化物及/或其他合适的材料。在其他部分实施方式中,功函数金属可包括一种或多种适合用于形成p型鳍式场效应晶体管的p型功函数金属(P金属)。p型功函数金属具有高于中间能隙功函数的功函数,其中此中间能隙功函数位于硅的价电带和导电带中间。p型功函数金属可示例性地包括但不限于氮化钛(TiN)、氮化钨(WN)、钨(W)、钌(Ru)、钯(Pd)、铂(Pt)、钴(Co)、镍(Ni)、导电金属氧化物及/或其他合适的材料。
金属结构138的填充金属被功函数金属环绕,并且可示例性地包括但不限于钨、铝、铜、镍、钴、钛、钽、氮化钛、氮化钽、硅化镍、硅化钴、碳化钽(TaC)、氮硅化钽(TaSiN)、碳氮化钽(TaCN)、钛铝(TiAl)、氮化钛铝(TiAlN)或其他合适的材料。
图13绘示根据本揭露的部分实施方式中形成半导体元件的方法。图14A至图22C绘示根据本揭露的部分实施方式中,根据图13的方法的各个阶段的制程。在各种视图和示例性实施方式中,相同的元件符号用于表示相同的元件。在图14A至图22C中,“A”图(例如,图14A、15A等)绘示立体图,“B”图(例如,图14B、图15B等)绘示对应于“A”图沿着Y方向的剖线B-B的剖面图,并且“C”图(例如,图14C、图15C等)绘示对应于“A”图沿着X方向的剖线C-C的剖面图。应该理解,可以在图14A至图22C所示的过程之前、期间和之后提供附加步骤,并且可以替换或消除下面描述的一些步骤以作为此方法的其他实施方式。步骤/过程的顺序可以是可互换的。
如图14A至图14C所示,半导体晶圆W2在许多方面基本上类似于半导体晶圆W1,并且包括基板202、半导体鳍片204、隔离区域205、虚设栅极结构206、第一遮罩层212和第二遮罩层214、每个基本上皆如上面关于基板102、半导体鳍片104、隔离绝缘层105、虚设栅极结构106、第一遮罩层112和第二遮罩层114所述。在步骤S102中,形成间隔层216作为覆盖虚设栅极结构206和半导体鳍片204的毯覆层。如上所述,间隔层216可以与间隔层116实质上相同。
接下来,在图1的步骤S202中,图案化间隔层216以形成栅极间隔物218,如图15A至图15C所示。对间隔层216进行的图案化可以是非等向性蚀刻,其移除间隔层216的水平部分,同时保留位于虚设栅极结构206的侧壁上的垂直部分以形成栅极间隔物218。在部分实施方式中,半导体鳍片204的侧壁204s没有被剩余的间隔层216覆盖。在其他部分实施方式中,间隔层216的垂直部分可以保留在半导体鳍片204的侧壁204s的底部上,如图4A至图4C所示。
由于半导体鳍片204的活性,氧化物层222可以自然地形成在半导体鳍片204的暴露的顶面204t和侧壁204s上,如图16A至图16C中所示。自然生成的氧化物层222是非晶的,这可能阻碍晶体源极/漏极层的形成。因此,在图13的步骤S203中,移除半导体鳍片204上的氧化物层222,如图17A至图17C所示。氧化物层222的移除有利于随后在晶体半导体鳍片204上形成结晶源极/漏极层。可以使用与移除氧化物层122的蚀刻制程(如先前关于图6A至图6C所讨论的)实质上相同的合适的蚀刻制程来移除氧化物层222。
在部分实施方式中,在炉管(例如,如图12所示的炉管300)中进行氧化物层222的移除,此炉管在随后的步骤中用于在半导体鳍片204上形成源极/漏极区域。以这种方式,原位执行氧化物层222的移除和随后的源极/漏极区域的形成。这里的术语“原位”是指在移除氧化物层222的炉管300中形成源极/漏极区域而不破坏真空。此外,炉管300提供了提高产量的益处,如前所述,因为其可以实质上同时处理多个半导体晶圆W2。
之后,在图13的步骤S204中,形成非晶硅层(在此上下文中也称为含非晶硅层)224作为毯覆层,以共形地覆盖图17A至图17C中所示的结构。所得到的结构如图18A至图18C所示。非晶硅层的形成包括例如化学气相沉积、原子层沉积、类似制程或其他合适的制程。以这样的方式控制制程条件,使得在暴露的半导体鳍片204上形成非晶硅而不是结晶硅。例如,非晶硅层224在低于硅层124的形成温度的温度下形成,如前述关于图7A至图7C所讨论的。此外,控制制程条件以产生共形的非晶硅层224,因此半导体鳍片204的侧壁204s上的非晶硅层224的垂直部分的厚度T5接近于位于半导体鳍片204顶部上的非晶硅层224的水平部分的厚度T6。例如,厚度T5和厚度T6的差值可小于厚度T6的约20%。
在部分实施方式中,非晶硅层224可以透过使用具有含硅气体(例如甲硅烷(SiH4)、乙硅烷(Si2H6)、丙硅烷(Si3H8)、类似物或以上的组合)的炉管(例如,如图12所示的炉管300)形成。在一些实施方式中,含硅气体的流速为约1000标准立方厘米/分钟(sccm)至约2000sccm。例如,形成非晶硅层224的温度在约摄氏350度至约摄氏600度的范围内。形成非晶硅层224的压力范围例如为约400毫托至约1托。在炉管中执行的沉积制程的制程条件可以导致共形的非晶硅层224。换句话说,如果制程条件超出所选范围,则所得的非晶硅层224可能不会与半导体鳍共形。在其中含硅前驱物气体是甲硅烷(SiH4)的一些实施方式中,甲硅烷(SiH4)可以以约1000sccm至约2000sccm的范围内的流速和约摄氏500度至约摄氏550度的温度提供。在一些实施方式中,其中含硅前驱物气体是乙硅烷(Si2H6),乙硅烷(Si2H6)可以以约200sccm至约600sccm的流速和约摄氏380度至约摄氏400度的温度范围内提供。如果制程条件超出上述选定范围,则非晶硅层224可能不会与半导体鳍片204共形。
将非晶硅层224掺杂,例如原位掺杂。这里的术语“原位”是指在不会破坏真空的情况下将非晶硅层224的前驱物气体和掺杂物气体引入相同的制程腔体(例如,如图12所示的炉管300)。掺杂物质可以包括p型掺杂物,例如来自含硼气体(例如三氟化硼(BF3)、乙硼烷(B2H6)、三氯化硼(BCl3))的硼;n型掺杂物,例如来自含磷气体(例如磷化氢(PH3)、三氟化磷(PF3)、五氟化磷(PF5))的磷;及/或其他合适的掺杂物(例如氟化锗(GeF3))。因此,所得的非晶硅层224可以是掺磷非晶硅、掺硼非晶硅、掺硼非晶硅锗等。
此后,在步骤S205中,与半导体鳍片204接触的部分的非晶硅层224结晶成结晶硅层226,如图19A至图19C所示。在部分实施方式中,透过退火制程执行非晶硅的结晶,例如熔化激光退火(melting laser anneal,MLA)制程。熔化激光退火制程可以达到足够高的温度以熔化非晶硅层224,使得半导体鳍片204上熔化的非晶硅将结晶成结晶硅。控制激光功率和退火持续时间以在期望区域(例如,在非晶硅层224中而不是在半导体鳍片204中)实现大于约摄氏1400度的硅熔化温度。换句话说,控制激光功率和退火持续时间,使得非晶硅层224熔化,而主要的半导体鳍片204保持未熔化。然后,透过使用半导体鳍片204(例如,单晶硅)作为种子层,使非晶硅层224的熔化部分经历液相磊晶再生长以形成结晶硅层226。与非晶材料接触的其他部分的非晶硅层224(例如,隔离绝缘层105、栅极间隔物218和第二遮罩层214)未结晶,因此保持非晶硅。
在非晶硅层224掺杂有p型掺杂物的部分实施方式中,由非晶硅层224的结晶产生的结晶硅层226包括p型掺杂物,并且因此可以用作p型鳍式场效应晶体管的源极/漏极层或区域。相反地,如果非晶硅层224掺杂有n型掺杂物,则由非晶硅层224的结晶产生的结晶硅层226包括n型掺杂物,因此可以用作n型鳍式场效应晶体管的源极/漏极层或区域。此外,因为结晶硅层226是由与半导体鳍片204共形的非晶硅层224的结晶形成,所以结晶硅层226也与相应的半导体鳍片204共形。因此,半导体鳍片204侧壁204s上的结晶硅层226的垂直部分的厚度T7接近位于半导体鳍片204顶上的结晶硅层226的水平部分的厚度T8。例如,厚度T7和厚度T8可以具有小于厚度T8的约20%的差异。
如果源极/漏极区域形成为类钻石结构,则当它们在紧密排列的半导体鳍片上磊晶生长时,类钻石结构将不可避免地合并在一起。然而,本揭露的实施方式形成与半导体鳍片共形的源极/漏极区域,而不是类钻石结构。因此,可以防止不需要的合并的源极/漏极区域。
在步骤S206中,在半导体鳍片104上移除非晶硅层224,而保留结晶硅层226。所得到的结构在图20A至图20C中示出。在部分实施方式中,移除非晶硅层224包括选择性蚀刻制程,其以比蚀刻单晶硅更快的速率蚀刻非晶硅。换句话说,结晶硅层226对蚀刻制程中使用的蚀刻剂的抗蚀刻能力高于非晶硅层224的抗蚀刻能力。如此,选择性蚀刻制程可以在半导体鳍片204上移除非晶硅层224而保留结晶硅层226。选择性蚀刻制程可以是干式蚀刻、湿式蚀刻或以上的组合。
在步骤S207中,在结晶硅层226上方形成介电层232,如图21A至图21C所示。在部分实施方式中,在结晶硅层226、第二遮罩层214、栅极间隔物218上方形成介电层232,接着透过使用例如,化学机械平坦化制程移除介电层232的过量材料以暴露虚设栅极结构206。化学机械平坦化制程可以使介电层232的顶面与虚设栅极结构206和栅极间隔物218的顶面平坦化。介电层232与介电层132(如先前关于图10A至图10C所讨论的)实质上相同。例如,介电层232包括接触蚀刻停止层和位于触蚀刻停止层上的层间介电质,其中层间介电质具有与触蚀刻停止层不同的蚀刻选择性。
如图21B所示,介电层232和结晶硅层226形成与半导体鳍片204共形的界面233,因为结晶硅层226是具有实质上均匀厚度的共形层。换句话说,结晶硅层226的外部轮廓与结晶硅层226的内部轮廓实质上相同。具体地,介电层232和结晶硅层226之间的界面233具有实质上垂直的部分233v和连接实质上垂直的部分233v的实质上水平的部分233h。实质上水平的部分233h与半导体鳍片204的顶面204t平行,并且实质上垂直的部分233v与半导体鳍片204的侧壁204s平行。因此,介电层232和结晶硅层226之间的界面233实质上与半导体鳍片204的顶面204t和侧壁204s的组合的轮廓共形。
在步骤S208中,移除虚设栅极结构208并用替换栅极结构234替换,如图22A至图22C中所示。替换栅极结构234与替换栅极结构134实质上相同,并且可以使用形成替换栅极结构134的方法(如先前关于图11A至图11C所讨论的)形成。例如,所得到的每个替换栅极结构234包括栅极介电层236和金属结构238,其实质上分别与栅极介电层136和金属结构138(参照前述图11A至第11C所讨论的)相同。
基于以上讨论,可以看出本揭露显现了许多益处。然而,应该理解,其他实施方式可以提供额外的益处,并且并非所有益处都必须在此揭露,并且并非所有实施方式都需要特定的益处。其中一个益处是,由于源极/漏极区域一致性的改善,因此可以防止不希望的源极/漏极区域的合并。另一个益处是可以使产量增加并因此降低制造成本,因为可以在同一个炉管中实质上同时处理多个晶片以形成源极/漏极区域。另一个益处是可以实现源极/漏极面积的增加并因此改善元件性能(例如,降低的源极/漏极接触电阻),因为由化学氧化物移除制程产生的干净的鳍片表面实质上完全被掺杂的结晶硅层覆盖。另一个益处是可以改善由非晶硅层结晶产生的结晶硅层的掺杂物浓度。另一个益处是金属栅极结构是在炉管制程之后制造的,因此鳍式场效应晶体管的临界电压不会因炉管制程而降低。
在部分实施方式中,一种半导体元件的制造方法包括以下步骤。在横跨半导体鳍片的第一部分上形成虚设栅极结构。在横跨半导体鳍片的第二部分上形成掺杂的半导体层。在横跨掺杂的半导体层上形成介电层。介电层和掺杂的半导体层之间的界面基本上与半导体鳍片的顶面和侧壁的组合的轮廓共形。使用金属栅极结构替换虚设栅极结构。
在部分实施方式中,形成掺杂的半导体层包含形成含硅层,含硅层具有与半导体鳍片接触的结晶部分和与半导体鳍片分离的非晶部分;以及移除含硅层的非晶部分。
在部分实施方式中,移除含硅层的非晶部分包含使非晶部分结晶成多晶层;以及移除多晶层,其中在移除多晶层之后,含硅层的结晶部分保持与半导体鳍片接触。
在部分实施方式中,形成掺杂的半导体层还包含在移除含硅层的非晶部分之前,用n型掺杂物或p型掺杂物掺杂含硅层。
在部分实施方式中,在炉管中形成含硅层。
在部分实施方式中,半导体元件的制造方法还包含在形成含硅层之前从半导体鳍片移除氧化物层。
在部分实施方式中,在相同的制程腔体中形成含硅层和移除氧化物层。
在部分实施方式中,形成掺杂的半导体层包含形成含非晶硅层以环绕半导体鳍片;以及结晶含非晶硅层。
在部分实施方式中,结晶含非晶硅层,使得与半导体鳍片接触的含非晶硅层的第一部分结晶,并且在含非晶硅层的第一部分结晶之后,与半导体鳍片分离的含非晶硅层的第二部分保持非晶态。
在部分实施方式中,一种半导体元件的制造方法还包含在含非晶硅层的第一部分结晶之后,移除含非晶硅层的第二部分。
在部分实施方式中,一种半导体元件的制造方法还包含:在形成含非晶硅层之前,从半导体鳍片移除氧化物层。
在部分实施方式中,在相同的制程腔体中形成含非晶硅层和移除氧化物层。
在部分实施方式中,一种半导体元件的制造方法还包含在形成掺杂的半导体层之前,在半导体鳍片的侧壁上形成鳍片间隔物,其中形成掺杂的半导体层使得掺杂的半导体层的底端与鳍片间隔物的顶端接触。
在部分实施方式中,一种半导体元件的制造方法包括以下步骤。在基板上形成半导体鳍片。在横跨半导体鳍片上形成虚设栅极结构。在基板上形成硅层。硅层包括横跨半导体鳍片的结晶硅部分和横跨虚设栅极结构的非晶硅部分。移除虚设栅极结构的非晶硅部分。使用金属栅极结构替换虚设栅极结构。
在部分实施方式中,一种半导体元件的制造方法还包含从半导体鳍片移除氧化物层,其中在相同的炉管中移除氧化物层和形成含硅层。
在部分实施方式中,移除非晶部分包含退火非晶部分,以形成多晶层;以及移除多晶层。
在部分实施方式中,形成含硅层包含形成含非晶硅层横跨于半导体鳍片和虚设栅极结构上;以及对含非晶硅层进行熔化激光退火制程。
在部分实施方式中,半导体元件包括基板、半导体鳍片、栅极结构、掺杂硅层和介电层。半导体鳍片位于基板上方。栅极结构横跨半导体鳍片的第一部分。掺杂的硅层横跨半导体鳍片的第二部分。掺杂的硅层的外部轮廓与掺杂的硅层的内部轮廓实质上相同。
在部分实施方式中,其中掺杂的含硅层与半导体鳍片接触。
在部分实施方式中,半导体元件还包含鳍片间隔物,位于掺杂的含硅层下面。
以上概述了若干实施方式的特征,使得本领域技术人员可以更好地理解本揭露的各方面。本领域技术人员应当理解,他们可以容易地使用本揭露作为设计或修改其他过程和结构的基础,以实现相同的目的及/或实现本文介绍的实施方式的相同益处。本领域技术人员还应该理解,这样的等同构造不脱离本揭露的精神和范围,并且在不脱离本揭露的精神和范围的情况下,它们可以在本文中进行各种改变、替换和变更。

Claims (1)

1.一种半导体元件的制造方法,其特征在于,包含:
形成一虚设栅极结构横跨于一半导体鳍片的一第一部分上;
形成一掺杂的半导体层横跨于该半导体鳍片的一第二部分上;
形成一介电层横跨于该掺杂的半导体层上,其中该介电层和该掺杂的半导体层之间的一界面实质上与该半导体鳍片的一顶面和复数侧壁的一组合的一轮廓共形;以及
使用一金属栅极结构替换该虚设栅极结构。
CN201910354383.5A 2018-07-30 2019-04-29 半导体元件的制造方法 Pending CN110783194A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862711636P 2018-07-30 2018-07-30
US62/711,636 2018-07-30
US16/191,244 US10707333B2 (en) 2018-07-30 2018-11-14 Semiconductor device and manufacturing method thereof
US16/191,244 2018-11-14

Publications (1)

Publication Number Publication Date
CN110783194A true CN110783194A (zh) 2020-02-11

Family

ID=69178270

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910354383.5A Pending CN110783194A (zh) 2018-07-30 2019-04-29 半导体元件的制造方法

Country Status (3)

Country Link
US (4) US10707333B2 (zh)
CN (1) CN110783194A (zh)
TW (1) TW202008432A (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11482524B2 (en) * 2020-03-26 2022-10-25 Intel Corporation Gate spacing in integrated circuit structures
CN111415907B (zh) * 2020-03-31 2023-04-07 上海华力集成电路制造有限公司 非晶硅结构的制造方法
US11791155B2 (en) * 2020-08-27 2023-10-17 Applied Materials, Inc. Diffusion barriers for germanium

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US9166022B2 (en) * 2010-10-18 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9087724B2 (en) * 2013-03-21 2015-07-21 International Business Machines Corporation Method and structure for finFET CMOS
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9312173B2 (en) * 2014-05-19 2016-04-12 International Business Machines Corporation Self-limiting silicide in highly scaled fin technology
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9418897B1 (en) 2015-06-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap around silicide for FinFETs
EP3311399A4 (en) * 2015-06-22 2019-02-27 Intel Corporation DOUBLE HEIGHT GLASS FOR DOPING FINFET
US9450094B1 (en) * 2015-09-08 2016-09-20 United Microelectronics Corp. Semiconductor process and fin-shaped field effect transistor
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9960273B2 (en) * 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9755019B1 (en) * 2016-03-03 2017-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10217846B1 (en) * 2018-01-17 2019-02-26 Globalfoundries Inc. Vertical field effect transistor formation with critical dimension control

Also Published As

Publication number Publication date
US20200035811A1 (en) 2020-01-30
TW202008432A (zh) 2020-02-16
US20200335608A1 (en) 2020-10-22
US20210288166A1 (en) 2021-09-16
US10707333B2 (en) 2020-07-07
US11024723B2 (en) 2021-06-01
US20230327004A1 (en) 2023-10-12
US11705507B2 (en) 2023-07-18

Similar Documents

Publication Publication Date Title
US9484348B2 (en) Structure and method to increase contact area in unmerged EPI integration for CMOS FinFETs
US9318582B2 (en) Method of preventing epitaxy creeping under the spacer
US10340363B2 (en) Fabrication of vertical field effect transistors with self-aligned bottom insulating spacers
CN108231893B (zh) 半导体装置及其制造方法
US10790393B2 (en) Utilizing multilayer gate spacer to reduce erosion of semiconductor Fin during spacer patterning
US20190165118A1 (en) Non-planar field effect transistor devices with wrap-around source/drain contacts
US10361199B2 (en) Vertical transistor transmission gate with adjacent NFET and PFET
US11705507B2 (en) Semiconductor device and forming method thereof
KR101786213B1 (ko) 반도체 디바이스 및 그 제조 방법
TW202013741A (zh) 半導體裝置
US11929409B2 (en) Semiconductor device with improved source and drain contact area and methods of fabrication thereof
EP3561878A1 (en) Method to remove iii-v materials in high aspect ratio structures
KR102571375B1 (ko) 반도체 디바이스를 제조하는 방법 및 반도체 디바이스
US20240055300A1 (en) Method for manufacturing semiconductor device
US11855186B2 (en) Semiconductor device and manufacturing method thereof
US20240030312A1 (en) Method for manufacturing semiconductor device
US11901442B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
US11942479B2 (en) Semiconductor device and manufacturing method thereof
US10770568B2 (en) Method to remove III-V materials in high aspect ratio structures
US20230343583A1 (en) Methods of forming semiconductor device structure
CN115528088A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20200211