CN110658676A - 极紫外光微影光罩及其制造方法 - Google Patents

极紫外光微影光罩及其制造方法 Download PDF

Info

Publication number
CN110658676A
CN110658676A CN201910572799.4A CN201910572799A CN110658676A CN 110658676 A CN110658676 A CN 110658676A CN 201910572799 A CN201910572799 A CN 201910572799A CN 110658676 A CN110658676 A CN 110658676A
Authority
CN
China
Prior art keywords
layer
extreme ultraviolet
layer stack
stack
euv
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910572799.4A
Other languages
English (en)
Other versions
CN110658676B (zh
Inventor
许倍诚
温啟平
王子奕
连大成
李信昌
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/441,700 external-priority patent/US11249384B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110658676A publication Critical patent/CN110658676A/zh
Application granted granted Critical
Publication of CN110658676B publication Critical patent/CN110658676B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一种极紫外光(EUV)微影光罩的制造方法包含,形成影像图案于极紫外光光罩基底的吸收层中。极紫外光光罩基底包含:多层堆叠包含数个交替钼(Mo)与硅(Si)层设于光罩基板的第一表面的上方,覆盖层设于该多层堆叠的上方,以及吸收层设于覆盖层的上方。边界区围绕影像图案且具有沟渠,其中蚀刻吸收层、覆盖层、与多层堆叠的至少一部分。数个凹侧壁形成于边界区中、或相互扩散部形成在沟渠的多层堆叠中。

Description

极紫外光微影光罩及其制造方法
技术领域
本揭露实施方式是有关于极紫外光微影的光罩及其制造方法。
背景技术
极紫外光微影对于10nm节点与之后的半导体元件制造是最有前景的技术。在极紫外光微影中,极紫外光光罩为关键元件之一。对于极紫外光光罩,应优化多个光罩参数,以实现在极紫外光微影中形成精确且高解析度的图案。这样的参数包含但不限于,吸收体的高度、阴影修正(shadowing correction)所需的最佳光学进阶效应修正(OPC)、像场(imagefield)中增加的反射性、以及最佳影像边界。
极紫外光光罩具有围绕电路图案区的黑边界(black border)区,其上方设有极紫外光微影机台的遮罩挡板。黑边界是围绕光罩上的晶粒的无图案的黑暗区,黑暗区作为光罩被倍缩光罩遮罩挡板(reticle masking blade,REMA blade)挡住曝光光线的部分与晶粒之间的过渡区域。在极紫外光扫描机上的密集间距处印刷一晶粒时,来自影像边界的极紫外光光线反射与相邻晶粒的边缘重叠。此反射光亦含有许多不需要的波长,已知为频外(out-of-band,OOB)光。频外光对于欲形成在基板上的图案的准确度造成负面影响,特别是围绕基板上的图案的周围的区域。此外,因为残留吸收体的反射性与倍缩光罩遮罩挡板的不稳定性,在相邻晶粒的曝光期间会发生极紫外光辐射的泄漏,导致晶粒边缘周围的过度曝光。为了减轻此效应,将黑边界区设置在相邻晶粒之间。黑边界区可解决相邻晶粒曝光所造成的关键尺寸的不均匀性。
发明内容
本揭露的一实施方式为一种极紫外光(EUV)微影光罩的制造方法。此方法包含形成影像图案于极紫外光光罩基底的吸收层中,其中极紫外光光罩基底包含多层堆叠,多层堆叠包含在光罩基板的第一表面上方的交替钼(Mo)与硅(Si)层。覆盖层设于多层堆叠的上方。吸收层设于覆盖层的上方。形成围绕影像图案且具有沟渠的边界区,其中蚀刻吸收层、覆盖层、与多层堆叠的至少一部分。随后,形成凹侧壁于边界区中。
本揭露的另一实施方式为一种极紫外光(EUV)微影光罩的制造方法。此方法包含形成影像图案于极紫外光光罩基底的吸收层中,极紫外光光罩基底包含多层堆叠,此多层堆叠包含在光罩基板的第一表面上方的交替钼(Mo)与硅(Si)层。覆盖层设于多层堆叠的上方。形成设于覆盖层的上方的吸收层。形成围绕影像图案且具有沟渠的边界区,其中蚀刻吸收层、覆盖层、与多层堆叠的至少一部分。随后,形成相互扩散部于沟渠的多层堆叠的上方。
本揭露的一实施方式为一种极紫外光微影的光罩,此光罩包含多层堆叠,多层堆叠包含在光罩基板的第一表面上方的交替钼(Mo)与硅(Si)层。极紫外光光罩亦包含覆盖层设于多层堆叠的上方。极紫外光光罩还包含吸收层,吸收层具有影像图案形成于其中且设于覆盖层的上方。极紫外光光罩包含围绕影像图案且具有沟渠的边界区,其中蚀刻吸收层、覆盖层、与多层堆叠的至少一部分。极紫外光光罩亦包含具有凹侧壁的边界区。
附图说明
从以下结合所附附图所做的详细描述,可对本揭露有更佳的了解。需强调的是,根据业界的标准实务,各特征并未依比例绘示,且仅作为例示的目的。事实上,为了使讨论更为清楚,各特征的尺寸都可任意地增加或减少。
图1是绘示一种形成在极紫外光光罩中的像场周围的黑边界的示意图;
图2是绘示黑边界区在缩减邻近晶粒的不需要的曝光上的效果的示意图;
图3是绘示依照本揭露的一些实施方式的一种用以制造具有黑边界区的极紫外光光罩的极紫外光光罩基底(mask blank)的剖面示意图;
图4A、图4B、图4C、图4D、图4E、与图4F是绘示依照本揭露的一些实施方式的制造一种具黑边界的极紫外光光罩的制程期间的各个阶段的剖面示意图;
图5A与图5B是绘示依照本揭露的一些实施方式形成的一种黑边界区的凹侧壁(concave sidewall)的剖面示意图;
图6A是绘示依照本揭露的一些实施方式的一种具黑边界区的极紫外光光罩的一部分的示意图;
图6B是绘示依照本揭露的一些实施方式的一种具黑边界区的极紫外光光罩的一部分;
图6C是绘示依照本揭露的一些实施方式的一种具黑边界区的极紫外光光罩的一部分;
图7A、图7B、图7C、图7D、图7E、图7F、与图7G是绘示依照本揭露的一些实施方式的制造一种具黑边界的极紫外光光罩的制程期间的各个阶段的剖面示意图;
图8A、图8B、图8C、图8D、图8E、图8F、与图8G是绘示依照本揭露的一些实施方式的制造一种具黑边界的极紫外光光罩的制程期间的各个阶段的剖面示意图;
图9是绘示依照本揭露的一些实施方式形成的一种黑边界区的凹侧壁与相互扩散部(inter-diffused portion)的剖面示意图。
【符号说明】
100 极紫外光光罩
125 像场、图案
150 黑边界区
202 第一光阻层、光阻层
203 图案化的光阻层
204 第一开口
206 第二光阻层
207 图案化的第二光阻层
208 黑边界开口
210 影像图案
220 倍缩光罩遮罩挡板
240 晶粒
250 晶粒
300 极紫外光光罩
305 光罩基底
310 低热膨胀系数材料基板、基板
315 导电背面涂层、导电层
320 多层极紫外光反射堆叠、多层堆叠
322 部分
325 覆盖层
330 吸收层、氧化钽硼/氮化钽硼层
335 抗反射层
340 硬罩幕层、硬罩幕
500 凹侧壁
510 凸出部
520 堆叠方向
530 渐缩轮廓
540 凹陷
600 相互扩散部
605 激光辐射
610 脉冲激光辐射
620 垂直相互扩散墙
640 水平相互扩散墙
D1 厚度
R 辐射
W1 宽度
W2 宽度
W3 宽度
θ 入射角
具体实施方式
以下的揭露提供了许多不同实施方式或例子,以实施所提供的标的的不同特征。以下描述的构件与安排的特定例子是用以简化本揭露。当然,这些仅为例子,并非用以作为限制。举例而言,于描述中,第一特征形成于第二特征的上方或之上,可能包含第一特征与第二特征以直接接触的方式形成的实施方式,亦可能包含额外特征可能形成在第一特征与第二特征之间的实施方式,如此第一特征与第二特征可能不会直接接触。此外,本揭露可能会在各例子中重复参考数字及/或文字。这样的重复是基于简化与清楚的目的,以其本身而言并非用以指定所讨论的各实施方式及/或配置之间的关系。
再者,在此可能会使用空间相对用语,例如“在下(beneath)”、“下方(below)”、“较低(lower)”、“上方(above)”、“较高(upper)”与类似用语,以方便说明来描述如附图所绘示的一构件或一特征与另一(另一些)构件或特征之间的关系。除了在图中所绘示的方向外,这些空间相对用词意欲含括元件在使用或操作中的不同方位。设备/元件可能以不同方式定位(旋转90度或在其他方位上),因此可利用同样的方式来解释在此所使用的空间相对描述符号。此外,用语“由…制成(made of)”可意指“包含(comprising)”或“由…组成(consisting of)”。在本揭露中,“A、B、和C中的一者”的用语是表示“A、B、及/或C”(A,B,C,A及B,A及C,B及C,或A、B及C),而非表示来自A的一个元件、来自B的一个元件、和来自C的一个元件,除非另有说明。
在极紫外光微影中,由电浆射出的极紫外光光线为集光镜(collector mirror)所反射,并导向图案化的极紫外光光罩,再将极紫外光光罩反射至目标基板。极紫外光反射光罩包含基板、极紫外光反射多层(ML)结构、以及极紫外光吸收层(“吸收体(absorber)”)。通过蚀刻吸收层的数个部分,以暴露出在那些部分中的下方多层结构而形成所需图案的方式,来图案化极紫外光吸收层。极紫外光辐射从暴露出的多层结构反射至涂覆有极紫外光光阻的目标基板上。极紫外光吸收层的未被蚀刻而留下的部分吸收极紫外光辐射,如此不会将极紫外光辐射反射至目标基板上,因而将所需图案形成在目标基板上。
极紫外光吸收层的厚度、多层结构中的每一层的厚度、上述层的表面粗糙度、以及全部层的材料性质的均匀性决定照射目标基板的极紫外光辐射的品质。在工业实务中,离轴光照(off-axis illumination)或其他因素可在目标基板上造成阴影效应(shadoweffect),极紫外光吸收层的厚度的变化可影响极紫外光吸收层与多层结构的组合的正常运作。
图1是绘示一种形成在极紫外光光罩中的像场周围的黑边界的示意图。黑边界区150形成在极紫外光光罩100的所需图案(即,像场125)的边缘处。黑边界区150抑制邻近晶粒中的图案的边缘的过度曝光。黑边界区150为非反射区,其形成以防止邻近晶粒因残留吸收体反射性、离轴反射阴影效应、频外光等等而曝光。
如图2所示,入射在黑边界区150的辐射R被黑边界区150所吸收,因而防止邻近于现在正被曝光的晶粒250的邻近晶粒240的边缘区的曝光。在一些实施方式中,倍缩光罩遮罩挡板220阻挡入射辐射R的一部分进入围绕图案125的黑边界区。倍缩光罩遮罩挡板220降低宽(即,昂贵)边界区的需求,使整个图案化区的选择部分可被曝光,且选择性地阻挡倍缩光罩对准目标,因此他们未被印刷在晶圆上。
在一些实施中,黑边界区150的制作是利用蚀刻围绕电路图案的区域,以形成具足够深度的非反射开口作为来自那区的任何反射的极紫外光辐射的破坏性干涉。此额外的蚀刻步骤需额外的微影步骤,而增加处理时间,因而增加损伤光罩上的电路图案的可能性。此外,额外的蚀刻步骤亦可能导致微粒残留扩散至电路图案上,而造成不想要的缺陷。因此,这样的黑边界区实施易招致较长的处理时间与较低的良率。
为了改善这些缺点中的某些缺点,在一些实施中,从光罩的背面(即,基板侧而非图案侧)对围绕电路图案的区域激光退火,以特意改变多层结构,因而将多层结构的反射性改变至所需波长。然而,由于在这样的制程中,多层结构ML的反射性改变的发生是因热所引发的物理与化学变化,因此难以准确控制这类变化发生的区域,因而可能损伤影像区。因此,需要制作极紫外光光罩的黑边界的替代作法与方法。
本揭露大体上是有关于极紫外光光罩,且特别是有关于极紫外光光罩的非反射性黑边界区150、以及具非反射性黑边界的极紫外光光罩的制造方法。极紫外光光罩与极紫外光光罩的制造方法提供无实质额外蚀刻步骤的黑边界区的制作,借以避免因例如蚀刻步骤期间的微粒污染而可能损伤影像区的问题。
图3是绘示依照本揭露的一些实施方式的一种用以制造极紫外光光罩300的极紫外光光罩基底的剖面示意图。在一些实施方式中,极紫外光光罩300包含多层极紫外光反射(ML)堆叠320设于低热膨胀系数材料(LTEM)基板310的第一主要表面上方。覆盖层325设于多层堆叠320上,吸收层330设于覆盖层325的上方。
在一些实施方式中,如图3所示,抗反射层335设于吸收层330之上,导电背面涂层315设于低热膨胀系数材料基板310的第二主要表面上,第二主要表面相对于多层堆叠320设于其上的第一主要表面。在一些实施方式中,导电背面涂层315用以通过静电吸盘力来固定光罩以进行微影操作。在一些实施方式中,导电层315由陶瓷成分所制成,陶瓷成分包含氮化铬(CrN)、氧化铬(CrO)、硼化钽(TaB)、氮化钽硼(TaBN)、氧化钽硼(TaBO)、氧化钽(TaO)、氮化钽(TaN)、或任何适合光罩的静电吸盘力的材料。
在一些实施方式中,低热膨胀系数材料基板310由低热膨胀系数玻璃材料或任何其他适合的低热膨胀系数材料所制成,低热膨胀系数玻璃材料包含氧化钛掺杂的二氧化硅,任何其他适合的低热膨胀系数材料例如为石英、硅、碳化硅、及/或其他在此技术领域中已知的可最小化因光罩在极紫外光微影环境中受热所造成的影像失真(distortion)的低热膨胀系数物质。在一些实施方式中,低热膨胀系数材料基板310具有利用原子力显微镜(atomic force microscope,AFM)所量测到的低缺陷程度,例如高纯度单晶基板,以及低表面粗糙度。
多层堆叠320包含沉积在低热膨胀系数材料基板310上方的交替的钼层与硅层。通过对多层结构内的每层采用适当的厚度,多层堆叠320在横跨不同折射率的钼层与硅层之间的界面提供菲涅耳共振(Fresnel resonant)反射。高品质反射倚赖来自不同层反射的光线的相位匹配与强度合计所造成的建设性干涉。这些层的厚度取决于入射光的波长与对极紫外光光罩300的入射角度。对特定入射角度而言,选择多层堆叠320的每一层的厚度,以达到在多层堆叠320的不同界面反射的光的最大建设性干涉。因此,对于高品质的菲涅耳共振反射,需多层堆叠320中的每一层具均匀厚度与低表面粗糙度。在一些实施方式中,多层堆叠320中的每一层的厚度为3nm至7nm。
在本揭露的一些实施方式中,多层堆叠320包含交替的钼层与铍层。在一些实施方式中,多层堆叠320中的层的数量的范围从20至100,虽然只要维持足够的反射性来映像目标基板,任何层数都可允许。在一些实施方式中,针对关注的波长例如为13.5nm,反射性高于约70%。在一些实施方式中,多层堆叠320包含约30至约60个钼与硅(或铍)的交替层。在本揭露的其他实施方式中,多层堆叠320包含约40至约50个钼与硅(或铍)的交替层。
制作多层堆叠320的这些层的方法包含,但不限于物理气相沉积(PVD)制程,例如蒸镀、射频(RF)或直流(DC)溅镀;化学气相沉积(CVD)制程,例如常压、低压、电浆增益(plasma-enhanced)、与高密度电浆化学气相沉积;原子层沉积(ALD);离子束沉积;与液相非真空法,例如溶胶凝胶(sol-gel)法与有机金属分解;及/或任何其他在此技术领域中已知的适合方法。
在一些实施方式中,形成于多层堆叠320的上方的覆盖层325防止多层堆叠320的氧化。在一些实施方式中,覆盖层325由一材料所制成,此材料像是例如硅与钌。在一些实施方式中,覆盖层325具有范围从约2nm至约7nm的厚度。制造覆盖层325的方法包含,但不限制于离子束沉积(IBD);物理气相沉积(PVD)制程,例如蒸镀、射频或直流溅镀;化学气相沉积(CVD)制程,例如常压、低压、电浆增益、与高密度电浆化学气相沉积;原子层沉积(ALD);与液相非真空法,例如溶胶凝胶法与有机金属分解;及/或任何其他在此技术领域中已知的适合方法。
形成于覆盖层325的上方的吸收层330吸收辐射,辐射具有波长落在极紫外光波长范围中,例如13.5nm。在本揭露的一些实施方式中,吸收层330由单一层或多层所构成。在一些实施方式中,吸收层330由包含钽化合物的材料所制成。在一些实施方式中,吸收层330由氮化钽(TaN)或氮化钽硼(TaBN)所制成。在一些实施方式中,用以制作吸收层330的材料亦包含钼、钯、锆、镍、氧化镍、硅化镍、钛、氮化钛、铬、氧化铬、氧化铝、铝铜合金、或其他适合材料。
制作吸收层330的方法包含,但不限于物理气相沉积(PVD)制程,例如蒸镀、射频或直流溅镀;化学气相沉积(CVD)制程,例如常压、低压、电浆增益、与高密度电浆化学气相沉积;原子层沉积(ALD);离子束沉积;与液相非真空法,例如溶胶凝胶(sol-gel)法与有机金属分解;及/或任何其他在此技术领域中已知的适合方法。
在一些实施方式中,设于吸收层330的上方的抗反射层335由一材料所制成,此材料包含二氧化硅、氮化硅(SiN)、氧化钽硼(TaBO)、氧化钽(TaO)、氧化铬(CrO)、氧化铟锡(ITO)、或任何适合材料。抗反射层335降低来自吸收层330的残余反射。在一些实施方式中,抗反射层335由极紫外光吸收材料所制成,此极紫外光吸收材料不同于吸收层330的材料。在其他实施方式中,抗反射层335改变从吸收层330反射的任何极紫外光辐射的相位,借此透过破坏性干涉来降低反射的极紫外光辐射的强度。
制作抗反射层335的方法包含例如物理气相沉积(PVD)制程,例如蒸镀、射频或直流溅镀;化学气相沉积(CVD)制程,例如常压、低压、电浆增益、与高密度电浆化学气相沉积;原子层沉积(ALD);离子束沉积;与液相非真空法,例如溶胶凝胶法与有机金属分解;及/或任何其他在此技术领域中已知的适合方法。
本揭露的一态样为一种极紫外光光罩的制造方法,此极紫外光光罩具有黑边界区围绕影像区。图4A、图4B、图4C、图4D、图4E、与图4F是绘示依照本揭露的一些实施方式的一种极紫外光光罩的制造方法。在一些实施方式中,此极紫外光光罩的制造方法包含,如图4A所示,形成硬罩幕层340与第一光阻层202于光罩基底305上。
在一些实施方式中,抗反射层335用以作为硬罩幕层340。在一些实施方式中,适合形成硬罩幕层340的材料包含,但不限于二氧化硅、氮化硅、旋涂碳、旋涂氧化物、氧化铬(CrO)、氮化铬(CrN)、氮氧化铬(CrON)、氧化钽(TaO)、氮化钽(TaN)、钌、氮化钌(RuN)、钌硼合金(RuB)、硼化钽(TaB)、氮化钽硼(TaBN)、氧化钽硼(TaBO)、及其氮氧化物等等。硬罩幕340的材料不受限,只要与吸收层330的材料不同(且对其具有蚀刻选择比)。在多个实施方式中,硬罩幕层340具有范围从约1nm至约100nm的厚度。制作硬罩幕层340的方法包含,但不限于物理气相沉积(PVD)制程,例如蒸镀、射频或直流溅镀;化学气相沉积(CVD)制程,例如常压、低压、电浆增益、与高密度电浆化学气相沉积;原子层沉积(ALD);离子束沉积;与液相非真空法,例如溶胶凝胶法与有机金属分解;及/或任何其他在此技术领域中已知的适合方法。
第一光阻层202形成于硬罩幕层340的上方。适合第一光阻层202的光阻材料的例子包含,但非限制于电子束光阻,像是例如聚甲基丙烯酸甲酯(PMMA)或其他市售正型或负型电子束光阻;或光阻,像是例如SU8或其他市售正型或负型光阻。在一些实施方式中,利用旋涂技术第一光阻层202涂覆在硬罩幕层340上,接着烘烤(曝光后烘烤)。
如图4A所示,将第一光阻层202暴露于光化辐射与显影剂,以形成影像图案210。在一些实施方式中,光化辐射包含电子束,而在其他实施方式中,光化辐射包含深紫外光(DUV)。在光化辐射包含电子束的实施方式中,第一光阻层由电子束光阻,例如聚甲基丙烯酸甲酯所形成。利用例如直写制程形成影像图案,其中紧聚焦(tightly focused)电子束扫描过第一光阻层的表面,如此仅有对应于影像图案的区域暴露于电子束。接着,显影电子束光阻,以在第一光阻层中形成影像图案。
如图4B所示,利用移除透过影像图案210所暴露出的硬罩幕的部分,将形成在第一光阻层202中的影像图案210延伸至硬罩幕340中。在一些实施方式中,透过利用适合蚀刻剂进行硬罩幕340的干及/或湿蚀刻的方式,移除硬罩幕的暴露部分。在其他实施方式中,利用例如离子磨蚀(ion milling)或其他适合技术移除硬罩幕的暴露部分。在一些实施方式中,一旦影像图案形成于硬罩幕中,移除第一光阻层202。
随后,蚀刻位于硬罩幕340下方的层,包含例如吸收层330,借以形成暴露出吸收层330的数个部分的第一开口204。在一些实施方式中,吸收层330包含氧化钽硼(TaBO)与氮化钽硼(TaBN)双层。如图4C所示,剥除剩余的光阻层202,且后续在移到图4D所示的下一操作前,亦移除硬罩幕340。接着,沉积第二光阻层206于吸收层330上。随后,图案化第二光阻层206,以暴露出吸收层330的数个部分,如图4D所示。
如图4E所示,于第二光阻层206被图案化之后,进行另一蚀刻操作,以移除吸收层330的数个部分。此蚀刻操作亦移除第二光阻层206所未覆盖的覆盖层325与多层堆叠320的部分。因此,黑边界开口208形成于多层堆叠320的上方,而暴露出为黑边界开口208所暴露的多层堆叠320的数个部分,以形成黑边界区150。如图4F所示,随后剥除第二光阻层206。
图5A是绘示依照本揭露的一些实施方式的一种具有凹侧壁500形成的示范极紫外光光罩300。极紫外光光罩300包含以适合材料制造的基板,此适合材料例如为低热膨胀系数材料(LTEM)。在许多例子中,低热膨胀系数材料包含熔融石英、掺杂二氧化钛的二氧化硅、或其他具有低热膨胀系数的材料。极紫外光光罩300包含反射多层(ML)沉积在基板上。多层包含多个薄膜对,例如钼-硅(Mo/Si)薄膜对(例如,在每个薄膜对中,一层钼位于一层硅之上或之下)。极紫外光光罩可还包含覆盖层,例如钌(Ru),设于多层上,以提供保护。极紫外光光罩还包含吸收层,例如氧化钽硼及/或氮化钽硼(TaBO/TaBN)层,沉积于多层的上方。图案化吸收层,以定义积体电路(IC)的一层。
凹侧壁500包含至少一凸出部510,凸出部510实质垂直多层堆叠320的堆叠方向520延伸。凹侧壁500的至少一凸出部510防止直接极紫外光辐射在黑边界区150的多层堆叠320上。凹侧壁500的制作可利用等向性蚀刻多层堆叠320的方式,以在黑边界区150中产生凹陷540。在这一些实施方式中,至少一凸出部510防止对黑边界区150的多层堆叠320的直接极紫外光辐射。此至少一凸出部510可为任何适当形状、尺寸、型式、或结构。在一些实施方式中,此至少一凸出部510可还包含渐缩轮廓(tapered profile)530。在一些实施方式中,此至少一凸出部510的宽度W1的范围从约10nm至约50nm。
如图5B所示,在一些实施方式中,吸收层330的至少一凸出部510的宽度W1的量取决于多层堆叠320的厚度D1与极紫外光的入射角θ。由于极紫外光以某角度(θ≠0)入射在极紫外光光罩上,所以若宽度W1小(≈0),极紫外光可能会被黑边界区150中的多层堆叠320所反射。当宽度W1满足W1≥D1*tanθ时,进入黑边界区150中的极紫外光不会被多层堆叠320所反射。在一些实施方式中,入射角θ的范围从约5度至约10度(0.087弧度至0.174弧度),例如6度。
如图6A所示,至少一凸出部510可包含一材料,此材料选自于由氧化钽硼、氮化钽硼或其组合所组成的族群。在一些实施方式中,介于氧化钽硼/氮化钽硼层330的一端与多层堆叠320的一端的至少一凸出部510的宽度W1大于约29nm。
如图6B所示,通过过蚀来等向性蚀刻吸收层330与多层堆叠320,以产生具有渐缩轮廓530的凸出部510。因此,举例而言,若凸出部510的顶部中的开口的宽度为宽度W2,凸出部510的底部中的开口的宽度为宽度W3,则W3>W2,如图6C所示。换句话说,等向蚀刻所蚀刻吸收层330的底部比暴露在吸收层330的顶部中的多。在一些实施方式中,利用等向蚀刻形成此至少一凸出部510的渐缩轮廓。
此揭露的另一实施方式为一种极紫外光(EUV)微影光罩的制造方法。如图7A至图7G所示,此方法包含形成相互扩散部600,相互扩散部600显现降低的极紫外光反射性,因而防止晶粒边缘处的关键尺寸误差。相互扩散部600在多层堆叠320中具有硅(Si)与钼(Mo)的非周期结构,如此可大幅降低极紫外光辐射的反射。图7A至图7G是绘示依照本揭露的一些实施方式的制造一种具相互扩散部600的极紫外光光罩的制程期间的各个阶段的剖面示意图。可了解的是,对于此方法的另外的实施方式,可在图7A至图7G所示的制程之前、期间、或之后提供额外操作,且可取代或减省以下所描述的操作的一些操作。操作/制程的顺序可能为可交换的。
在操作中,光阻层202沉积于吸收层303上,如图7A所示,且利用所需图案化技术予以图案化,所需图案化技术包含例如电子束微影。接着,如图7B所示,透过图案化的光阻层203进行蚀刻操作,以移除吸收层330的数个部分,借以形成数个第一开口204,这些第一开口204对应于电路图案且暴露出覆盖层325的数个部分。于第一开口204形成后,接着剥除剩余的图案化的光阻层203,如图7C所示。随后,沉积第二光阻层206于吸收层330上,而覆盖吸收层330中的第一开口204。接着,图案化第二光阻层206,如图7D所示,以暴露出吸收层330、覆盖层325、以及部分的多层堆叠320。
于图案化第二光阻层206后,进行另一蚀刻操作,如图7E所示,以移除未被图案化的第二光阻层207覆盖的吸收层330、覆盖层325、以及部分的多层堆叠320。因此,形成黑边界开口208于多层堆叠320的上方,而暴露出在黑边界开口208中的多层堆叠的数个部分322,借以形成黑边界区150。在图7F的操作中,剥除第二光阻层206。
于剥除第二光阻层206后,利用激光辐射605进行处理,以在黑边界区150中形成相互扩散部600,如图7G所示。激光辐射605所产生的热,更特别的是,脉冲激光辐射610造成多层堆叠320中的硅(Si)与钼(Mo)的扩散,借以产生多层堆叠320的硅-钼相互扩散部600。在一些实施方式中,相互扩散部600可包含垂直相互扩散墙620位于多层堆叠320的上方。在一些实施方式中,相互扩散部600亦可包含水平相互扩散墙640。
在图7A至图7G所示的一些实施方式中,利用激光辐射605局部处理多层堆叠320,以形成相互扩散部600,相互扩散部600显示出硅-钼材料的非周期结构,硅-钼材料的非周期结构显现实质较周期性的多层堆叠320少的反射性,借此可提升黑边界效应。
在一些实施方式中,脉冲激光辐射610具有范围从约266nm至约1523nm的波长。在一些实施方式中,激光解析度的范围从约0.1μm至约250μm。在一些实施方式中,激光的定位精度(position accuracy)的范围从约0.01μm至约1μm。在一些实施方式中,激光具有范围从约0.1ns至约2ms的脉冲时间。在一些实施方式中,来自激光的热侧向扩散一段距离,此距离的范围从约0.1μm至约10μm。
图8A至图8G是绘示依照本揭露的一些实施方式的制造一种具黑边界区150的极紫外光光罩的制程期间的各个阶段的剖面示意图。在这样的实施方式中,于利用非等向性蚀刻制程完全蚀刻多层堆叠320以暴露出基板310,而在黑边界区150中形成数个开口208后,进行类似于利用激光辐射605的处理。这类实施方式的激光参数落在与参照图7G所描述的制程中的激光参数相同范围中。
光阻层202沉积于吸收层330上,如图8A所示,且利用所需图案化技术予以图案化,所需图案化技术包含例如电子束微影、光学微影、或其类似技术。接着,如图8B所示,透过图案化的光阻层203进行蚀刻操作,以移除吸收层330的数个部分,借以形成数个第一开口204,这些第一开口204暴露出覆盖层325的数个部分。于第一开口204形成后,接着剥除剩余的图案化的光阻层203,如图8C所示。随后,沉积第二光阻层206于吸收层330上,而覆盖吸收层330中的第一开口204。接着,图案化第二光阻层206,如图8D所示,以暴露出吸收层330、覆盖层325、以及部分的多层堆叠320。
于图案化第二光阻层206后,进行另一蚀刻操作,如图8E所示,以移除未被图案化的第二光阻层207覆盖的吸收层330、覆盖层325、以及部分的多层堆叠320。因此,形成黑边界开口208于多层堆叠320的上方,而暴露出在黑边界开口208中的多层堆叠的数个部分322,借以形成黑边界区150。在图8F的操作中,剥除第二光阻层206。
于剥除第二光阻层206后,进行利用脉冲激光辐射610的处理,以在黑边界区150中形成相互扩散部600,如图8G所示。脉冲激光辐射610所产生的热造成多层堆叠320中的硅(Si)与钼(Mo)的扩散,借以产生多层堆叠320的硅-钼相互扩散部600。在一些实施方式中,相互扩散部600可仅包含垂直相互扩散墙620位于多层堆叠230的上方。
在图8A至图8G所示的实施方式中,利用非等向性蚀刻制程完全蚀刻多层堆叠320,以形成相互扩散部600,相互扩散部600显示出非晶系硅-钼材料的非周期结构,非晶系硅-钼材料的非周期结构显现实质较周期性的多层堆叠320少的反射性,借此可提升黑边界效应。
图9是绘示依照本揭露的一些实施方式形成的一种包含凹侧壁500与相互扩散部600的示范极紫外光光罩。在这样的实施方式中,相互扩散部600设于凹陷540上。在一些实施方式中,相互扩散部600可包含垂直相互扩散墙620位于多层堆叠320的上方。在一些实施方式中,相互扩散部600亦可包含水平相互扩散墙640。在一些实施方式中,相互扩散部600可仅包含垂直相互扩散墙620位于多层堆叠320的上方。
本揭露中所描述的许多态样提供一种具有黑边界区150围绕极紫外光罩图案的极紫外光光罩,其可降低欲曝光于极紫外光辐射的基板上的相邻晶粒的不需要的曝光。可了解的是,并非所有优点都需要在此讨论,所有实施方式及例子都不需要特定的优点,其他实施方式或例子可提供不同的优点。
本揭露的一实施方式为一种极紫外光(EUV)微影光罩的制造方法。此方法包含形成影像图案于极紫外光光罩基底的吸收层中,其中极紫外光光罩基底包含多层堆叠,多层堆叠包含在光罩基板的第一表面上方的交替钼(Mo)与硅(Si)层。覆盖层设于多层堆叠的上方。吸收层设于覆盖层的上方。形成围绕影像图案且具有沟渠的边界区,其中蚀刻吸收层、覆盖层、与多层堆叠的至少一部分。随后,形成凹侧壁于边界区中。在一些实施方式中,凹侧壁包含凸出部。在一些实施方式中,凸出部包含一材料,此材料选自于由氧化钽硼、氮化钽硼、或其结合所组成的一族群。在一些实施方式中,凸出部具有范围从10nm至50nm的宽度。在一些实施方式中,凹侧壁的凸出部具有大于29nm的长度。在一些实施方式中,凹侧壁的制作是利用等向性蚀刻多层堆叠。在一些实施方式中,凸出部具有渐缩轮廓。
本揭露的另一实施方式为一种极紫外光(EUV)微影光罩的制造方法。此方法包含形成影像图案于极紫外光光罩基底的吸收层中,极紫外光光罩基底包含多层堆叠,此多层堆叠包含在光罩基板的第一表面上方的交替钼(Mo)与硅(Si)层。覆盖层设于多层堆叠的上方。形成设于覆盖层的上方的吸收层。形成围绕影像图案且具有沟渠的边界区,其中蚀刻吸收层、覆盖层、与多层堆叠的至少一部分。随后,形成相互扩散部于沟渠的多层堆叠的上方。在一些实施方式中,完全蚀刻边界区中的多层堆叠,以暴露出光罩基板。在一些实施方式中,局部蚀刻多层堆叠,如此多层堆叠的一部分覆盖沟渠中的基板。在一些实施方式中,利用激光退火操作形成相互扩散部。在一些实施方式中,激光具有范围从266nm至1523nm的波长。在一些实施方式中,激光解析度的范围从0.1μm至250μm。在一些实施方式中,激光具有范围从0.1ns至2ms的脉冲时间。在一些实施方式中,来自激光的热侧向扩散一距离,此距离的范围从0.1μm至10μm。
本揭露的一实施方式为一种极紫外光微影的光罩,此光罩包含多层堆叠,多层堆叠包含在光罩基板的第一表面上方的交替钼(Mo)与硅(Si)层。极紫外光光罩亦包含覆盖层设于多层堆叠的上方。极紫外光光罩还包含吸收层,吸收层具有影像图案形成于其中且设于覆盖层的上方。极紫外光光罩包含围绕影像图案且具有沟渠的边界区,其中蚀刻吸收层、覆盖层、与多层堆叠的至少一部分。极紫外光光罩亦包含具有凹侧壁的边界区。在一些实施方式中,凹侧壁包含至少一凸出部,凸出部包含一材料,此材料选自于由氧化钽硼、氮化钽硼、或其结合所组成的一群组。在一些实施方式中,凸出部还包含渐缩轮廓。在一些实施方式中,凹侧壁的凸出部包含范围为10nm至50nm的宽度。在一些实施方式中,极紫外光光罩还包含位于沟渠的多层堆叠的上方的相互扩散部。在一些实施方式中,多层堆叠的一部分设于沟渠中的基板的上方。
上述概述了数个实施方式或例子的特征,因此熟悉此技艺者可更了解本描述的态样。熟悉此技艺者应了解到,其可轻易地利用本揭露做为基础,来设计或润饰其他制程与结构,以实现与在此所介绍的实施方式或例子相同的目的及/或达到相同的优点。熟悉此技艺者也应了解到,这类对等架构并未脱离本揭露的精神和范围,且熟悉此技艺者可在不脱离本揭露的精神和范围下,在此进行各种的更动、取代与修改。

Claims (10)

1.一种极紫外光(EUV)微影光罩的制造方法,其特征在于该方法包含:
形成一影像图案于一极紫外光光罩基底的一吸收层中,
该极紫外光光罩基底包含:
一多层堆叠,该多层堆叠包含多个交替钼(Mo)与硅(Si)层设于一光罩基板的一第一表面的上方;
一覆盖层,设于该多层堆叠的上方;以及
该吸收层,设于该覆盖层的上方;
形成一边界区,围绕该影像图案且具有一沟渠,其中蚀刻该吸收层、该覆盖层、与该多层堆叠的至少一部分;以及
形成多个凹侧壁于该边界区中。
2.根据权利要求1所述的方法,其特征在于,所述多个凹侧壁包含一凸出部。
3.根据权利要求1所述的方法,其特征在于,所述多个凹侧壁的制作是利用等向性蚀刻该多层堆叠。
4.一种极紫外光(EUV)微影光罩的制造方法,其特征在于,该方法包含:
形成一影像图案于一极紫外光光罩基底的一吸收层中,
该极紫外光光罩基底包含:
一多层堆叠,该多层堆叠包含多个交替钼(Mo)与硅(Si)层设于一光罩基板的一第一表面的上方;
一覆盖层,设于该多层堆叠的上方;以及
该吸收层,设于该覆盖层的上方;
形成一边界区围绕该影像图案且具有一沟渠,其中蚀刻该吸收层、该覆盖层、与该多层堆叠的至少一部分;以及
形成一相互扩散部于该沟渠的该多层堆叠的上方。
5.根据权利要求4所述的方法,其特征在于,完全蚀刻该多层堆叠,以暴露出该沟渠中的该光罩基板。
6.根据权利要求4所述的方法,其特征在于,利用一激光的一退火操作形成该相互扩散部。
7.一种极紫外光(EUV)微影的光罩,其特征在于,该光罩包含:
一多层堆叠,该多层堆叠包含多个交替钼(Mo)与硅(Si)层设于一光罩基板的一第一表面的上方;
一覆盖层,设于该多层堆叠的上方;
一吸收层,该吸收层具有一影像图案形成于其中且设于该覆盖层的上方;
一边界区,围绕该影像图案且具有一沟渠,其中蚀刻该吸收层、该覆盖层、与该多层堆叠的至少一部分,
其中该边界区具有多个凹侧壁。
8.根据权利要求7所述的光罩,其特征在于,所述多个凹侧壁包含至少一凸出部,该至少一凸出部包含一材料,该材料选自于由氧化钽硼、氮化钽硼、或其结合所组成的一群组。
9.根据权利要求8所述的光罩,其特征在于,该至少一凸出部具有一渐缩轮廓。
10.根据权利要求7所述的光罩,其特征在于,该光罩还包含一相互扩散部位于该沟渠的该多层堆叠的上方。
CN201910572799.4A 2018-06-29 2019-06-28 极紫外光微影光罩及其制造方法 Active CN110658676B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862692585P 2018-06-29 2018-06-29
US62/692,585 2018-06-29
US16/441,700 US11249384B2 (en) 2018-06-29 2019-06-14 Mask for EUV lithography and method of manufacturing the same
US16/441,700 2019-06-14

Publications (2)

Publication Number Publication Date
CN110658676A true CN110658676A (zh) 2020-01-07
CN110658676B CN110658676B (zh) 2022-10-25

Family

ID=69028708

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910572799.4A Active CN110658676B (zh) 2018-06-29 2019-06-28 极紫外光微影光罩及其制造方法

Country Status (1)

Country Link
CN (1) CN110658676B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113138528A (zh) * 2020-01-17 2021-07-20 台湾积体电路制造股份有限公司 极紫外光罩与其制造方法
CN113589640A (zh) * 2020-04-30 2021-11-02 台湾积体电路制造股份有限公司 极紫外线微影遮罩和其制造方法
CN114153125A (zh) * 2020-09-08 2022-03-08 中芯国际集成电路制造(上海)有限公司 掩膜及有效减小极紫外掩膜黑边界效应的方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040131947A1 (en) * 2003-01-07 2004-07-08 International Business Machines Corporation Reflective mask structure and method of formation
US20090220869A1 (en) * 2008-03-03 2009-09-03 Takai Kosuke Reflection-type mask and method of making the reflection-type mask
TW200944936A (en) * 2008-04-16 2009-11-01 Geomatec Co Ltd Substrate for use in a photomask, a photomask, and its manufacturing method
US20140170536A1 (en) * 2011-08-25 2014-06-19 Toppan Printing Co., Ltd. Reflective mask and method for manufacturing same
CN104820339A (zh) * 2014-01-30 2015-08-05 格罗方德半导体公司 掩膜结构及其制法
TW201812434A (zh) * 2016-07-27 2018-04-01 應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040131947A1 (en) * 2003-01-07 2004-07-08 International Business Machines Corporation Reflective mask structure and method of formation
US20090220869A1 (en) * 2008-03-03 2009-09-03 Takai Kosuke Reflection-type mask and method of making the reflection-type mask
TW200944936A (en) * 2008-04-16 2009-11-01 Geomatec Co Ltd Substrate for use in a photomask, a photomask, and its manufacturing method
US20140170536A1 (en) * 2011-08-25 2014-06-19 Toppan Printing Co., Ltd. Reflective mask and method for manufacturing same
CN104820339A (zh) * 2014-01-30 2015-08-05 格罗方德半导体公司 掩膜结构及其制法
TW201812434A (zh) * 2016-07-27 2018-04-01 應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113138528A (zh) * 2020-01-17 2021-07-20 台湾积体电路制造股份有限公司 极紫外光罩与其制造方法
CN113589640A (zh) * 2020-04-30 2021-11-02 台湾积体电路制造股份有限公司 极紫外线微影遮罩和其制造方法
CN114153125A (zh) * 2020-09-08 2022-03-08 中芯国际集成电路制造(上海)有限公司 掩膜及有效减小极紫外掩膜黑边界效应的方法
CN114153125B (zh) * 2020-09-08 2024-03-26 中芯国际集成电路制造(上海)有限公司 掩膜及有效减小极紫外掩膜黑边界效应的方法

Also Published As

Publication number Publication date
CN110658676B (zh) 2022-10-25

Similar Documents

Publication Publication Date Title
TWI753273B (zh) 極紫外光微影光罩及其製造方法
KR102303158B1 (ko) 포토리소그래피 프로세스용 어시스트 피처
US12001132B2 (en) Protection layer on low thermal expansion material (LTEM) substrate of extreme ultraviolet (EUV) mask
CN110658676B (zh) 极紫外光微影光罩及其制造方法
US11402745B2 (en) Mask for EUV lithography and method of manufacturing the same
US11735421B2 (en) Reflection mode photomask and method of making
CN110967916A (zh) 制造euv光掩模的方法
TWI787966B (zh) 極紫外光遮罩與其形成方法
KR102658585B1 (ko) Euv 포토 마스크 및 그 제조 방법
KR102467277B1 (ko) 극자외선 포토리소그래피를 위한 마스크
US11829062B2 (en) EUV photo masks and manufacturing method thereof
CN112445060A (zh) 针对污染控制进行改进的光掩模及其形成方法
US20240053669A1 (en) Euv photo masks and manufacturing method thereof
TWI760057B (zh) 反射遮罩及其製造方法
US11119398B2 (en) EUV photo masks
US20230314927A1 (en) Euv photo masks and manufacturing method thereof
CN117250822A (zh) Euv光掩模及其制造方法
TW202422207A (zh) 反射光罩及其製造方法
CN118092077A (zh) 在高na euv曝光的拼合区域引入明场成像的方法和系统
KR20200037060A (ko) Euv 포토 마스크들을 제조하는 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant