CN110501879B - 光产生器及使用其制造集成电路装置的方法 - Google Patents

光产生器及使用其制造集成电路装置的方法 Download PDF

Info

Publication number
CN110501879B
CN110501879B CN201811597573.1A CN201811597573A CN110501879B CN 110501879 B CN110501879 B CN 110501879B CN 201811597573 A CN201811597573 A CN 201811597573A CN 110501879 B CN110501879 B CN 110501879B
Authority
CN
China
Prior art keywords
protective film
condenser
shielding
protective
residue
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201811597573.1A
Other languages
English (en)
Other versions
CN110501879A (zh
Inventor
田炳焕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN110501879A publication Critical patent/CN110501879A/zh
Application granted granted Critical
Publication of CN110501879B publication Critical patent/CN110501879B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70175Lamphouse reflector arrangements or collector mirrors, i.e. collecting light from solid angle upstream of the light source
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/02Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diaphragms, collimators
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/10Scattering devices; Absorbing devices; Ionising radiation filters
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/064Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements having a curved surface

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • High Energy & Nuclear Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Optics & Photonics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Environmental & Geological Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

提供了一种包括残余物屏蔽组件的光产生器及使用其制造集成电路装置的方法,所述光产生器包括:室,具有等离子体产生空间;光学元件,位于室中;以及残余物屏蔽组件,在室中位于光学元件与等离子体产生空间之间,残余物屏蔽组件包括保护膜和保护框架,保护膜覆盖光学元件并与光学元件分隔开,保护膜与光学元件之间具有保护空间,保护空间包括光路,保护框架支撑保护膜并且将保护空间与等离子体产生空间屏蔽开。

Description

光产生器及使用其制造集成电路装置的方法
于2018年5月17日在韩国知识产权局提交且发明名称为“包括残余物屏蔽组件的光产生器、包括该光产生器的光刻设备及通过使用该光刻设备制造集成电路装置的方法”的第10-2018-0056689号韩国专利申请通过引用全部包含于此。
技术领域
本公开涉及一种光产生器、一种包括该光产生器的光刻设备以及一种通过使用该设备制造集成电路(IC)装置的方法,更具体地,涉及一种提供极紫外(EUV)光的光产生器、一种包括该光产生器的光刻设备以及一种通过使用该设备制造IC装置的方法。
背景技术
近来,根据半导体装置的高度集成,已经开发了用于形成精细图案的各种光刻技术。特别地,随着半导体装置的集成度增加,光致抗蚀剂图案的关键尺寸(CD)进一步减小。为了形成这种具有精细CD的光致抗蚀剂图案,可以采用EUV光作为光刻设备的光源。为了在光刻设备中产生EUV光,可以在真空室中将激光辐射到靶材上以将靶材转换成等离子体态。
发明内容
根据本公开的一个方面,提供了一种光产生器,所述光产生器包括:室,具有等离子体产生空间;光学元件,布置在室中;以及残余物屏蔽组件,在室中布置在光学元件与等离子体产生空间之间,其中,残余物屏蔽组件包括保护膜和保护框架,所述保护膜覆盖光学元件并与光学元件分隔开,保护膜与光学元件之间具有保护空间,所述保护空间包括光路,所述保护框架被构造为支撑保护膜并且将保护空间与等离子体产生空间屏蔽开。
根据本公开的另一方面,提供了一种光产生器,所述光产生器包括:室,具有等离子体产生空间;聚光器,布置在室中并且具有反射面;以及残余物屏蔽组件,在室中布置在聚光器与等离子体产生空间之间,其中,残余物屏蔽组件包括:保护膜,设置为用位于保护膜与聚光器之间的包括光路的保护空间与聚光器的反射面分隔开,所述保护膜面对反射面;以及保护框架,与聚光器的边缘部分接触并支撑保护膜。
根据本公开的还一方面,提供了一种包括上述光产生器中的至少一种的光刻设备。
根据本公开的又一方面,提供了一种制造集成电路(IC)装置的方法,所述方法包括在基底上形成光致抗蚀剂层。所述光致抗蚀剂层通过使用包括上述光产生器中的至少一种的光刻设备而曝光于光。
附图说明
通过参照附图详细描述示例性实施例,特征对于本领域技术人员将变得明显,在附图中:
图1示出了根据本公开的实施例的光产生器中的主要元件的示意图;
图2A示出了根据实施例的残余物屏蔽组件的示例构造的平面图;
图2B示出了沿着图2A中的线B-B'截取的剖视图;
图2C示出了在图2A和图2B中的残余物屏蔽组件中包括的保护膜的平面图;
图2D示出了在图2A和图2B中的残余物屏蔽组件中包括的外部固定部分的平面图;
图2E示出了在图2A和图2B中的残余物屏蔽组件中包括的第一缓冲膜的平面图;
图2F示出了在图2A和图2B的残余物屏蔽组件与聚光器连接在一起之前的状态;
图2G示出了图2A和图2B的残余物屏蔽组件与聚光器连接在一起的状态;
图3A示出了根据另一实施例的残余物屏蔽组件的平面图;
图3B示出了沿着图3A中的线B-B'截取的剖视图;
图3C示出了在其中图3A和图3B的残余物屏蔽组件与聚光器连接在一起的状态;
图4A示出了根据另一实施例的残余物屏蔽组件的平面图;
图4B示出了沿着图4A中的线B-B'截取的剖视图;
图5A示出了根据另一实施例的残余物屏蔽组件的平面图;
图5B示出了沿着图5A中的线B-B'截取的剖视图;
图5C示出了聚光器与残余物屏蔽组件连接在一起的状态;
图6A示出了根据另一实施例的残余物屏蔽组件的剖视图;
图6B示出了聚光器与残余物屏蔽组件连接在一起的状态;
图6C示出了图6B中的区域“CX1”的放大图;
图7A示出了根据另一实施例的残余物屏蔽组件的剖视图;
图7B示出了聚光器与残余物屏蔽组件连接在一起的状态;
图7C示出了图7B中的区域“CX2”的放大图;
图7D示出了图7A的残余物屏蔽组件的保护框架中的屏蔽部分的放大局部剖视图;
图8示出了根据另一实施例的残余物屏蔽组件的剖视图;
图9示出了根据另一实施例的残余物屏蔽组件的剖视图;
图10示出了根据本公开的实施例的光刻设备的主要元件的示意图;
图11示出了根据本公开的实施例的制造集成电路(IC)装置的方法的流程图;以及
图12示出了根据本公开的实施例的包括通过使用制造IC装置的方法制造的IC装置的存储器系统的框图。
具体实施方式
图1是示出根据本公开的实施例的光产生器100的主要元件的示意图。
参照图1,光产生器100可以是使用激光产生等离子体(LPP)方法产生极紫外(EUV)光的极紫外(EUV)光产生器。光产生器100可以包括:具有等离子体产生空间PS的室110、布置在室110中的光学元件以及将激光束辐射到室110中的激光聚焦系统160。光学元件可以包括聚光器120和光谱纯度滤光器(SPF)130。然而,光学元件不限于此。
室110可以设置有引入窗112,从激光聚焦系统160辐射的激光束LB可以通过引入窗112引入室110中。室110可以通过安装在室110中的抽真空设备140(例如,真空泵)保持在真空状态。
光产生器100可以包括液滴产生器152和捕集器154,所述液滴产生器152将靶材的液滴DL提供到室110中,所述捕集器154收集从液滴产生器152提供的液滴中的不参与等离子体产生反应的液滴。在室110中,液滴DL可以在直线方向上从液滴产生器152朝向捕集器154流动。靶材可包括Sn、Li、Ti、Xe或它们的组合。在一些实施例中,靶材可包括纯锡(Sn)、Sn化合物、Sn合金或它们的组合。Sn化合物可以是例如SnBr4、SnBr2和SnH中的至少一种。Sn合金可以是例如Sn-Ga合金、Sn-In合金和Sn-In-Ga合金中的至少一种。然而,实施例不限于此。
激光聚焦系统160可以将激光束LB辐射到靶材的液滴DL上,从而在等离子体产生空间PS中将靶材的液滴转换成等离子体态。例如,激光聚焦系统160可以将预脉冲激光束辐射到初级靶上,即,靶材的液滴DL上,以产生次级靶,并将主脉冲激光束辐射到次级靶上,从而从靶材的液滴DL产生等离子体。预脉冲激光束可以是从Nd:YAG(钇铝石榴石)激光装置提供的具有约1064nm的波长的光束。主脉冲激光束可以是从CO2激光装置提供的具有约10.6μm的波长的光束。然而,预脉冲激光束和主脉冲激光束的种类不限于上述示例。从激光聚焦系统160产生的激光束LB可以通过引入窗112在室110中被聚焦到液滴DL上。当从液滴DL产生等离子体时,室110可以保持在约1托或更低的相对高的真空条件下。
聚光器120可以具有反射面122,所述反射面122能够从室110中的靶材的液滴DL产生的等离子体所发射的具有各种波长的光中,会聚并且反射具有约1nm至约31nm(例如,约13.5nm)的波长的EUV光LT。例如,如图1中所示,聚光器120可以布置在室110中,使得聚光器120的反射面122可以面对等离子体产生空间PS。反射面122可以是凹面。反射面122可以选择性地反射波长为约13.5nm的EUV光。聚光器120可以包括提供反射面122的多层镜。多层镜可被构造为多个层(例如,Mo层、Si层、SiC层、B4C层、Mo2C层和Si3N4层)交替堆叠在彼此上的堆叠结构。聚光器120可以在聚光器120的基本中心部分中具有开口AP,开口AP贯穿反射面122。反射面122可以是具有凹入地会聚到开口AP的长椭圆形状的弯曲表面。从激光聚焦系统160提供的激光束LB可以通过室110的引入窗112并且通过聚光器120的开口AP辐射到靶材的液滴DL上以在等离子体产生空间PS中产生等离子体。
从室110中产生的等离子体收集的EUV光LT可以通过SPF 130提供到曝光设备,例如,扫描器或步进器。例如,如图1中所示,从室110中产生的等离子体收集的EUV光LT从聚光器120的反射面122朝向SPF 130反射,例如,SPF 130和引入窗112可以位于室110的相对侧壁上。SPF 130可以去除从在室110中产生的等离子体收集的EUV光LT中的波长比EUV光的波长长的不需要的光(即,UV射线、可见射线、红外射线)并且可以仅将期望的EUV光(例如,具有约13.5nm的波长的光)传输到曝光设备。
光产生器100还可以包括在室110中布置在聚光器120与等离子体产生空间PS之间的残余物屏蔽组件170。残余物屏蔽组件170可以包括保护膜172、支撑保护膜172的保护框架174以及用于将保护膜172固定到保护框架174的固定构件176。
详细地,参照图1,保护膜172可以覆盖聚光器120的反射面122,例如,与聚光器120的反射面122叠置。保护膜172可以布置在与聚光器120分隔开的位置处,在保护膜172与聚光器120的反射面122之间具有保护空间180,使得EUV光LT的路径穿过保护空间180。例如,如图1中所示,保护膜172可以与聚光器120的反射面122分隔开预定距离,使得保护空间180可以形成在保护膜172与反射面122之间。例如,如图1中所示,由于反射面122可以是弯曲表面,并且保护膜172可以具有面对反射面122的平坦表面,所以反射面122与保护膜172的面对反射面122的表面之间的距离可以是非恒定的。
保护膜172可以在保护膜172的基本中心部分中具有通孔172LH,例如,通孔172LH可以与聚光器120的开口AP对准。因此,从激光聚焦系统160辐射的激光束LB可以在穿过室110的引入窗112并穿过聚光器120的开口AP之后通过保护膜172的通孔172LH辐射到靶材的液滴DL上。在一些实施例中,保护膜172可以不具有通孔172LH。
保护框架174可以布置成与聚光器120的边缘部分接触,例如,保护框架174可以连接在聚光器120的边缘部分与保护膜172之间。保护框架174可以在保护膜172和聚光器120的边缘部分处将保护空间180与等离子体产生空间PS屏蔽开。例如,如图1中所示,保护框架174可以直接位于聚光器120的边缘部分和保护膜172之间,例如,沿着聚光器120和保护膜172的整个外周,使得除了通孔172LH之外,保护空间180可以与等离子体产生空间PS屏蔽(例如,完全分隔开)。
保护框架174可以具有与聚光器120的边缘部分的平面形状对应的平面形状。例如,聚光器120的边缘部分在面对聚光器120的反射面122的正视图中具有圆环形状,例如,当从SPF 130朝向反射面122观看时,保护框架174可以具有与聚光器120的边缘部分对应(例如,叠置)的圆环形状。例如,保护框架174可以(例如,连续地)沿着聚光器120的(例如,整个)边缘外周延伸。
在一些实施例中,保护膜172可以包括对EUV光LT透明的材料。在一些其它实施例中,保护膜172可以包括对从激光聚焦系统160辐射的激光束LB和EUV光LT透明的材料。例如,保护膜172可以包括对具有约1064nm的波长的激光束、具有约10.6μm的波长的激光束和具有约13.5nm的波长的EUV光透明的材料。在这种情况下,即使当保护膜172不具有通孔172LH时,从激光聚焦系统160辐射的激光束LB也可以透过保护膜172。
在一些实施例中,保护膜172可以包括碳的同分异构体中的至少一种。例如,保护膜172可以包括碳纳米管、金刚石、石墨、石墨烯、富勒烯或它们的组合。
在一些实施例中,保护膜172可以包括包含单壁碳纳米管(SWCNT)、多壁碳纳米管(MWCNT)或它们的组合的碳纳米管膜。
在一些其它的实施例中,保护膜172可以包括金刚石层。在一些实施例中,金刚石层可以是通过使用化学气相沉积(CVD)工艺形成的层。例如,可以在降低的压力下通过在约800℃至约1200℃的温度下用甲烷和氢气的组合在支撑基底上沉积金刚石来获得金刚石层。包含氢气可以在金刚石成核和生长期间防止石墨的生长。然而,根据本公开的实施例,形成可用作保护膜172的金刚石层的工艺不限于上述示例方法。可用作保护膜172的金刚石层可以通过本领域已知的各种方法中的任何一种来获得。
在一些其它实施例中,保护膜172可以包括类金刚石碳(DLC)膜。DLC膜可以包括包含sp3碳键和sp2碳键的无定形碳。DLC膜可以包括比例为约1:1的sp3碳键和sp2碳键。然而,实施例不限于此。
在一些其它实施例中,保护膜172可以包括石墨烯-碳纳米管复合物。石墨烯-碳纳米管复合物可以包括重量比为约2:1至约1:5的石墨烯和碳纳米管。
在一些实施例中,保护膜172可以具有约2nm至约500nm的厚度。然而,本公开的实施例不限于此。
残余物屏蔽组件170的保护框架174可以与聚光器120的边缘部分接触而支撑保护膜172。保护框架174可以包括金属。例如,保护框架174可以包括Al、不锈钢、Mo或它们的组合。
残余物屏蔽组件170可以包括用于将保护膜172固定到保护框架174的固定构件176。在一些实施例中,固定构件176可以包括粘合层。在一些实施例中,粘合层可以包括热固性环氧树脂或牛血清白蛋白(BSA)。然而,本公开的实施例不限于此。
在一些实施例中,残余物屏蔽组件170可以不包括位于保护膜172与保护框架174之间的固定构件176。相反,保护膜172可以通过施压直接固定到保护框架174上。
尽管图1示出了作为光学元件的聚光器120被残余物屏蔽组件170保护的实施例,但是本公开的实施例不限于图1中示出的实施例。例如,残余物屏蔽组件170可被安装为保护室110中的SPF 130(例如,位于SPF 130与等离子体产生空间PS之间)或其它光学元件。
例如,如果残余物屏蔽组件170不在室110中,那么在等离子体产生空间PS中的等离子体产生期间,残余物(例如,不能成为等离子体的颗粒)将沉积在光学元件的表面上(例如,聚光器120的表面上或SPF 130的表面上),从而降低其操作效率(例如,反射率或透射率)。虽然可以努力清洁在室110中的光学元件的表面(例如,通过各种清洁气体或从清洁气体获得的自由基),但是清洁工艺(例如,使用清洁气体或自由基)会使工艺成本增加,并且光学元件的光学特性(例如,反射率)将因在室110中从靶材产生的残余物的重复沉积而劣化。此外,光学元件的表面还将被清洁气体或自由基劣化,并且因此将降低耐用性。
相反,根据本公开的实施例,光产生器100可以包括安装在易被残余物污染的光学元件与室110中的等离子体产生空间PS之间的残余物屏蔽组件170。例如,如图1中所示,残余物屏蔽组件170可以安装在聚光器120与等离子体产生空间PS之间。因此,当等离子体产生空间PS中的从液滴DL产生的残余物从等离子体产生空间PS朝向聚光器120的反射面122流动时,残余物至聚光器120的反射面122的各种行进路径可以被保护膜172和保护框架174阻挡。具体地,即使当等离子体产生空间PS中的从液滴DL产生的残余物很可能经由残余物的各种行进路径而从等离子体产生空间PS通过保护膜172与聚光器120之间的空间朝向聚光器120的反射面122移动时,也因为保护空间180在保护膜172和聚光器120边缘部分处被残余物屏蔽组件170的保护框架174所屏蔽,所以无需担心残余物从等离子体产生空间PS通过保护膜172与聚光器120之间的空间朝向聚光器120的反射面122流动。
因此,在等离子体产生空间PS中产生的残余物不太可能流进从聚光器120的反射面122到保护膜172延伸预定距离的保护空间180中并且流到聚光器120的反射面122。因此,可以保护聚光器120的反射面122免受残余物的污染,还可以保持聚光器120的性能而无需定期清洁。
在根据本公开的一个或更多个实施例的光产生器100中,仅通过对保护膜172和保护框架174进行定期清洁和/或替换就可以将室110的内部环境保持在稳定的操作条件下,而不用清洁在室110中的光学元件。因此,在不需要执行会增加工艺成本并且使光学元件劣化的使用气体源的清洁工艺的情况下,可以防止或基本最小化光学元件被残余物污染以及随之发生的生产率降低。
图2A是示出可以在根据本公开的实施例的光产生器中采用的残余物屏蔽组件270A的示例构造的平面图。图2B是沿着图2A中的线B-B'截取的剖视图。在图2A和图2B中,与图1中的附图标记相同的附图标记表示相同的元件,因此这里省略它们的多余的描述。
参照图2A和图2B,与图1中示出的残余物屏蔽组件170类似,残余物屏蔽组件270A可以包括保护膜272A和支撑保护膜272A的保护框架274。
保护膜272A可以具有与上面参照图1描述的保护膜172的构造基本相同的构造。保护膜272A可以具有在保护膜272A的基本中心部分中的通孔272LH。
保护框架274可以包括支撑部分274A、与支撑部分274A一体连接并且在支撑部分274A与保护膜272A之间延伸的屏蔽部分274B以及与屏蔽部分274B面对且具有保护膜272A位于其间的外部固定部分274C。外部固定部分274C与屏蔽部分274B配合地支撑保护膜272A。
构成保护框架274的支撑部分274A、屏蔽部分274B和外部固定部分274C可以具有基本圆环平面形状。支撑部分274A、屏蔽部分274B和外部固定部分274C的外径可以基本相同。支撑部分274A、屏蔽部分274B和外部固定部分274C可以包括金属。例如,支撑部分274A、屏蔽部分274B和外部固定部分274C可以包括Al、不锈钢、Mo或它们的组合。
支撑部分274A可以是具有从屏蔽部分274B延伸的直的剖面形状的环形构件。支撑部分274A的宽度WA可以小于屏蔽部分274B的宽度WB。在一些实施例中,屏蔽部分274B的宽度WB可以与外部固定部分274C的宽度WC基本相同。在一些其它实施例中,屏蔽部分274B的宽度WB可以与外部固定部分274C的宽度WC不同。
保护框架274还可以包括位于屏蔽部分274B与保护膜272A之间的第一缓冲膜278A以及位于保护膜272A与外部固定部分274C之间的第二缓冲膜278B。在一些实施例中,第一缓冲膜278A和第二缓冲膜278B可以包括弹性材料。例如,第一缓冲膜278A和第二缓冲膜278B中的每个可以包括聚酰亚胺膜和/或例如聚醚醚酮(PEEK)的工程塑料材料。
保护框架274还可包括用于保持保护膜272A和保护框架274彼此结合的固定构件292。固定构件292可包括螺钉。在一些实施例中,固定构件292可以包括金属。然而,本公开的实施例不限于此。
图2C是如图2A和图2B中示出的包括在残余物屏蔽组件270A中的保护膜272A的平面图。
参照图2C,保护膜272A可以在平面图中具有圆形形状,保护膜272A具有与保护框架274的直径基本相同的外径,例如,保护膜272A可以具有与环形形状的保护框架274的外径相同的外径。保护膜272A可以包括在保护膜272A的基本中心部分中的通孔272LH和在保护膜272A的边缘部分中(例如,沿保护膜272A的边缘部分分隔开)的多个孔272H。在图2A和图2B中示出的固定构件292可以贯穿多个孔272H。保护膜272A的材料可以与上面参照图1描述的保护膜172的材料相同。
图2D是包括在如图2A和图2B中示出的残余物屏蔽组件270A中的外部固定部分274C的平面图。
参照图2D,外部固定部分274C在平面图中可以具有圆环形形状。外部固定部分274C可以包括多个孔274H,图2A和图2B中示出的固定构件292可以贯穿多个孔274H。图2A和图2B中示出的屏蔽部分274B的平面结构可以与图2D中示出的外部固定部分274C的平面结构基本相同。
图2E是如图2A和图2B中示出的残余物屏蔽组件270A中包括的第一缓冲膜278A的平面图。
参照图2E,第一缓冲膜278A可以具有圆环形平面形状。第一缓冲膜278A可以包括多个孔278H,图2A和图2B中示出的固定构件292贯穿多个孔278H。图2A和图2B中示出的第二缓冲膜278B的平面结构可以与图2E中示出的第一缓冲膜278A的平面结构基本相同。
图2F示出了残余物屏蔽组件270A与聚光器120连接在一起之前的状态。图2G示出了残余物屏蔽组件270A与聚光器120连接在一起的状态。
参照图2F,在残余物屏蔽组件270A中,支撑部分274A的内径AD1可以大于屏蔽部分274B的内径BD1。聚光器120的最远离开口AP的最外边缘部分的外径OD可以等于或小于支撑部分274A的内径AD1。聚光器120的边缘部分的内径ID可以与屏蔽部分274B的内径BD1基本相等。
因此,为了将聚光器120结合到残余物屏蔽组件270A,聚光器120的边缘部分可以插入到支撑部分274A中。也就是说,聚光器120的边缘部分可以布置成与屏蔽部分274B的面对聚光器120的表面邻接(例如,直接接触),因此支撑部分274A与聚光器120的外径齐平并且围绕聚光器120的外径。结果,如图2G中所示,聚光器120的边缘部分可以部分地被支撑部分274A围绕。
在一些实施例中,在光产生器100(见图1)中,聚光器120可以安装成使得反射面122相对于水平方向(X-Y平面方向)以特定角度(例如,以第一锐角(α))倾斜。激光束LB可以相对于水平方向(X-Y平面方向)以特定倾角(例如,第一锐角(α))从激光聚焦系统160辐射,以通过聚光器120的开口AP和保护膜272A的通孔272LH。
如图2G中所示,在聚光器120连接到残余物屏蔽组件270A的情况下,与聚光器120的反射面122面对的保护膜272A可以朝向聚光器120相对于竖直方向(Z方向)以预定角度(例如,以第二锐角β)倾斜延伸。为了将聚光器120连接到残余物屏蔽组件270A,当聚光器120的边缘部分插入到支撑部分274A中时,残余物屏蔽组件270A的支撑部分274A的上部和屏蔽部分274B的上部可以因重力而接触聚光器120的上边缘部分,使得聚光器120的上边缘部分可以支撑残余物屏蔽组件270A。因此,可以不需要粘合工具来将聚光器120连接到残余物屏蔽组件270A。
屏蔽部分274B可以具有与支撑部分274A与保护膜272A之间的保护空间180面对的内表面S1。在聚光器120与残余物屏蔽组件270A连接在一起的状态下,屏蔽部分274B的内表面S1的边缘和聚光器120的反射面122的边缘部分可以彼此接触,并且内表面S1和反射面122可以平滑地延伸(例如,可以彼此齐平并且彼此直接接触)形成一个(例如,密封的)平面,而在内表面S1与反射面122之间的接触区域中没有台阶差。
在图1中示出的光产生器100中,可以采用图2A和图2B中示出的残余物屏蔽组件270A来替代残余物屏蔽组件170。在聚光器120与残余物屏蔽组件270A连接在一起的状态下,保护膜272A和屏蔽部分274B可以将保护空间180与等离子体产生空间PS(见图1)屏蔽开。因此,在等离子体产生空间PS中产生的残余物不太可能会从等离子体产生空间PS流进反射面122与保护膜272A之间的保护空间180中。具体地,因为聚光器120的边缘部分与保护膜272A之间的屏蔽部分274B的缘故,所以在等离子体产生空间PS中产生的残余物不太可能会从等离子体产生空间PS通过聚光器120的边缘部分与保护膜272A之间的间隙流入保护空间180和聚光器120的反射面122中。因此,可以保护聚光器120的反射面122免受残余物的污染。
图3A是用于解释可以在根据本公开的实施例的光产生器中使用的根据实施例的残余物屏蔽组件270B的示例构造的平面图。图3B是沿着图3A中的线B-B'截取的剖视图。图3C是示出残余物屏蔽组件270B与聚光器120连接的状态的图。在图3A至图3C中,与图1至图2G中的附图标记相同的附图标记表示相同的元件,因此省略它们的多余的描述。
参照图3A至图3C,残余物屏蔽组件270B可以具有与图2A和图2B中示出的残余物屏蔽组件270A基本相同的结构。与残余物屏蔽组件270A不同,残余物屏蔽组件270B在中心部分处可以不具有通孔272LH(见图2A至图2C)。因此,在从激光聚焦系统160辐射出来之后穿过聚光器120的开口AP的激光束LB可以透过保护膜272B以辐射靶材的液滴DL(见图1)。保护膜272B的材料的示例可以与上面参照图1描述的保护膜172的材料的示例相同。可以在图1的光产生器100中采用图3A和图3B中示出的残余物屏蔽组件270B来替代残余物屏蔽组件170。
在聚光器120与残余物屏蔽组件270B连接在一起的状态下,保护膜272B和屏蔽部分274B可以将保护空间180与等离子体产生空间PS(见图1)屏蔽开。因此,在等离子体产生空间PS中产生的残余物不太可能会从等离子体产生空间PS通过聚光器120的边缘部分与保护膜272B之间的间隙流入保护空间180和聚光器120的反射面122中。因此,可以保护聚光器120的反射面122免受残余物的污染。
图4A是用于解释可以在根据本公开的实施例的光产生器中使用的根据实施例的残余物屏蔽组件370的示例构造的平面图。图4B是沿着图4A中的线B-B'截取的剖视图。在图4A和图4B中,与图1至图3C中的附图标记相同的附图标记表示相同的元件,因此省略它们的多余的描述。
参照图4A和图4B,残余物屏蔽组件370可以具有与图2A和图2B中示出的残余物屏蔽组件270A基本相同的构造。与残余物屏蔽组件270A不同,残余物屏蔽组件370可以包括保护膜372和支撑保护膜372的保护框架374。残余物屏蔽组件370的保护框架374可以包括支撑部分374A、屏蔽部分374B和外部固定部分374C。保护框架374的支撑部分374A、屏蔽部分374B和外部固定部分374C可以分别具有与残余物屏蔽组件270A的支撑部分274A、屏蔽部分274B和外部固定部分274C的构造基本相同的构造。与残余物屏蔽组件270A不同,残余物屏蔽组件370在其中心部分处可以不具有通孔272LH。因此,在从图1中示出的激光聚焦系统160辐射出来之后穿过聚光器120的开口AP的激光束LB可以透过保护膜372以辐射靶材的液滴DL(见图1)。保护膜372的材料的示例可以与上面参照图1描述的保护膜172的材料的示例相同。
此外,保护框架374的支撑部分374A、屏蔽部分374B和外部固定部分374C以及保护膜372可以不具有图2A和图2B中示出的用于固定构件292的孔。为了将保护膜372固定到保护框架374,残余物屏蔽组件370可以具有施压型的固定构件394,例如,夹钳或夹子。在一些实施例中,固定构件392可以包括金属。然而,实施例不限于此。
在图1中示出的光产生器100中,可以采用图4A和图4B中示出的残余物屏蔽组件370来替代残余物屏蔽组件170。在聚光器120与残余物屏蔽组件370连接在一起的状态下,保护膜372和屏蔽部分374B可以将位于聚光器120的反射面122前面的保护空间180(见图1)与等离子体产生空间PS(见图1)屏蔽开。因此,在等离子体产生空间PS中产生的残余物不太可能从等离子体产生空间PS流进保护空间180和反射面122中,从而可以保护聚光器120的反射面122免受残余物的污染。
图5A是用于解释可以在根据本公开的实施例的光产生器中使用的根据实施例的残余物屏蔽组件470的示例构造的平面图。图5B是沿着图5A中的线B-B'截取的剖视图。在图5A和图5B中,与图1至图3C中的附图标记相同的附图标记表示相同的元件,因此省略它们的多余的描述。
参照图5A和图5B,残余物屏蔽组件470可以具有与图3A和图3B中示出的残余物屏蔽组件270B基本相同的构造。与残余物屏蔽组件270B不同,残余物屏蔽组件470可以包括支撑保护膜272B的保护框架474。残余物屏蔽组件470的保护框架474可以包括支撑部分474A、屏蔽部分474B和外部固定部分474C。保护框架474的支撑部分474A、屏蔽部分474B和外部固定部分474C可以分别具有与如上参照图3A和图3B描述的残余物屏蔽组件270B的支撑部分274A、屏蔽部分274B和外部固定部分274C的构造基本相同的构造。与残余物屏蔽组件270B不同,保护框架474的内径BD2的中心轴470C1和保护框架474的外径AD2的中心轴470C2可以彼此不对应并且不对齐。保护框架474的外径AD2的中心轴470C2可以对应于残余物屏蔽组件470的中心轴。保护框架474的支撑部分474A、屏蔽部分474B和外部固定部分474C可以具有相对于保护框架474的外径AD2的中心轴470C2(即,残余物屏蔽组件470的中心轴)在圆周方向上变化的宽度。支撑部分474A可以包括具有从屏蔽部分474B延伸的L形的剖面形状的环形构件。
详细地,在保护框架474的支撑部分474A、屏蔽部分474B和外部固定部分474C中,支撑部分474A、屏蔽部分474B和外部固定部分474C中的每个在保护框架474的第一边缘处的宽度W41可以小于同一元件在保护框架474的第二边缘处的宽度W42。保护框架474的第一边缘和第二边缘可以是保护框架474的沿着与保护框架474的外径AD2的中心轴470C2交叉的直线(即,直径)的相对边缘。
在残余物屏蔽组件470中,支撑部分474A的内径DD2可以大于屏蔽部分474B的内径BD2。支撑部分474A的内径DD2可以大于聚光器120的边缘部分的外径OD(见图2F)。聚光器120的边缘部分的内径ID可以与屏蔽部分474B的内径BD2基本相等。
图5C是示出聚光器120与残余物屏蔽组件470连接在一起的状态的图。
参照图5A至图5C,为了将聚光器120结合到残余物屏蔽组件470,聚光器120的边缘部分可以插入到支撑部分474A中。当聚光器120与残余物屏蔽组件470连接在一起时,聚光器120的边缘部分可以部分地被支撑部分474A围绕。
在聚光器120与残余物屏蔽组件470连接在一起的情况下,与聚光器120的反射面122面对的保护膜272B可以相对于竖直方向(Z方向)朝向聚光器120以预定角度(例如,以第二锐角β)倾斜延伸。
保护框架474的内径BD2的中心轴470C1和聚光器120的中心轴120C可以共线。沿着保护框架474的外径AD2的中心轴470C2的直线和沿着聚光器120的中心轴120C的直线可以不共线(例如,图5C中的虚线)。
当支撑部分474A的内径DD2大于聚光器120的边缘部分的外径OD时(见图2F),可以有利于将聚光器120的边缘部分插入到支撑部分474A中,以便将聚光器120连接到残余物屏蔽组件470。当聚光器120的边缘部分插入到支撑部分474A内时,残余物屏蔽组件470的支撑部分474A的上部和屏蔽部分474B的上部可以因重力而部分地接触聚光器120的上边缘部分,使得聚光器120的上边缘部分可以支撑残余物屏蔽组件470。
在残余物屏蔽组件470中,保护框架474的支撑部分474A可以包括具有L形的剖面形状而延伸的环形构件。在如图5C中示出的连接状态中,当具有L形的剖面形状的支撑部分474A的上边缘部分(所述上边缘部分朝向保护框架474的中心弯曲)接触聚光器120的上边缘部分时,残余物屏蔽组件470可以被聚光器120支撑。在图5C示出的连接位置中,支撑部分474A的朝向保护框架474的中心弯曲的边缘部分可以用作可防止聚光器120向下脱离的闩锁单元。也就是说,由于保护框架474的边缘部分朝向保护框架474的中心弯曲,可以防止聚光器120从残余物屏蔽组件470的保护框架474脱离。因此,可以不需要粘合工具来将聚光器120连接到残余物屏蔽组件470。
屏蔽部分474B可以具有与支撑部分474A与保护膜272B之间的保护空间180面对的内表面S2。在聚光器120与残余物屏蔽组件470连接在一起的状态下,屏蔽部分474B的内表面S2的边缘部分和聚光器120的反射面122的边缘部分可以彼此接触,并且内表面S2和反射面122可以连续地延伸形成一个平面,而在内表面S2与反射面122之间的接触区域中没有台阶差。
尽管图5A至图5C示出了残余物屏蔽组件470包括保护膜272B的实施例,但是本公开的实施例不限于此。例如,残余物屏蔽组件470可以包括如图2A和图2B中示出的保护膜272A。
在图1中示出的光产生器100中,可以采用图5A和图5B中示出的残余物屏蔽组件470来替代残余物屏蔽组件170。在聚光器120与残余物屏蔽组件470连接在一起的状态下,保护膜272B和屏蔽部分474B可以将保护空间180与等离子体产生空间PS(见图1)屏蔽开。因此,在等离子体产生空间PS中产生的残余物不太可能从等离子体产生空间PS通过保护膜272B与聚光器120之间的空间流进保护空间180中。因此,可以保护聚光器120的反射面122免受残余物的污染。
图6A是用于解释可以在根据本公开的实施例的光产生器中使用的根据实施例的残余物屏蔽组件570的示例构造的剖视图。图6B是示出聚光器120与残余物屏蔽组件570连接在一起的状态的剖视图。图6C是图6B中的区域“CX1”的放大图。在图6A至图6C中,与图1至图3C中的附图标记相同的附图标记表示相同的元件,因此省略它们的多余的描述。
参照图6A至图6C,残余物屏蔽组件570可以具有与图3A和图3B中示出的残余物屏蔽组件270B基本相同的构造。与残余物屏蔽组件270B不同,残余物屏蔽组件570可以包括保护膜272B和支撑保护膜272B的保护框架574。残余物屏蔽组件570的保护框架574可以包括支撑部分574A、屏蔽部分574B和外部固定部分574C。保护框架574的支撑部分574A、屏蔽部分574B和外部固定部分574C可以分别具有与上面参照图3A和图3B描述的残余物屏蔽组件270B的支撑部分274A、屏蔽部分274B和外部固定部分274C的构造基本相同的构造。与残余物屏蔽组件270B不同,屏蔽部分574B可以具有与支撑部分574A与保护膜272B之间的保护空间180面对的内表面574EW。
具体而言,内表面574EW可以是弯曲表面。在聚光器120与残余物屏蔽组件570连接在一起的状态下,屏蔽部分574B的内表面574EW的边缘部分和聚光器120的反射面122的边缘部分可以彼此接触,并且内表面574EW和反射面122可以平滑地延伸形成一个平面,而在内表面574EW与反射面122之间的接触区域中没有台阶差。在一些实施例中,在聚光器120与残余物屏蔽组件570如图6B中示出的彼此连接的状态下,屏蔽部分574B的内表面574EW可以与聚光器120的反射面122一起形成椭圆形表面。当内表面574EW形成这样的椭圆形表面时,可以减小由屏蔽部分574B引起的从反射面122反射的EUV光LT的行进路径的中断。
尽管图6A至图6C示出了残余物屏蔽组件570可以包括保护膜272B的实施例,但是本公开的实施例不限于此。例如,残余物屏蔽组件570可以包括如图2A和图2B中示出的保护膜272A。
尽管图6A和图6B示出了残余物屏蔽组件570包括固定构件292的实施例,但本公开的实施例不限于此。在一些实施例中,残余物屏蔽组件570可以包括上面参照图4A和图4B示出的替代固定构件292的固定构件394。在一些其它实施例中,替代包括第一缓冲膜278A、第二缓冲膜278B、外部固定部分574C和固定构件292,图6A和图6B中示出的残余物屏蔽组件570可以包括保护膜272B,所述保护膜272B可以以与上面参照图1描述的类似方式通过施压或通过使用固定构件176直接粘附到屏蔽部分574B上。
在图1中示出的光产生器100中,可以采用图6A和图6B中示出的残余物屏蔽组件570来替代残余物屏蔽组件170。在聚光器120与残余物屏蔽组件570连接在一起的状态下,保护膜272B和屏蔽部分574B可以将位于聚光器120的反射面122前面的保护空间180与等离子体产生空间PS(见图1)屏蔽开。因此,在等离子体产生空间PS中产生的残余物不太可能从等离子体产生空间PS流进保护空间180和聚光器120的反射面122中,从而可以保护聚光器120的反射面122免受残余物的污染。
图7A是用于解释可以在根据本公开的实施例的光产生器中使用的根据实施例的残余物屏蔽组件670的示例构造的剖视图。图7B是示出聚光器120与残余物屏蔽组件670连接在一起的状态的剖视图。图7C是图7B中的区域“CX2”的放大图。图7D是用于解释残余物屏蔽组件670的保护框架674中的屏蔽部分674B的放大局部剖视图。在图7A至图7D中,与图1至图6C中的附图标记相同的附图标记表示相同的元件,因此省略它们的多余的描述。
参照图7A至图7D,残余物屏蔽组件670可以具有与上面参照图6A至图6C描述的残余物屏蔽组件570基本相同的构造。与残余物屏蔽组件570不同,残余物屏蔽组件670可以包括支撑保护膜272B的保护框架674。保护框架674的屏蔽部分674B可以包括屏蔽框架674F以及位于屏蔽框架674F上的反射层674M,反射层674M暴露于保护空间180。
反射层674M可以具有与支撑部分574A与保护膜272B之间面对的保护空间180的反射面674EW。反射面674EW可以是弯曲表面。在一些实施例中,反射层674M可以包括多层镜。多层镜可以包括在其中从Mo层、Si层、SiC层、B4C层、Mo2C层和Si3N4层中选择的多个层可以交替堆叠在彼此上的堆叠结构。
在一些实施例中,反射层674M可以具有如图7D中示出的堆叠结构。也就是说,反射层674M可以包括堆叠在屏蔽框架674F上的多个第一反射层M1、多个第二反射层M2以及多个阻挡层BL。第一反射层M1和第二反射层M2可以在一个阻挡层BL位于每相邻的两个第一反射层M1与第二反射层M2之间的情况下逐个交替堆叠在反射层674M中。
在一些实施例中,第一反射层M1可以包括Mo层(例如,由Mo层组成),第二反射层M2可以包括Si层。阻挡层BL可以包括可在几百摄氏度(℃)或更高的高温下保持在稳定状态的材料。在一些实施例中,阻挡层BL可包括碳化物或氮化物(例如,由碳化物或氮化物组成)。例如,阻挡层BL可以包括SiC层、B4C层、Mo2C层、Si3N4层或它们的组合。阻挡层BL可以防止在高温下原子在第一反射层M1和第二反射层M2之间的扩散。因此,即使当反射层674M在包括残余物屏蔽组件670的光产生器工作期间达到高温条件时,反射层674M的性能可由于阻挡层BL而不被劣化,并且反射层674M的反射能力可以保持。
反射层674M还可以包括覆盖层CP。覆盖层CP可以包括钌(Ru)或氧化硅(SiO2)。在聚光器120与残余物屏蔽组件670连接在一起的状态下,反射层674M的覆盖层CP的顶表面可以提供暴露于保护空间180的反射面674EW。
屏蔽框架674F可以包括金属。例如,屏蔽框架674F可以包括Al、不锈钢、Mo或它们的组合。
如图7C中所示,聚光器120可以包括会聚基底120S和位于会聚基底120S上的会聚反射层120M,会聚反射层120M提供反射面122。会聚反射层120M可以具有与屏蔽部分674B的反射层674M的反射结构类似的反射结构。屏蔽部分674B的反射层674M的厚度可以小于会聚反射层120M的厚度。然而,本公开的实施例不限于此。例如,屏蔽部分674B的反射层674M和会聚反射层120M可以具有相同的厚度。
在聚光器120与残余物屏蔽组件670连接在一起的状态下,屏蔽部分674B的反射面674EW的边缘部分和聚光器120的反射面122的边缘部分可以彼此接触。反射面674EW和反射面122可以平滑地延伸形成一个平面,而在反射面674EW与反射面122之间的接触区域中没有台阶差。在一些实施例中,如图7B中所示,在聚光器120与残余物屏蔽组件670连接在一起的状态下,屏蔽部分674B的反射面674EW可以与聚光器120的反射面122一起形成椭圆形表面。
屏蔽部分674B的反射面674EW可以有助于与聚光器120的反射面122一起会聚并且反射从等离子体辐射的具有各种波长的光中的具有约1nm至约31nm(例如,约13.5nm)的波长的EUV光。例如,屏蔽部分674B的反射面674EW可以选择性地反射波长为约13.5nm的EUV光。因此,除了聚光器120的反射功效之外,还可以额外提供屏蔽部分674B的反射面674EW的反射功效,使得可以在包括残余物屏蔽组件670的光产生器中改善EUV光的会聚功效。
尽管图7A和图7B示出了残余物屏蔽组件670包括保护膜272B的实施例,但是本公开的实施例不限于此。例如,残余物屏蔽组件670可以包括图2A和图2B中示出的保护膜272A。
尽管图7A和图7B示出了残余物屏蔽组件670可以包括固定构件292的实施例,但是本公开的实施例不限于此。在一些实施例中,残余物屏蔽组件670可以包括参照图4A和图4B描述的替代固定构件292的固定构件394。在一些其它实施例中,替代包括第一缓冲膜278A、第二缓冲膜278B、外部固定部分574C和固定构件292,图7A和图7B中示出的残余物屏蔽组件670可以包括保护膜272B,所述保护膜272B可以以与上面参照图1描述的类似方式通过施压或通过使用固定构件176直接粘附到屏蔽部分674B上。
在图1中示出的光产生器100中,可以采用图7A和图7B中示出的残余物屏蔽组件670来替代残余物屏蔽组件170。在聚光器120与残余物屏蔽组件670连接在一起的状态下,保护膜272B和屏蔽部分674B可以将位于聚光器120的反射面122前面的保护空间180与等离子体产生空间PS(见图1)屏蔽开。因此,在等离子体产生空间PS中产生的残余物不太可能从等离子体产生空间PS流进保护空间180和聚光器120的反射面122中,从而可以保护聚光器120的反射面122免受残余物的污染。
图8是用于解释可以在根据本公开的实施例的光产生器中使用的根据实施例的残余物屏蔽组件770的示例构造的剖视图。在图8中,与图1至图5C中的附图标记相同的附图标记表示相同的元件,因此省略它们的多余的描述。
参照图8,残余物屏蔽组件770可以具有与图5A至图5C中示出的残余物屏蔽组件470基本相同的构造。与残余物屏蔽组件470不同,残余物屏蔽组件770可以包括保护膜272B和支撑保护膜272B的保护框架774。残余物屏蔽组件770的保护框架774可以包括支撑部分774A、屏蔽部分774B和外部固定部分774C。保护框架774的支撑部分774A、屏蔽部分774B和外部固定部分774C可以分别具有与上面参照图5A至图5C描述的残余物屏蔽组件470的支撑部分474A、屏蔽部分474B和外部固定部分474C的构造基本相同的构造。与残余物屏蔽组件470不同,屏蔽部分774B可以具有支撑部分774A与保护膜272B之间的内表面774EW。
具体而言,内表面774EW可以是弯曲表面。在残余物屏蔽组件770连接到图5C中示出的聚光器120的状态下,屏蔽部分774B的内表面774EW的边缘部分和聚光器120的反射面122的边缘部分可以彼此接触,并且内表面774EW和反射面122可以平滑地延伸形成一个平面,而在内表面774EW与反射面122之间的接触区域中没有台阶差。在一些实施例中,在残余物屏蔽组件770连接到图5C中示出的聚光器120的状态下,屏蔽部分774B的内表面774EW可以与聚光器120的反射面122一起形成椭圆形表面。
尽管图8示出残余物屏蔽组件770可以包括保护膜272B的实施例,但是本公开的实施例不限于此。例如,残余物屏蔽组件770可以包括图2A和图2B中示出的保护膜272A。
尽管图8示出残余物屏蔽组件770可以包括固定构件292的实施例,但是本公开的实施例不限于此。在一些实施例中,残余物屏蔽组件770可以包括上面参照图4A和图4B描述的替代固定构件292的固定构件394。在一些其它实施例中,替代包括第一缓冲膜478A、第二缓冲膜478B、外部固定部分774C和固定构件292,图8中示出的残余物屏蔽组件770可以包括保护膜272B,所述保护膜272B可以以与上面参照图1描述的类似方式通过施压或通过使用固定构件直接粘附到屏蔽部分774B上。
在图1中示出的光产生器100中,可以采用图8中示出的残余物屏蔽组件770来替代残余物屏蔽组件170。在聚光器120与残余物屏蔽组件770连接在一起的状态下,保护膜272B和屏蔽部分774B可以将位于聚光器120的反射面122前面的保护空间180与等离子体产生空间PS(见图1)屏蔽开。因此,在等离子体产生空间PS中产生的残余物不太可能从等离子体产生空间PS流进保护空间180和聚光器120的反射面122中,从而可以保护聚光器120的反射面122免受残余物的污染。
图9是用于解释可以在根据本公开的实施例的光产生器中使用的根据实施例的残余物屏蔽组件870的示例构造的剖视图。在图9中,与图1至图8中的附图标记相同的附图标记表示相同的元件,因此省略它们的多余的描述。
参照图9,残余物屏蔽组件870可以具有与上面参照图8描述的残余物屏蔽组件770基本相同的构造。与残余物屏蔽组件770不同,残余物屏蔽组件870可以包括支撑保护膜272B的保护框架874。保护框架874的屏蔽部分874B可以包括屏蔽框架874F以及位于屏蔽框架874F上的反射层874M。反射层874M可以具有位于支撑部分774A与保护膜272B之间的反射面874EW。反射面874EW可以是弯曲表面。屏蔽框架874F和反射层874M的详细构造可以分别与上面参照图7A至图7D描述的屏蔽框架674F和反射层674M的构造相同。
在残余物屏蔽组件870连接到图5C中示出的聚光器120的状态下,屏蔽部分874B的反射面874EW的边缘部分和聚光器120的反射面122的边缘部分可以彼此接触,并且反射面874EW和反射面122可以平滑地延伸形成一个平面,而在反射面874EW与反射面122之间的接触区域中没有台阶差。在一些实施例中,在残余物屏蔽组件870连接到图5C中示出的聚光器120的状态下,屏蔽部分874B的反射面874EW可以与聚光器120的反射面122一起形成椭圆形表面。
屏蔽部分874B的反射面874EW可以有助于与聚光器120的反射面122一起会聚并且反射从等离子体辐射的具有各种波长的光中的具有约1nm至约31nm(例如,约13.5nm)的波长的EUV光。例如,屏蔽部分874B的反射面874EW可以选择性地反射波长为约13.5nm的EUV光。因此,除了聚光器120的反射功效之外,还可以额外提供屏蔽部分874B的反射面874EW的反射功效,使得可以在包括残余物屏蔽组件870的光产生器中改善EUV光的会聚功效。
尽管图9示出残余物屏蔽组件870可以包括保护膜272B的实施例,但是本公开的实施例不限于此。例如,残余物屏蔽组件870可以包括图2A和图2B中示出的保护膜272A。
尽管图9示出残余物屏蔽组件870可以包括固定构件292的实施例,但是本公开的实施例不限于此。在一些实施例中,残余物屏蔽组件870可以包括上面参照图4A和图4B描述的替代固定构件292的固定构件394。在一些其它实施例中,替代包括第一缓冲膜478A、第二缓冲膜478B、外部固定部分774C和固定构件292,图9中示出的残余物屏蔽组件870可以包括保护膜272B,所述保护膜272B可以以与上面参照图1描述的类似方式通过施压或通过使用固定构件176直接粘附到屏蔽部分874B上。
在图1中示出的光产生器100中,可以采用图9中示出的残余物屏蔽组件870来替代残余物屏蔽组件170。在聚光器120与残余物屏蔽组件870连接在一起的状态下,保护膜272B和屏蔽部分874B可以将位于聚光器120的反射面122前面的保护空间180与等离子体产生空间PS(见图1)屏蔽开。因此,在等离子体产生空间PS中产生的残余物不太可能从等离子体产生空间PS流进保护空间180和聚光器120的反射面122中,从而可以保护聚光器120的反射面122免受残余物的污染。
图10是示出根据本公开的实施例的光刻设备1000的主要元件的示意图。
参照图10,光刻设备1000可以包括根据本公开的实施例的光产生器1100。在一些实施例中,光产生器1100可以包括根据如图1中示出的实施例的光产生器100。在一些实施例中,光产生器1100可以包括上面参照图1至图9描述的残余物屏蔽组件170、270A、270B、370、470、570、670、770和870中的一种。
光刻设备1000可以包括照明光学系统1200、刻线台1300、遮光板1400、投影光学系统1500和晶圆台1600。
从光产生器1100中产生的EUV光(图10中的“LT”)可以朝向照明光学系统1200辐射。EUV光LT可以具有约1nm至约31nm(例如,约13.5nm)的波长。
照明光学系统1200可以包括多个镜子1210、1220、1230和1240。多个镜子1210、1220、1230和1240可以聚焦并传输EUV光LT以减小EUV光LT的损失。多个镜子1210、1220、1230和1240可以整体均匀地控制EUV光LT的强度分布。多个镜子1210、1220、1230和1240可以包括凹面镜、凸面镜或它们的组合以使EUV光LT的路径多样化。在图10中,尽管照明光学系统1200被示出为包括四个镜子1210、1220、1230和1240,但是照明光学系统1200中的镜子的数量和位置不限于图10中示出的实施例,并且可以对其进行各种修改和改变。照明光学系统1200可包括单独的真空室。照明光学系统1200可以包括上面未描述的各种透镜和光学元件。
刻线台1300可以在如图10中的箭头AR1和AR2指示的水平方向上移动,其中具有安装在静电卡盘上的刻线R。刻线R可以安装在刻线台1300的下表面上,使得刻线R的表面上的光学图案面向下。遮光板1400可以设置在刻线台1300下方。遮光板1400可以包括狭缝S。狭缝S可以将从照明光学系统1200发射的EUV光LT成形为安装在刻线台1300上的刻线R。从照明光学系统1200传输的EUV光LT可以通过遮光板1400的狭缝S对刻线R的表面进行辐射。
从固定到刻线台1300的刻线R反射的EUV光LT可以通过狭缝S传输到投影光学系统1500。投影光学系统1500可以接收穿过狭缝S的EUV光LT并将EUV光LT传输到晶圆W。投影光学系统1500可以执行将刻线R上的图案缩小投影到晶圆W上。
投影光学系统1500可以包括多个镜子1510、1520、1530、1540、1550和1560。多个镜子1510、1520、1530、1540、1550和1560可以校正各种像差。在图10中,尽管投影光学系统1500被示出为包括六个镜子1510、1520、1530、1540、1550和1560,但是投影光学系统1500中的镜子的数量和位置不限于图10中示出的实施例,并且可以对其进行各种修改和改变。
晶圆台1600可以在如箭头AR3和AR4指示的水平方向上移动。
在图10中,EUV光LT的行进路径仅用于说明目的,并且本申请的本公开不限于图10中示出的实施例。
尽管参照图10描述了包括根据本公开的实施例的光产生器1100的光刻设备1000,但是本公开的实施例不限于此。例如,根据本公开的实施例的光产生器1100可以应用于检测设备,所述检测设备使用在光产生器1100中产生的EUV光。在一些实施例中,检测设备可以是用于检测刻线或基底的设备。在一些其它实施例中,检测设备可以是用于测量或监测诸如聚焦、覆盖、关键尺寸等的工艺变化的度量装备。
图11是根据本公开的实施例的制造集成电路(IC)装置的方法的流程图。
参照图11,在操作P2100中,可以在具有特征层的基底上形成光致抗蚀剂层。
基底可以包括诸如硅(Si)或锗(Ge)的半导体元素或者诸如碳化硅(SiC)、砷化镓(GaAs)、砷化铟(InAs)和磷化铟(InP)的化合物半导体。在一些实施例中,基底可以具有绝缘体上硅(SOI)结构。例如,基底可以包括掩埋氧化物(BOX)层。在一些实施例中,基底可以包括导电区域,例如,掺杂有杂质的阱或掺杂有杂质的结构。基底可以具有各种器件隔离结构,例如,浅沟槽隔离(STI)结构。基底可以具有在半导体晶圆上包括绝缘层、导电层、半导体层、金属层、金属氧化物层、金属氮化物层、聚合物层和它们的组合中的至少一层的结构。
在一些实施例中,基底可以包括半导体晶圆。可以在半导体晶圆上形成特征层。在这种情况下,特征层可以是导电层或绝缘层。例如,特征层可以包括金属、半导体或绝缘材料。在一些实施例中,特征层可以是基底的一部分。
光致抗蚀剂层可以覆盖特征层。光致抗蚀剂层可以包括用于EUV光(13.5nm)的抗蚀剂材料。
参照图10和图11,在操作P2200中,可以在光刻设备1000的刻线台1300上装载其上形成有光致抗蚀剂层的基底。
参照图10和图11,在操作P2300中,可以使用光刻设备1000的光产生器1100中产生的EUV光LT来对光致抗蚀剂层进行曝光。
参照图11,在操作P2400中,可以对被曝光的光致抗蚀剂层进行显影以由此形成光致抗蚀剂图案。
参照图11,在操作P2500中,可以通过使用光致抗蚀剂图案来加工特征层。
在一些实施例中,为了加工特征层,可以将光致抗蚀剂图案用作蚀刻掩模来对特征层进行蚀刻,从而形成特征图案。在一些其它实施例中,为了加工特征层,可以将光致抗蚀剂图案用作离子注入掩模来将杂质离子注入到特征层中。在一些其它实施例中,为了加工特征层,可以在通过操作P2400中形成的光致抗蚀剂图案曝光的特征层上形成单独的加工膜。加工膜可以是导电层、绝缘层、半导体层或它们的组合。
图12是根据本公开的实施例的包括通过使用制造集成电路(IC)装置的方法制造的IC装置的存储器系统3000的框图。
参照图12,存储器系统3000可以包括存储器卡3010。存储器系统3000可以包括可以经由公共总线3060进行通信的调制解调器3020、诸如中央处理单元(CPU)的处理器3030、随机存取存储器3040和用户接口3050。这些元件可以通过公共总线3060将信号发送到存储器卡3010,并且可以从存储器卡3010接收信号。存储器系统3000可以包括使用上面参照图11描述的方法制造的IC装置。
存储器系统3000可以适用于各种电子系统,例如,固态盘(SSD)、CMOS图像传感器(CIS)、计算机系统等。
这里公开的IC装置可以使用球栅阵列(BGA)技术、芯片级封装(CSP)技术、塑料引线芯片载体(PLCC)技术、塑料双列直插式封装(PDIP)技术、多芯片封装(MCP)技术、晶圆级制造封装(WFP)技术、晶圆级处理堆叠封装(WSP)技术等中的任何一种来封装。然而,本公开的实施例不限于此。
通过总结和回顾,为了在光刻设备中产生EUV光,可以在真空室中将激光辐射到靶材上,以将靶材转换成等离子体态。然而,残余物(例如,来自于未转换成等离子体的靶材的颗粒)可能沉积在真空室中的光学元件的表面上,从而降低其操作效率,例如,光学元件的反射率或透射率。
已经尝试了使用各种清洁气体或来自于这些气体的自由基来周期性地清洁光学元件。然而,这样的清洁会增加工艺单位价格。此外,尽管可以通过清洁气体或自由基来部分地清洁光学元件,但是从靶材分离的残余物的重复沉积会降低光学元件的光学特性(例如,反射率)或者会使光学元件的表面劣化,从而降低光学元件的耐用性。
相反,如上面根据一个或更多个实施例所述,根据本公开的光产生器可以包括安装在易被残余物污染的光学元件与室中的等离子体产生空间PS之间的残余物屏蔽组件。因此,仅通过对残余物屏蔽组件的元件进行定期清洁和/或替换就可以将室的内部环境保持在稳定的操作条件下,而不用清洁室中的光学元件。如此,在不需要执行会增加工艺成本并且使光学元件劣化的使用气体源的清洁工艺的情况下,可以防止光学元件被残余物污染以及随之发生的生产率降低。通过包括根据本公开的实施例的光产生器,根据本公开的光刻设备可以降低制造成本并提高使用光刻设备制造IC装置的工艺中的生产率。
也就是说,所提供的光产生器可以防止在光路中暴露的光学元件的操作效率的降低并增加光学元件的寿命,并且可以延长用于清洁和/或替换光学元件的部件的预防性维护(PM)周期。此外,所提供的光刻设备可以防止在光路中暴露的光学元件的操作效率的降低并增加光学元件的寿命,并且可以延长用于清洁和/或替换光学元件的部件的PM周期,从而降低集成电路(IC)装置的制造成本并提高生产率。最后,所提供的制造IC装置的方法降低了制造成本并提高了生产率。
在这里已经公开了示例实施例,虽然采用了特定的术语,但是将仅以一般的和描述性的含义来使用它们并对它们进行解释,而不是为了限制的目的。在一些情形下,如本领域的普通技术人员自提交本申请之时起将明显的是,除非另外明确指出,否则结合具体实施例描述的特征、特性和/或元件可以单独使用或者与结合其它实施例描述的特征、特性和/或元件组合使用。因此,本领域技术人员将理解的是,在不脱离如权利要求书中阐述的本发明的精神和范围的情况下,可以做出形式上和细节上的各种变化。

Claims (22)

1.一种制造集成电路装置的方法,所述方法包括:
在基底上形成光致抗蚀剂层;以及
通过使用包括光产生器的光刻设备将光致抗蚀剂层曝光于光,
其中,光产生器包括:
室,具有等离子体产生空间;
聚光器,位于室中;以及
残余物屏蔽组件,在室中位于聚光器与等离子体产生空间之间,
其中,残余物屏蔽组件包括:
保护膜,面对聚光器并与聚光器分隔开,保护膜与聚光器之间具有保护空间,保护空间包括光路;以及
保护框架,支撑保护膜并且将保护空间与等离子体产生空间屏蔽开,
其中,保护框架包括:支撑部分,与聚光器的边缘部分接触;屏蔽部分,在支撑部分与保护膜之间延伸以将保护空间与等离子体产生空间屏蔽开,并且
其中,屏蔽部分包括面对保护空间的反射面,所述反射面与聚光器的反射面一起限定椭圆形表面。
2.如权利要求1所述的方法,其中,保护膜具有位于保护膜的中心区域中的通孔。
3.如权利要求1所述的方法,其中,保护膜包括相对于具有1064nm的波长的激光束、具有10.6μm的波长的激光束和具有13.5nm的波长的极紫外光透明的材料。
4.如权利要求1所述的方法,其中,保护膜包括碳纳米管、金刚石、石墨、石墨烯、富勒烯或它们的组合。
5.如权利要求1所述的方法,其中,保护膜包括具有单壁碳纳米管、多壁碳纳米管或它们的组合的碳纳米管膜。
6.如权利要求1所述的方法,其中,保护框架包括金属。
7.如权利要求1所述的方法,其中,
屏蔽部分与支撑部分一体连接。
8.如权利要求1所述的方法,其中,保护框架还包括:
外部固定部分,面对屏蔽部分,保护膜位于外部固定部分与屏蔽部分之间,外部固定部分与屏蔽部分配合支撑保护膜。
9.如权利要求8所述的方法,其中,保护框架还包括位于屏蔽部分与保护膜之间的第一缓冲膜和位于保护膜与外部固定部分之间的第二缓冲膜中的至少一个。
10.一种制造集成电路装置的方法,所述方法包括:
在基底上形成光致抗蚀剂层;以及
通过使用包括光产生器的光刻设备将光致抗蚀剂层曝光于光,
其中,光产生器包括:
室,具有等离子体产生空间;
聚光器,位于室中,聚光器具有反射面;以及
残余物屏蔽组件,在室中位于聚光器与等离子体产生空间之间,
其中,残余物屏蔽组件包括:
保护膜,与反射面分隔开,保护膜与反射面之间具有保护空间,保护膜面对反射面,保护空间包括光路;以及
保护框架,与聚光器的边缘部分接触并支撑保护膜,
其中,保护框架包括:支撑部分,与聚光器的边缘部分接触;屏蔽部分,在支撑部分与保护膜之间延伸以将保护空间与等离子体产生空间屏蔽开,并且
其中,屏蔽部分包括屏蔽框架和位于屏蔽框架上的反射层,反射层暴露于保护空间,反射层与聚光器的反射面一起限定椭圆形表面。
11.如权利要求10所述的方法,其中,保护膜具有形成在保护膜中的与光路对应的位置的通孔。
12.如权利要求10所述的方法,其中,屏蔽部分与支撑部分一体连接并且具有位于支撑部分和保护膜之间的内表面,内表面面向保护空间,并且
其中,保护框架还包括面对屏蔽部分的外部固定部分,保护膜位于外部固定部分与屏蔽部分之间,外部固定部分与屏蔽部分配合支撑保护膜。
13.如权利要求12所述的方法,其中,支撑部分包括从屏蔽部分延伸的环形构件,环形构件具有直的剖面形状或L形剖面形状。
14.如权利要求10所述的方法,其中,保护框架具有相对于残余物屏蔽组件的中心轴在圆周方向上变化的宽度。
15.如权利要求10所述方法,其中,残余物屏蔽组件还包括将保护膜与保护框架结合的固定构件。
16.一种制造集成电路装置的方法,所述方法包括下述步骤:
在基底上形成光致抗蚀剂层;以及
通过使用包括光产生器的光刻设备将光致抗蚀剂层曝光于光,
其中,光产生器包括:
室,具有等离子体产生空间;
聚光器,位于室中,聚光器具有反射面;以及
残余物屏蔽组件,在室中位于聚光器与等离子体产生空间之间,
其中,残余物屏蔽组件包括保护膜,保护膜面对反射面,保护膜与反射面之间具有保护空间,保护空间包括光路,保护膜具有形成在保护膜中的与光路对应的位置的通孔,
其中,残余物屏蔽组件还包括保护框架,保护框架包括:支撑部分,与聚光器的边缘部分接触;屏蔽部分,在支撑部分与保护膜之间延伸以将保护空间与等离子体产生空间屏蔽开,并且
其中,屏蔽部分包括面对保护空间的反射面,所述反射面与聚光器的反射面一起限定椭圆形表面。
17.如权利要求16所述的方法,其中,对光致抗蚀剂层进行曝光的步骤包括使用在光产生器中产生的极紫外光。
18.一种光产生器,所述光产生器包括:
室,具有等离子体产生空间;
聚光器,位于室中;以及
残余物屏蔽组件,在室中位于聚光器与等离子体产生空间之间,残余物屏蔽组件包括:保护膜,覆盖聚光器并与聚光器分隔开,保护膜与聚光器之间具有保护空间,保护空间包括光路;以及保护框架,支撑保护膜并且将保护空间与等离子体产生空间屏蔽开,
其中,保护框架包括:支撑部分,与聚光器的边缘部分接触;屏蔽部分,在支撑部分与保护膜之间延伸以将保护空间与等离子体产生空间屏蔽开,并且
其中,屏蔽部分包括面对保护空间的反射面,所述反射面与聚光器的反射面一起限定椭圆形表面。
19.如权利要求18所述的光产生器,其中,保护膜包括位于保护膜的中心区域中的通孔。
20.如权利要求18所述的光产生器,其中,保护膜包括相对于具有1064nm的波长的激光束、具有10.6μm波长的激光束和具有13.5nm的波长的极紫外光透明的材料。
21.如权利要求18所述的光产生器,其中,保护膜包括碳纳米管、金刚石、石墨、石墨烯、富勒烯或它们的组合。
22.如权利要求18所述的光产生器,其中,保护膜包括具有单壁碳纳米管、多壁碳纳米管或它们的组合的碳纳米管膜。
CN201811597573.1A 2018-05-17 2018-12-26 光产生器及使用其制造集成电路装置的方法 Active CN110501879B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020180056689A KR102576703B1 (ko) 2018-05-17 2018-05-17 파편 차단 조립체를 구비한 광 발생 장치 및 포토리소그래피 장치와 이를 이용하는 집적회로 소자의 제조 방법
KR10-2018-0056689 2018-05-17

Publications (2)

Publication Number Publication Date
CN110501879A CN110501879A (zh) 2019-11-26
CN110501879B true CN110501879B (zh) 2023-10-31

Family

ID=68532342

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811597573.1A Active CN110501879B (zh) 2018-05-17 2018-12-26 光产生器及使用其制造集成电路装置的方法

Country Status (3)

Country Link
US (2) US11114298B2 (zh)
KR (1) KR102576703B1 (zh)
CN (1) CN110501879B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220044006A (ko) * 2020-09-29 2022-04-06 삼성전자주식회사 극자외선 광원 시스템
KR20230015672A (ko) 2021-07-23 2023-01-31 주식회사 에프에스티 잔해 차폐 막 및 그 제조방법
KR20230168383A (ko) 2022-06-07 2023-12-14 주식회사 에프에스티 잔해 차폐 막 및 그 제조방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102809139A (zh) * 2011-05-31 2012-12-05 上海微电子装备有限公司 具有冷却系统的汞灯灯室

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1869732B (zh) * 2000-01-13 2010-11-17 浜松光子学株式会社 放射线图像传感器及闪烁器板
US7217941B2 (en) * 2003-04-08 2007-05-15 Cymer, Inc. Systems and methods for deflecting plasma-generated ions to prevent the ions from reaching an internal component of an EUV light source
US7034308B2 (en) * 2003-06-27 2006-04-25 Asml Netherlands B.V. Radiation system, contamination barrier, lithographic apparatus, device manufacturing method and device manufactured thereby
JP4535732B2 (ja) 2004-01-07 2010-09-01 株式会社小松製作所 光源装置及びそれを用いた露光装置
US7372623B2 (en) 2005-03-29 2008-05-13 Asml Netherlands B.V. Multi-layer spectral purity filter, lithographic apparatus including such a spectral purity filter, device manufacturing method, and device manufactured thereby
US7767985B2 (en) * 2006-12-26 2010-08-03 Globalfoundries Inc. EUV pellicle and method for fabricating semiconductor dies using same
US7663127B2 (en) 2007-03-13 2010-02-16 Globalfoundries Inc. EUV debris mitigation filter and method for fabricating semiconductor dies using same
JP5108367B2 (ja) 2007-04-27 2012-12-26 ギガフォトン株式会社 極端紫外光源装置
JP2010123929A (ja) 2008-10-24 2010-06-03 Gigaphoton Inc 極端紫外光光源装置
CN105700300B (zh) 2010-06-25 2019-06-18 Asml荷兰有限公司 光谱纯度滤光片
US20140162465A1 (en) 2012-12-11 2014-06-12 Board Of Trustees Of The University Of Illinois Plasma shield surface protection
US10101664B2 (en) * 2014-11-01 2018-10-16 Kla-Tencor Corporation Apparatus and methods for optics protection from debris in plasma-based light source
KR20170015617A (ko) * 2015-07-29 2017-02-09 삼성전자주식회사 극자외선 발생 장치 및 노광 장치
US9826615B2 (en) 2015-09-22 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. EUV collector with orientation to avoid contamination
US9888554B2 (en) 2016-01-21 2018-02-06 Asml Netherlands B.V. System, method and apparatus for target material debris cleaning of EUV vessel and EUV collector

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102809139A (zh) * 2011-05-31 2012-12-05 上海微电子装备有限公司 具有冷却系统的汞灯灯室

Also Published As

Publication number Publication date
KR20190131815A (ko) 2019-11-27
CN110501879A (zh) 2019-11-26
US11615956B2 (en) 2023-03-28
US20210335600A1 (en) 2021-10-28
US20190355572A1 (en) 2019-11-21
KR102576703B1 (ko) 2023-09-08
US11114298B2 (en) 2021-09-07

Similar Documents

Publication Publication Date Title
US11615956B2 (en) Light generator including debris shielding assembly, photolithographic apparatus including the light generator
US9791771B2 (en) Photomask structure with an etch stop layer that enables repairs of detected defects therein and extreme ultraviolet(EUV) photolithograpy methods using the photomask structure
JP2022109263A (ja) 検査システム、画像センサ、及び、画像センサを製造する方法。
JP5521000B2 (ja) 放射ディテクタ、放射ディテクタ製造方法、および放射ディテクタを備える装置
US11789355B2 (en) Extreme ultraviolet mask and method of manufacturing the same
US5793836A (en) X-ray mask pellicle
JP2015211040A (ja) パターン切削用マルチビーム・ツール
CN103547945B (zh) 反射光学元件和用于极紫外光刻的光学系统
US20110117479A1 (en) Reflective exposure mask, method of manufacturing reflective exposure mask, and method of manufacturing semiconductor device
US11153957B2 (en) Apparatus and method for generating an electromagnetic radiation
US10877190B2 (en) Extreme ultraviolet radiation source
JP2006194690A (ja) 多層膜反射鏡、euv露光装置、及び多層膜反射鏡におけるコンタミネーションの除去方法
US20100263192A1 (en) Multi-Element Mirror Assembly and Alignment
US20230013260A1 (en) Interstitial type absorber for extreme ultraviolet mask
US10359710B2 (en) Radiation system and optical device
US20050109278A1 (en) Method to locally protect extreme ultraviolet multilayer blanks used for lithography
KR102401702B1 (ko) 포토마스크를 제작 및 제공하는 방법
TWI759885B (zh) 極紫外線微影裝置及其使用方法
US20200073224A1 (en) Mask and method for manufacturing the same and method for patterning a layer
US9335625B2 (en) Blank masks for extreme ultra violet lithography, methods of fabricating the same, and methods of correcting registration errors thereof

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant